WO2017127044A1 - Compositions filmogènes contenant du si pour ald/cvd de films contenant du silicium - Google Patents

Compositions filmogènes contenant du si pour ald/cvd de films contenant du silicium Download PDF

Info

Publication number
WO2017127044A1
WO2017127044A1 PCT/US2016/013799 US2016013799W WO2017127044A1 WO 2017127044 A1 WO2017127044 A1 WO 2017127044A1 US 2016013799 W US2016013799 W US 2016013799W WO 2017127044 A1 WO2017127044 A1 WO 2017127044A1
Authority
WO
WIPO (PCT)
Prior art keywords
film forming
containing film
forming composition
precursor
approximately
Prior art date
Application number
PCT/US2016/013799
Other languages
English (en)
Inventor
Glenn KUCHENBEISER
Claudia Fafard
Original Assignee
L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude
American Air Liquide, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude, American Air Liquide, Inc. filed Critical L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude
Priority to PCT/US2016/013799 priority Critical patent/WO2017127044A1/fr
Publication of WO2017127044A1 publication Critical patent/WO2017127044A1/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]

Definitions

  • the disclosed Si-containing film forming compositions comprise organosilane precursors having the formula:
  • Si-containing thin films are used widely in the semiconductor, photovoltaic, liquid-crystal display-thin-film transistor (LCD-TFT), flat panel-type device, refactory material, or aeronautic industries.
  • Si-containing thin films may be used, for example, as dielectric materials having electrical properties which may be insulating (SiO 2 , SiN, SiCN, SiCOH, MSiO x , wherein M is Hf, Zr, Ti, Nb, Ta, or Ge and x is greater than zero), Si-containing thin films may be used as conducting films, such as metal silicides or metal silicon nitrides.
  • Organoaminosilanes have been used as precursors for CVD of Si-containing films.
  • US 7192626 to Dussarrat et al. discloses the use of trisilylamine N(SiH 3 ) 3 for deposition of SiN films.
  • Other organoaminosilane precursors include
  • SiH 3 (NiPr 2 ) diisopropylaminosilane [SiH 3 (NiPr 2 )] and analogous SiH 3 (NR 2 ) compounds disclosed in, for example, US 7875312 to Thridandam et al. and phenylmethylaminosilane [SiH 3 (NPhMe)] and related substituted silylanilines disclosed in, for example, EP 2392691 to Xiao et al.
  • WO2006/097525 to Dussarrat et al. disclosed another related class of Si precursors for CVD of Si-containing films given by a general formula (R 1 R 2 N) x SiH 4-x wherein x is an integer between 1 and 4 and the substituent R is H, C 1 -C 6 linear, branched, or cyclic carbon chains.
  • R may be selected from the group consisting of H, branched and unbranched C 1 -C 6 alkyl, C 3 -C 8 cycloalkyl, and C 6 -C 13 aryl groups
  • L may be selected from the group consisting of isocyanato, methylethylketoxime, trifluoroacetate, triflate, acyloxy, ⁇ -diketiminate, ⁇ -di-iminate, amidinate, guanidinate, alkylamino, hydride, alkoxide, or formate ligands.
  • Pinnavaia et al. claim a method for the preparation of a porous synthetic, semi-crystalline hybrid organic-inorganic silicon oxide composition from silicon acetylacetonate and silicon 1,3-diketonate precursors (US6465387).
  • Dussarrat et al. disclosed silicon amidinate precursors in WO2014/015232 A1 having the form H 3 Si(amd) and silicon ⁇ -diketiminate precursors in
  • Si-containing film forming compositions comprising
  • organosilane precursors having the formula:
  • the organosilane precursor contains ⁇ -diketiminate, amido and hydride functional groups;
  • ⁇ he organosilane precursor being:
  • the organosilane precursor being:
  • the or anosilane precursor being:
  • ⁇ he organosilane precursor being:
  • ⁇ t organosilane precursor being:
  • ⁇ th organosilane precursor being:
  • ⁇ th organosilane precursor being:
  • ⁇ he organosilane precursor being:
  • ⁇ he organosilane precursor being:
  • ⁇ he organosilane precursor being:
  • ⁇ he organosilane precursor being:
  • ⁇ th organosilane precursor being:
  • the organosilane precursor being: ⁇ the organosilane precursor being:
  • the Si-containing film forming composition comprising between approximately 95% w/w and approximately 100% w/w of the organosilane precursor ;
  • the Si-containing film forming composition comprising between approximately 5 % w/w and approximately 50% w/w of the organosilane precursor ;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Al;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw As;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Ba;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Be;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Bi;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Cd;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Ca;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Cr;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Co; ⁇ the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Cu;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Ga;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Ge;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Zr;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw In;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Fe;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Pb;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Li;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Mg;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Mn;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw W;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Ni;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw K;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Na;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Sr; ⁇ the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Th;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Sn;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Ti;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw U;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw V;
  • the Si-containing film forming composition comprising between approximately 0 ppbw and approximately 100 ppbw Zn;
  • the Si-containing film forming composition comprising between approximately 0 ppmw and approximately 100 ppmw Cl;
  • the Si-containing film forming composition comprising between approximately 0 ppmw and approximately 100 ppmw Br;
  • the Si-containing film forming composition comprising between approximately 0 ppmw and approximately 100 ppmw I.
  • a Si-containing film forming composition delivery device comprising a canister having an inlet conduit and an outlet conduit and containing any of the Si-containing film forming compositions disclosed above.
  • the disclosed device may include one or more of the following aspects:
  • the Si-containing film forming composition having a total concentration of metal contaminants of less than 10 ppmw;
  • further comprising a diaphragm valve on the inlet and the outlet.
  • the Si-containing film forming composition disclosed above is introduced into a reactor having a substrate disposed therein. At least part of the organosilane precursor is deposited onto the substrate to form a Si-containing film or layer using a vapor deposition method.
  • the disclosed methods may have one or more of the following aspects:
  • an element of the second precursor being selected from the group consisting of group 2, group 13, group 14, transition metal, lanthanides, and
  • the element of the second precursor being selected from Mg, Ca, Sr, Ba, Zr, Hf, Ti, Nb, Ta, Al, Si, Ge, Y, or lanthanides;
  • the reactant being selected from the group consisting of O 2 , O 3 , H 2 O, H 2 O 2 , NO, NO 2 , a carboxylic acid, radicals thereof, and combinations thereof;
  • the Si-containing layer being a silicon oxide layer
  • the reactant being selected from the group consisting of H 2 , NH 3 , (SiH 3 ) 3 N, hydridosilanes (such as SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , Si 5 H 10 , Si 6 H 12 ),
  • chlorosilanes and chloropolysilanes such as SiHCl 3 , SiH 2 Cl 2 , SiH 3 Cl, Si 2 Cl 6 , Si 2 HCl 5 , Si 3 Cl 8 ), alkysilanes (such as Me 2 SiH 2 , Et 2 SiH 2 , MeSiH 3 , EtSiH 3 ), hydrazines (such as N 2 H 4 , MeHNNH 2 , MeHNNHMe), organic amines (such as NMeH 2 , NEtH 2 , NMe 2 H, NEt 2 H, NMe 3 , NEt 3 , (SiMe 3 ) 2 NH), pyrazoline, pyridine, B-containing molecules (such as B 2 H 6 , 9-borabicylo[3,3,1]none, trimethylboron, triethylboron, borazine), alkyl metals (such as
  • trimethylaluminum triethylaluminum, dimethylzinc, diethylzinc), radical species thereof, and mixtures thereof;
  • the reactant being selected from the group consisting of H 2 , NH 3 , SiH 4 , Si 2 H 6 , Si 3 H 8 , SiH 2 Me 2 , SiH 2 Et 2 , N(SiH 3 ) 3 , hydrogen radicals thereof, and mixtures thereof;
  • the reactant is selected from the group consisting of SiHCI 3 , Si 2 CI 6 , Si 2 HCI 5 , Si 2 H 2 CI 4 , and cyclo-Si 6 H 6 CI 6 ;
  • ⁇ the vapor deposition method being a chemical vapor deposition process
  • ⁇ the vapor deposition method being an ALD process
  • the vapor deposition method being a spatial ALD process
  • the silicon-containing layer being Si
  • the silicon-containing layer being SiO 2 ;
  • the silicon-containing layer being SiN;
  • the silicon-containing layer being SiON
  • the silicon-containing layer being SiCN
  • the silicon-containing layer being SiCOH.
  • a solution comprising any of the Si-containing film forming compositions disclosed above is contacted with the substrate and the Si-containing film formed via a spin coating, spray coating, dip coating, or slit coating technique.
  • the disclosed methods may include the following aspects:
  • the Si-containing film forming composition comprising ethanol; ⁇ the Si-containing film forming composition comprising isopropanol; ⁇ forming the Si-containing film via a spin coating technique;
  • R 1 groups may, but need not be identical to each other or to R 2 or to R 3 . Further, it should be understood that unless specifically stated otherwise, values of R groups are independent of each other when used in different formulas.
  • alkyl group refers to saturated functional groups containing exclusively carbon and hydrogen atoms.
  • the term“alkyl group” refers to linear, branched, or cyclic alkyl groups. Examples of linear alkyl groups include without limitation, methyl groups, ethyl groups, n-propyl groups, n-butyl groups, etc. Examples of branched alkyls groups include without limitation, t-butyl. Examples of cyclic alkyl groups include without limitation, cyclopropyl groups, cyclopentyl groups, cyclohexyl groups, etc.
  • aryl refers to aromatic ring compounds where one hydrogen atom has been removed from the ring.
  • heterocycle refers to a cyclic compound that has atoms of at least two different elements as members of its ring.
  • Me refers to a methyl group
  • abbreviation“Et” refers to an ethyl group
  • the abbreviation“Pr” refers to any propyl group (i.e., n-propyl or isopropyl);
  • the abbreviation“iPr” refers to an isopropyl group
  • the abbreviation“Bu” refers to any butyl group (n-butyl, iso-butyl, t-butyl, sec-butyl);
  • the abbreviation“tBu” refers to a tert-butyl group
  • the abbreviation“sBu” refers to a sec-butyl group;
  • the abbreviation“iBu” refers to an iso-butyl group;
  • the abbreviation “Ph” refers to a phenyl group;
  • the abbreviation“Am” refers to any amyl group (iso- amyl, sec-amyl, tert-amyl
  • R amd refers to an R- N-C(Me)-N-R amidinate ligand, with R being an alkyl group (e.g., iPr amd is iPr-N- C(Me)-N-iPr).
  • the acronym“SRO” stands for a Strontium Ruthenium Oxide film
  • the acronym“HCDS” stands for hexachlorodisilane
  • the acronym“PCDS” stands for pentachlorodisilane.
  • the films or layers deposited such as silicon oxide or silicon nitride, may be listed throughout the specification and claims without reference to their proper stoichiometry (i.e., SiO 2 , SiO 3 , Si 3 N 4 ).
  • the layers may include pure (Si) layers, carbide (Si o C p ) layers, nitride (Si k N l ) layers, oxide (Si n O m ) layers, or mixtures thereof, wherein k, l, m, n, o, and p inclusively range from 1 to 6.
  • silicon oxide is Si n O m , wherein n ranges from 0.5 to 1.5 and m ranges from 1.5 to 3.5.
  • the silicon oxide layer is SiO 2 or SiO 3 .
  • the silicon oxide layer may be a silicon oxide based dielectric material, such as organic based or silicon oxide based low-k dielectric materials such as the Black Diamond II or III material by Applied Materials, Inc.
  • any referenced silicon-containing layer may be pure silicon.
  • Any silicon-containing layers may also include dopants, such as B, C, P, As and/or Ge.
  • FIG 1 is a side view of one embodiment of the Si-containing film forming composition chemistry delivery device disclosed herein;
  • FIG 2 is a side view of a second embodiment of the Si-containing film forming delivery device disclosed herein;
  • FIG 3 is a graph demonstrating the percentage of mass loss as a function of temperature in an open cup thermogravimetric analysis of purified SiH(NMe 2 ) 2 (iPr- AMD) and SiH 3 (iPr-AMD); and
  • FIG 4 is a graph showing the deposition rate and index of refraction as a function of temperature for the Si containing films deposited by PEALD using
  • Si-containing film forming compositions comprising
  • organosilane precursors having the following formula:
  • the disclosed organosilane precursors may have a pentacoordinate or hexacoordinate silicon (+IV) center.
  • the RN-(CR) n -NR ligand bonds to the silicon atom via its two N atoms, resulting in a precursor with a pentacoordinate Si(IV) center.
  • the carbon atom(s) in the backbone of the bidentate monoanionic ligand may be sp 2 hybridized, resulting in a delocalized charge across the ligand.
  • the carbon atoms may independently be substituted by H, C 1 -C 6 alkyl groups, or C 3 - C 20 aryl or heterocycle groups.
  • each of the two (RN-(CR) n -NR) ligands bond to the silicon atom via the two N atoms, resulting in a precursor with a hexacoordinate Si(IV) center.
  • the hexacoordinate organosilane compounds contain two ring structures, each formed by the bonds between the two nitrogens of the (RN-(CR) n - NR) ligand with the silicon atom.
  • the carbon atoms in the (RN-(CR) n -NR) ligands may be sp 2 hybridized, resulting in a delocalized charge across the monoanionic ligand.
  • the carbon atoms in the (RN-(CR) n -NR) ligands may be sp 3 hybridized or some combination of sp 2 and sp 3 hybridized, resulting in a negative charge on one nitrogen in each ring structure and a neutral charge on the other nitrogen in the same ring structure.
  • Each of the nitrogen and carbon atoms may independently be substituted by H, C 1 -C 6 alkyl groups, or C 3 -C 20 aryl or heterocycle groups.
  • the disclosed organosilane precursors may be more reactive with the substrate and/or any co-reactants than other Si +IV precursors due to
  • the monoanionic chelating ligand results in a total of 5 or 6 bonds to the silicon atom.
  • the disclosed organosilane precursor may contain one or two hydrogen atoms directly bonded to the Si atom. These Si-H bonds may help increase the volatility of the precursor, which is important for vapor deposition processes.
  • the disclosed organosilane precursors contain no Si-halogen bonds, which is important because halogens may damage other layers in the substrate (e.g., low k layers, copper interconnect layers, etc.). Additionally, in ALD processes, the Si-H bonds of the disclosed precursors may help to provide a larger growth rate per cycle when compared to the analogous Si-halogen containing precursors because the H atoms occupy less surface area, resulting in more molecules on the substrate surface.
  • the disclosed organosilane precursor may contain one, two, or three amino groups directly bonded to the Si atom. These Si-N bonds may help increase thermal stability of the precursor, which is also important for vapor deposition processes.
  • the amino group may also help incorporate N and C atoms into the resulting film, which may make the resulting layer more resistant to any subsequent etching processes.
  • the disclosed Si-containing film forming compositions have suitable properties for vapor depositions methods, such as high vapor pressure, low melting point (preferably being in liquid form at room temperature), low sublimation point, and high thermal stability.
  • exemplary organosilane precursors contain amidinate, amido and hydride functional groups and have the structural formula:
  • R 1 , R 2 , R 3 , R 4 and R 5 may each independently be H, a C 1 to C 6 alkyl group, or a C 3 -C 20 aryl or heterocycle group, and R 1 and R 2 and/or R 1 and R 3 and/or R 4 and R 5 being joined to form cyclic chains.
  • exemplary organosilane precursors contain ⁇ -diketiminato, amido and hydride functional groups and have the structural formula:
  • R 1 , R 2 , R 3 , R 4 , R 5 , R 6 and R 7 may each independently be H, a C 1 to C 6 alkyl group, or a C 3 -C 20 aryl or heterocycle group, and R 1 and R 2 and/or R 2 and R 3 and/or R 3 and R 4 and/or R 4 and R 5 and/or R 6 and R 7 being joined to form cyclic chains.
  • HSi[RN(CR) 3 NR](NRR) 2 include:
  • H 2 Si[RN(CR) n NR](NRR), with n 1 or 3
  • precursors may be synthesized by combining a hydrocarbon solution of SiX 2 H 2 , wherein X is Cl, Br, I, or triflate (SO 3 CF 3 ), with a neat or hydrocarbon solution of the first ligand compound, either Li[RN(CR) n NR] or Li[NRR], followed by addition of a neat or hydrocarbon solution of the second ligand compound, either Li[NRR] or Li[RN(CR) n NR], under atmosphere of nitrogen, the outlet of the mixing flask being connected to an oil bubbler to inhibit backflow of air and moisture.
  • H 2 Si[RN(CR) n NR](NRR) precursors is by combining a hydrocarbon solution of SiX 2 H 2 , wherein X is Cl, Br, I, or triflate (SO 3 CF 3 ), with a neat or hydrocarbon solution of the protonated first ligand (HRN(CR) n NR or HNRR) followed by a neat or hydrocarbon solution of the protonated second ligand (HNRR or HRN(CR) n NR), performed under an inert atmosphere.
  • a selected metal hydride such as lithium aluminum hydride (LAH).
  • LAH lithium aluminum hydride
  • Exemplary hydrocarbon solutions suitable for these synthesis methods include diethyl ether, pentane, hexane, or toluene.
  • the resulting suspension is filtered and the resulting solution distilled to remove solvent. Purification of the resulting liquid or solid is carried out by distillation or sublimation, respectively.
  • the ligand compounds may be synthesized by combining a hydrocarbon solution of metalorganic salt (i.e., alkyl lithium) to a hydrocarbon solution of the appropriate amine, amidine, or ⁇ -diketimine.
  • metalorganic salt i.e., alkyl lithium
  • exemplary organosilane precursors contain amidinate, amido and hydride functional groups and have the structural formula:
  • R 1 , R 2 , R 3 , R 4 and R 5 may each independently be H, a C 1 to C 6 alkyl group, or a C 3 -C 20 aryl or heterocycle group, and R 1 and R 2 and/or R 1 and R 3 and/or R 4 and R 5 being joined to form cyclic chains.
  • HSi[RN include:
  • exemplary organosilane precursors contain ⁇ -diketiminato, amido and hydride functional groups and have the structural formula:
  • R 1 , R 2 , R 3 , R 4 , R 5 , R 6 and R 7 may each independently be H, a C 1 to C 6 alkyl group, or a C 3 -C 20 aryl or heterocycle group, and R 1 and R 2 and/or R 2 and R 3 and/or R 3 and R 4 and/or R 4 and R 5 and/or R 6 and R 7 being joined to form cyclic chains.
  • HSi[RN(CR) 3 NR](NRR) 2 include:
  • Li[RN(CR) n NR] or Li[NRR] followed by addition of a neat or hydrocarbon solution of the second ligand compound, Li[NRR] or Li[RN(CR) n NR], under atmosphere of nitrogen, the outlet of the mixing flask being connected to an oil bubbler to inhibit backflow of air and moisture.
  • HSi[RN(CR) n NR](NRR) 2 precursors is by combining a hydrocarbon solution of SiX 3 H, wherein X is Cl, Br, I, or triflate (SO 3 CF 3 ), with a neat or hydrocarbon solution of the protonated first ligand (HRN(CR) n NR or HNRR) followed by a neat or hydrocarbon solution of the protonated second ligand (HNRR or HRN(CR) n NR) performed under an inert atmosphere.
  • HSi[RN(CR) n NR](NRR) 2 precursors may be synthesized by reaction of SiCl 4 with sequentially one equivalent of the first ligand and two equivalents of the second ligand compounds (Li[RN(CR) n NR] or Li[NRR]) followed by subsequent reduction using a selected metal hydride such as LAH. In all three synthesis routes, the resulting solution may be stirred at room temperature overnight.
  • Exemplary hydrocarbon solutions suitable for these synthesis methods include diethyl ether, pentane, hexane, or toluene.
  • the resulting suspension is filtered and the resulting solution distilled to remove solvent. Purification of the resulting liquid or solid is carried out by distillation or sublimation, respectively.
  • ligand compounds Li[RN(CR) n NR] or Li[NRR] all of the starting materials are commercially available.
  • the ligand compound may be synthesized by combining a hydrocarbon solution of metalorganic salt (i.e., alkyl lithium) to a hydrocarbon solution of the appropriate amine, amidine, or ⁇ -diketimine.
  • metalorganic salt i.e., alkyl lithium
  • exemplary organosilane precursors contain amidinate and amido functional groups and have the structural formula:
  • R 1 , R 2 , R 3 , R 4 and R 5 may each independently be H, a C 1 to C 6 alkyl group, or a C 3 -C 20 aryl or heterocycle group, and R 1 and R 2 and/or R 1 and R 3 and/or R 4 and R 5 being joined to form cyclic chains.
  • Si[RN(CR)NR](NRR) 3 include:
  • exemplary organosilane precusors contain ⁇ -diketiminato and amido functional groups and have the structural formula:
  • R 1 , R 2 , R 3 , R 4 , R 5 , R 6 and R 7 may each independently be H, a C 1 to C 6 alkyl group, or a C 3 -C 20 aryl or heterocycle group, and R 1 and R 2 and/or R 2 and R 3 and/or R 3 and R 4 and/or R 4 and R 5 and/or R 6 and R 7 being joined to form cyclic chains.
  • Si[RN(CR) 3 NR](NRR) 3 include:
  • a second synthetic route to the disclosed Si[RN(CR) n NR](NRR) 3 precursors is by combining a hydrocarbon solution of SiX 4 , wherein X is Cl, Br, I, or triflate (SO 3 CF 3 ), with a neat or hydrocarbon solution of the protonated first ligand (HRN(CR) n NR or HNRR) followed by a neat or hydrocarbon solution of the protonated second ligand (HNRR or HRN(CR) n NR) performed under an inert atmosphere.
  • Exemplary hydrocarbon solutions suitable for these synthesis methods include diethyl ether, pentane, hexane, or toluene.
  • the resulting suspension is filtered and the resulting solution distilled to remove solvent. Purification of the resulting liquid or solid is carried out by distillation or sublimation, respectively.
  • the ligand compound may be synthesized by combining a hydrocarbon solution of metalorganic salt (i.e., alkyl lithium) to a hydrocarbon solution of the appropriate amine, amidine, or ⁇ -diketimine.
  • metalorganic salt i.e., alkyl lithium
  • exemplary organosilane precursors contain amidinate, amido, and hydride functional groups and have the structural formula:
  • R 1 , R 2 , R 3 , R 4 and R 5 may each independently be H, a C 1 to C 6 alkyl group, or a C 3 -C 20 aryl or heterocycle group, and R 1 and R 2 and/or R 1 and R 3 and/or R 4 and R 5 being joined to form cyclic chains.
  • HSi[RN(CR)NR] 2 (NRR) include:
  • exemplary organosilane precursors contain ⁇ -diketiminato, amido, and hydride functional groups and have the structural formula:
  • R 1 , R 2 , R 3 , R 4 , R 5 , R 6 and R 7 may each independently be H, a C 1 to C 6 alkyl group, or a C 3 -C 20 aryl or heterocycle group, and R 1 and R 2 and/or R 2 and R 3 and/or R 3 and R 4 and/or R 4 and R 5 and/or R 6 and R 7 being joined to form cyclic chains.
  • Exemplary bis( ⁇ -diketiminato)aminosilanes having the formula HSi[RN(CR) 3 NR] 2 (NRR) include:
  • HSi[RN(CR) n NR] 2 (NRR) precursors is by combining a hydrocarbon solution of SiX 3 H, wherein X is Cl, Br, I, or triflate (SO 3 CF 3 ), with a neat or hydrocarbon solution of the protonated first ligand (HRN(CR) n NR or HNRR) followed by a neat or hydrocarbon solution of the protonated second ligand (HNRR or HRN(CR) n NR), performed under an inert atmosphere.
  • the disclosed HSi[RN(CR) n NR] 2 (NRR) precursors may be synthesized by reaction of SiCl 4 with sequentially one equivalent of the first ligand and two equivalents of the second ligand compounds (Li[RN(CR) n NR] or Li[NRR]) followed by subsequent reduction using a selected metal hydride such as LAH.
  • a selected metal hydride such as LAH.
  • Exemplary hydrocarbon solutions suitable for these synthesis methods include diethyl ether, pentane, hexane, or toluene.
  • the resulting suspension is filtered and the resulting solution distilled to remove solvent. Purification of the resulting liquid or solid is carried out by distillation or sublimation, respectively.
  • the ligand compound may be synthesized by combining a hydrocarbon solution of metalorganic salt (i.e., alkyl lithium) to a hydrocarbon solution of the appropriate amine, amidine, or ⁇ -diketimine.
  • metalorganic salt i.e., alkyl lithium
  • exemplary organosilane precursors contain amidinate and amido functional groups and have the structural formula:
  • R 1 , R 2 , R 3 , R 4 and R 5 may each independently be H, a C 1 to C 6 alkyl group, or a C 3 -C 20 aryl or heterocycle group, and R 1 and R 2 and/or R 1 and R 3 and/or R 4 and R 5 being joined to form cyclic chains.
  • Si[R include:
  • exemplary organosilane precursors contain ⁇ -diketiminato and amido functional groups and have the structural formula:
  • R 1 , R 2 , R 3 , R 4 , R 5 , R 6 and R 7 may each independently be H, a C 1 to C 6 alkyl group, or a C 3 -C 20 aryl or heterocycle group, and R 1 and R 2 and/or R 2 and R 3 and/or R 3 and R 4 and/or R 4 and R 5 and/or R 6 and R 7 being joined to form cyclic chains.
  • Si[RN R) 3 NR] 2 (NRR) 2 include:
  • a second synthetic route to the disclosed Si[RN(CR) n NR] 2 (NRR) 2 precursors is by combining a hydrocarbon solution of SiX 4 , wherein X is Cl, Br, I, or triflate (SO 3 CF 3 ), with a neat or hydrocarbon solution of the protonated first ligand (HRN(CR) n NR or HNRR) followed by a neat or hydrocarbon solution of the protonated second ligand (HNRR or HRN(CR) n NR), performed under an inert atmosphere.
  • the resulting solution may be stirred at room temperature overnight.
  • Exemplary hydrocarbon solutions suitable for these synthesis methods include diethyl ether, pentane, hexane, or toluene.
  • the resulting suspension is filtered and the resulting solution distilled to remove solvent. Purification of the resulting liquid or solid is carried out by distillation or sublimation, respectively.
  • the ligand compound may be synthesized by combining a hydrocarbon solution of metalorganic salt (i.e., alkyl lithium) to a hydrocarbon solution of the appropriate amine, amidine, or ⁇ -diketimine.
  • metalorganic salt i.e., alkyl lithium
  • the disclosed Si-containing film forming compositions may be purified by continuous or fractional batch distillation prior to use to a purity ranging from approximately 95% w/w to approximately 100% w/w, preferably ranging from approximately 98% w/w to approximately 100% w/w.
  • the purity may be determined by H NMR or gas or liquid chromatography with mass spectrometry.
  • the Si-containing film forming compositions may contain any of the following impurities: carbodiimides, alkylamines, dialkylamines, alkylimines, cyclopentadiene, dicyclopentadiene, THF, ether, pentane, cyclohexane, heptanes, toluene, chlorinated metal compounds, lithium, sodium, potassium, lithium amidinate, sodium amidinate, or potassium amidinate.
  • the total quantity of these impurities is between 0.0% w/w and 0.1% w/w.
  • the purified compositions may be produced by recrystallization, sublimation, distillation, and/or passing the gas or liquid through a suitable
  • adsorbent such as a 4A molecular sieve.
  • each solvent such as THF, ether, pentane,
  • cyclohexane, heptanes, and/or toluene), in the purified Si-containing film forming compositions may range from approximately 0% w/w to approximately 5% w/w, preferably from approximately 0% w/w to approximately 0.1% w/w.
  • Solvents may be used in the composition’s synthesis. Separation of the solvents from the
  • composition may be difficult if both have similar boiling points. Cooling the
  • composition may produce solid precursor in liquid solvent, which may be separated by filtration. Vacuum distillation may also be used, provided the composition is not heated above approximately its decomposition point.
  • the disclosed Si-containing film forming compositions contain between approximately 0% v/v and approximately 5% v/v, preferably less than 1% v/v, more preferably less than 0.1% v/v, and even more preferably less than 0.01% v/v of any of its homo or heteroleptic silane side products or starting materials.
  • This embodiment may provide better process repeatability. This embodiment may be produced by distillation of the Si-containing film forming composition.
  • the disclosed Si-containing film forming compositions may comprise between approximately 5% w/w to approximately 50% w/w of one organosilane precursor with the balance of the composition comprising a second organosilane precursor, particularly when the mixture provides improved process parameters or isolation of the target organosilane precursor is too difficult or expensive.
  • the disclosed Si-containing film forming compositions may be 40/60 % w/w of SiH 2 (NMe 2 )(iPr-amd) and SiH(NMe 2 ) 2 (iPr-amd). The mixture may produce a stable, liquid composition suitable for spin-on or vapor deposition.
  • the concentration of trace metals and metalloids in the purified Si-containing film forming compositions may each range independently from approximately 0 ppbw to approximately 100 ppbw, and more preferably from approximately 0 ppbw to approximately 10 ppbw.
  • These metal or metalloid impurities include, but are not limited to, Aluminum(Al), Arsenic(As), Barium(Ba), Beryllium(Be), Bismuth(Bi), Cadmium(Cd), Calcium(Ca), Chromium(Cr), Cobalt(Co), Copper(Cu), Gallium(Ga), Germanium(Ge), Hafnium(Hf), Zirconium(Zr), Indium(In), Iron(Fe), Lead(Pb), Lithium(Li), Magnesium(Mg), Manganese(Mn), Tungsten(W), Nickel(Ni),
  • the Si-containing film forming compositions may be delivered to a
  • FIGS 1 and 2 show two embodiments of the disclosed delivery devices 1.
  • FIG 1 is a side view of one embodiment of the Si-containing film forming composition delivery device 1.
  • the disclosed Si-containing film forming compositions 10 are contained within a container 20 having two conduits, an inlet conduit 30 and an outlet conduit 40.
  • a container 20 having two conduits, an inlet conduit 30 and an outlet conduit 40.
  • the delivery device For pyrophoric compositions, as determined by section 33.3.1 of the United Nations Recommondations on the Transport of Dangerous Goods Manual of Tests and Criteria, 5 th Edition (2009), the delivery device must be leak tight and be equipped with valves that do not permit even minute amounts of the material.
  • Suitable valves include spring-loaded or tied diaphragm valves.
  • the valve may further comprise a restrictive flow orifice (RFO).
  • RFO restrictive flow orifice
  • the delivery device should be connected to a gas manifold and in an enclosure.
  • the gas manifold should permit the safe evacuation and purging of the piping that may be exposed to air when the delivery device is replaced so that any residual amounts of the pyrophoric material does not react.
  • the enclosure should be equipped with sensors and fire control capability to control the fire in the case of a pyrophoric material release.
  • the gas manifold should also be equipped with isolation valves, vacuum generators, and permit the introduction of a purge gas at a minimum.
  • the delivery device fluidly connects to other components of the
  • valves 35 and 45 the delivery device 20, inlet conduit 30, valve 35, outlet conduit 40, and valve 45 are made of 316L EP or 304 stainless steel.
  • 316L EP or 304 stainless steel 316L EP or 304 stainless steel.
  • any corrosive Si-containing film forming compositions 10 may require the use of more corrosion-resistant materials, such as Hastelloy or Inconel.
  • the end 31 of inlet conduit 30 is located above the surface 11 of the Si-containing film forming composition 10, whereas the end 41 of the outlet conduit 40 is located below the surface 11 of the Si-containing film forming composition 10.
  • the Si-containing film forming composition 10 is preferably in liquid form.
  • An inert gas including but not limited to nitrogen, argon, helium, and mixtures thereof, may be introduced into the inlet conduit 30.
  • the inert gas pressurizes the delivery device 20 so that the liquid Si-containing film forming composition 10 is forced through the outlet conduit 40 and to components in the semiconductor processing tool (not shown).
  • the semiconductor processing tool may include a vaporizer which transforms the liquid Si-containing film forming
  • composition 10 into a vapor, with or without the use of a carrier gas such as helium, argon, nitrogen or mixtures thereof, in order to deliver the vapor to a chamber where a wafer to be repaired is located and treatment occurs in the vapor phase.
  • a carrier gas such as helium, argon, nitrogen or mixtures thereof
  • FIG 2 is a side view of a second embodiment of the Si-containing film forming composition delivery device 1.
  • the end 31 of inlet conduit 30 is located below the surface 11 of the Si-containing film forming composition 10
  • the end 41 of the outlet conduit 40 is located above the surface 11 of the Si-containing film forming composition 10.
  • FIG 2, also includes an optional heating element 25, which may increase the temperature of the Si-containing film forming composition 10.
  • the Si-containing film forming composition 10 may be in solid or liquid form.
  • An inert gas including but not limited to nitrogen, argon, helium, and mixtures thereof, is introduced into the inlet conduit 30. The inert gas bubbles through the Si-containing film forming composition 10 and carries a mixture of the inert gas and vaporized Si-containing film forming composition 10 to the outlet conduit 40 and on to the components in the semiconductor processing tool.
  • FIGS 1 and 2 include valves 35 and 45.
  • valves 35 and 45 may be placed in an open or closed position to allow flow through conduits 30 and 40, respectively.
  • Either delivery device 1 in FIGS 1 or 2, or a simpler delivery device having a single conduit terminating above the surface of any solid or liquid present, may be used if the Si-containing film forming composition 10 is in vapor form or if sufficient vapor pressure is present above the solid/liquid phase.
  • the Si-containing film forming composition 10 is delivered in vapor form through the conduit 30 or 40 simply by opening the valve 35 in FIG 1 or 45 in FIG 2, respectively.
  • the delivery device 1 may be maintained at a suitable temperature to provide sufficient vapor pressure for the Si-containing film forming composition 10 to be delivered in vapor form, for example by the use of an optional heating element 25.
  • FIGS 1 and 2 disclose two embodiments of the Si-containing film forming composition delivery device 1, one of ordinary skill in the art will recognize that the inlet conduit 30 and outlet conduit 40 may both be located above or below the surface 11 of the Si-containing film forming composition 10 without departing from the disclosure herein. Furthermore, inlet conduit 30 may be a filling port.
  • the disclosed Si-containing film forming composition may be delivered to semiconductor processing tools using other delivery devices, such as the ampoules disclosed in WO 2006/059187 to Jurcik et al., without departing from the teachings herein.
  • the disclosed methods provide for the use of the Si-containing film forming compositions for deposition of silicon-containing films.
  • the disclosed methods may be useful in the manufacture of semiconductor, photovoltaic, LCD-TFT, flat panel type devices, refractory materials, or aeronautics.
  • the disclosed methods for forming a silicon-containing layer on a substrate include: placing a substrate in a reactor, delivering into the reactor a vapor including the disclosed Si-containing film forming composition, and contacting the vapor with the substrate (and typically directing the vapor to the substrate) to form a silicon- containing layer on the surface of the substrate.
  • the methods may include forming a bimetal-containing layer on a substrate using the vapor deposition process and, more specifically, for deposition of SiMO x films wherein x is 4 and M is Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Co, lanthanides (such as Er), or combinations thereof.
  • the disclosed methods may be useful in the manufacture of semiconductor, photovoltaic, LCD-TFT, or flat panel type devices.
  • An oxygen source such as O 3 , O 2 , H 2 O, NO, H 2 O 2 , acetic acid, formalin, para-formaldehyde, oxygen radicals thereof, and combinations thereof, but preferably O 3 or plasma treated O 2 , may also be introduced into the reactor.
  • the disclosed Si-containing film forming compositions may be used to deposit silicon-containing films using any deposition methods known to those of skill in the art.
  • suitable deposition methods include chemical vapor deposition (CVD) or atomic layer deposition (ALD).
  • exemplary CVD methods include thermal CVD, pulsed CVD (PCVD), low pressure CVD (LPCVD), sub- atmospheric CVD (SACVD) or atmospheric pressure CVD (APCVD), hot-wire CVD (HWCVD, also known as cat-CVD, in which a hot wire serves as an energy source for the deposition process), radicals incorporated CVD, plasma enhanced CVD (PECVD) including but not limited to flowable PECVD, and combinations thereof.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • exemplary CVD methods include thermal CVD, pulsed CVD (PCVD), low pressure CVD (LPCVD), sub- atmospheric CVD (SACVD) or atmospheric pressure CVD (APCVD), hot-wire CVD (HWCVD
  • Exemplary ALD methods include thermal ALD, plasma enhanced ALD (PEALD), spatial isolation ALD, hot-wire ALD (HWALD), radicals incorporated ALD, and combinations thereof.
  • Super critical fluid deposition may also be used.
  • the deposition method is preferably ALD, PE-ALD, or spatial ALD in order to provide suitable step coverage and film thickness control.
  • the vapor of the Si-containing film forming composition is generated and then introduced into a reaction chamber containing a substrate.
  • the temperature and the pressure in the reaction chamber and the temperature of the substrate are held at conditions suitable for vapor deposition of at least part of the organosilane precursor onto the substrate.
  • the reaction chamber after introduction of the vaporized composition into the reaction chamber, conditions within the reaction chamber are adjusted such that at least part of the organosilane precursor is deposited onto the substrate to form the Si-containing layer.
  • “at least part of the organosilane precursor is deposited” means that some or all of the precursor reacts with or adheres to the substrate.
  • a reactant may also be used to help in formation of the Si-containing layer.
  • the reaction chamber may be any enclosure or chamber of a device in which deposition methods take place, such as, without limitation, a parallel-plate type reactor, a cold-wall type reactor, a hot-wall type reactor, a single-wafer reactor, a multi-wafer reactor, or other such types of deposition systems. All of these exemplary reaction chambers are capable of serving as an ALD or CVD reaction chamber.
  • the reaction chamber may be maintained at a pressure ranging from about 0.5mTorr to about 20Torr for all ALD and subatmospheric CVD processes. Subatmospheric CVD and atmospheric CVD pressures may range up to 760Torr (atmosphere).
  • the temperature within the reaction chamber may range from about 20°C to about 600°C. One of ordinary skill in the art will recognize that the temperature may be optimized through mere experimentation to achieve the desired result.
  • the temperature of the reactor may be controlled by either controlling the temperature of the substrate holder or controlling the temperature of the reactor wall. Devices used to heat the substrate are known in the art.
  • the reactor wall is heated to a sufficient temperature to obtain the desired film at a sufficient growth rate and with desired physical state and composition.
  • a non-limiting exemplary temperature range to which the reactor wall may be heated includes from approximately 20°C to approximately 600°C. When a plasma deposition process is utilized, the deposition temperature may range from approximately 20°C to approximately 550°C.
  • the deposition temperature may range from approximately 300°C to approximately 600°C.
  • the substrate may be heated to a sufficient temperature to obtain the desired silicon-containing film at a sufficient growth rate and with desired physical state and composition.
  • a non-limiting exemplary temperature range to which the substrate may be heated includes from 150°C to 600°C.
  • the temperature of the substrate remains less than or equal to 500°C.
  • the reactor contains one or more substrates onto which the films will be deposited.
  • a substrate is generally defined as the material on which a process is conducted.
  • the substrates may be any suitable substrate used in semiconductor, photovoltaic, flat panel, or LCD-TFT device manufacturing.
  • suitable substrates include wafers, such as silicon, silica, glass, or GaAs wafers.
  • the wafer may have one or more layers of differing materials deposited on it from a previous manufacturing step.
  • the wafers may include silicon layers (crystalline, amorphous, porous, etc.), silicon oxide layers, silicon nitride layers, silicon oxy nitride layers, carbon doped silicon oxide (SiCOH) layers, or combinations thereof.
  • the wafers may include copper layers or noble metal layers (e.g.
  • the layers may include oxides which are used as dielectric materials in MIM, DRAM, or FeRam technologies (e.g., ZrO2 based materials, HfO2 based materials, TiO2 based materials, rare earth oxide based materials, ternary oxide based materials such as strontium ruthenium oxide [SRO], etc.) or from nitride-based films (e.g., TaN) that are used as an oxygen barrier between copper and the low-k layer.
  • the wafers may include barrier layers, such as manganese, manganese oxide, etc.
  • Plastic layers such as poly(3,4- ethylenedioxythiophene)poly(styrenesulfonate) [PEDOT:PSS] may also be used.
  • the layers may be planar or patterned.
  • the layer may be a patterned photoresist film made of hydrogenated carbon, for example CHx, wherein x is greater than zero.
  • the disclosed processes may deposit the silicon-containing layer directly on the wafer or directly on one or more than one (when patterned layers form the substrate) of the layers on top of the wafer.
  • the substrate may be patterned to include vias or trenches having high aspect ratios.
  • a conformal Si- containing film such as SiO 2
  • TSV through silicon via
  • the terms“film” or“layer” used interchangeably herein refer to a thickness of some material laid on or spread over a surface and that the surface may be a trench or a line.
  • the wafer and any associated layers thereon are referred to as substrates.
  • the preferred substrate utilized may be selected from hydrogenated carbon, TiN, SRO, Ru, and Si type substrates, such as polysilicon or crystalline silicon substrates.
  • a silicon nitride film may be deposited onto a Si layer.
  • alternating silicon oxide and silicon nitride layers may be deposited on the silicon nitride layer forming a stack of multiple SiO 2 /SiN layers used in 3D NAND gates.
  • the disclosed Si-containing film forming compositions may comprise the organosilane precursor in neat form or in a blend with a suitable solvent, such as toluene, ethyl benzene, xylene, mesitylene, decane, dodecane, octane, hexane, pentane, tertiary amines, acetone, tetrahydrofuran, ethanol, ethylmethylketone, 1,4- dioxane, or others.
  • a suitable solvent such as naphtha,
  • the casting deposition solution may further comprise pH regulators or surfactants.
  • the precursors may be present in varying concentrations in the solvent. For example, the resulting concentration may range from approximately 0.05M to approximately 2M.
  • the molarity of the casting deposition solution is directly proportional to the desired film thickness and may adjust the molarity accordingly.
  • the Si-containing film forming compositions are delivered into a reactor in vapor form by conventional means, such as tubing and/or flow meters.
  • the composition in vapor form may be produced by vaporizing the composition through a conventional vaporization step such as direct vaporization, distillation, by bubbling, or by using a sublimator such as the one disclosed in PCT Publication WO2009/087609 to Xu et al.
  • the composition may be fed in liquid state to a vaporizer where it is vaporized before it is introduced into the reactor.
  • the composition may be vaporized by passing a carrier gas into a container containing the composition or by bubbling of the carrier gas into the composition.
  • the carrier gas may include, but is not limited to, Ar, He, or N 2 , and mixtures thereof. Bubbling with a carrier gas may also remove any dissolved oxygen present in the composition. The carrier gas and composition are then introduced into the reactor as a vapor.
  • the container may be heated to a temperature that permits the Si-containing film forming composition to be in its liquid phase and to have a sufficient vapor pressure.
  • the container may be maintained at temperatures in the range of, for example, 0-150°C.
  • temperature of the container may be adjusted in a known manner to control the amount of Si-containing film forming composition vaporized.
  • a reactant may also be introduced into the reactor.
  • the reactant may be an oxidizing agent, such as one of O 2 , O 3 , H 2 O, H 2 O 2 ; oxygen containing radicals, such as O ⁇ or OH ⁇ , NO, NO 2 ; carboxylic acids such as formic acid, acetic acid, propionic acid, radical species of NO, NO 2 , or the carboxylic acids; para-formaldehyde; and mixtures thereof.
  • the oxidizing agent is selected from the group consisting of O 2 , O 3 , H 2 O, H 2 O 2 , oxygen containing radicals thereof such as O ⁇ or OH ⁇ , and mixtures thereof.
  • the reactant is plasma treated oxygen, ozone, or combinations thereof.
  • the resulting silicon containing film will also contain oxygen.
  • the reactant may be a reducing agent such as one of H 2 , NH 3 , (SiH 3 ) 3 N, hydridosilanes (for example, SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , Si 5 H 10 , Si 6 H 12 ), chlorosilanes and chloropolysilanes (for example, SiHCl 3 , SiH 2 Cl 2 , SIH 3 Cl, Si 2 Cl 6 , Si 2 HCl 5 , Si 3 Cl 8 ), alkylsilanes (for example, (CH 3 ) 2 SiH 2 , (C 2 H 5 ) 2 SiH 2 , (CH 3 )SiH 3 , (C 2 H 5 )SiH 3 ), hydrazines (for example, N 2 H 4 , MeHNNH 2 , MeHNNHMe), organic amines (for example, N(CH 3 )H 2 , N(C 2 H 5 )H 2 ,
  • the reducing agent is H 2 , NH 3 , SiH 4 , Si 2 H 6 , Si 3 H 8 , SiH 2 Me 2 , SiH 2 Et 2 , N(SiH 3 ) 3 , hydrogen radicals thereof, or mixtures thereof.
  • the reducing agent is SiHCI 3 , Si 2 CI 6 , Si 2 HCI 5 , Si 2 H 2 CI 4 , and cyclo-Si 6 H 6 CI 6 .
  • the resulting silicon containing film may be pure Si.
  • the reactant may be treated by plasma, in order to decompose the reactant into its radical form.
  • N 2 may also be utilized as a reducing agent when treated with plasma.
  • the plasma may be generated with a power ranging from about 50W to about 500W, preferably from about 100W to about 200W.
  • the plasma may be generated or present within the reactor itself.
  • the plasma may generally be at a location removed from the reactor, for instance, in a remotely located plasma system.
  • One of skill in the art will recognize methods and apparatus suitable for such plasma treatment.
  • the disclosed Si-containing film forming compositions may also be used with a halosilane or polyhalodisilane, such as hexachlorodisilane pentachlorodisilane, or tetrachlorodisilane, and one or more reactants to form Si, SiCN, or SiCOH films.
  • a halosilane or polyhalodisilane such as hexachlorodisilane pentachlorodisilane, or tetrachlorodisilane
  • reactants to form Si, SiCN, or SiCOH films.
  • WO2011/123792 discloses a SiN layer (not a Si or SiCOH layer), and the entire contents of which are incorporated herein in their entireties.
  • the reactants may include a second precursor which is selected from, but not limited to, alkyls, such as Ln(RCp) 3 or Co(RCp) 2 , amines, such as Nb(Cp)(NtBu)(NMe 2 ) 3 and any combination thereof.
  • the Si-containing film forming composition and one or more reactants may be introduced into the reaction chamber simultaneously (e.g., CVD), sequentially (e.g., ALD), or in other combinations.
  • the Si-containing film forming composition may be introduced in one pulse and two additional metal sources may be introduced together in a separate pulse (e.g., modified ALD).
  • the reaction chamber may already contain the reactant prior to introduction of the Si- containing film forming composition.
  • the reactant may be passed through a plasma system localized or remotely from the reaction chamber, and decomposed to radicals.
  • the Si-containing film forming composition may be introduced to the reaction chamber continuously while other reactants are introduced by pulse (e.g., pulsed-CVD).
  • a pulse may be followed by a purge or evacuation step to remove excess amounts of the component introduced.
  • the pulse may last for a time period ranging from about 0.01s to about 10s, alternatively from about 0.3s to about 3s, alternatively from about 0.5s to about 2s.
  • the Si-containing film forming composition and one or more reactants may be simultaneously sprayed from a shower head under which a susceptor holding several wafers is spun (e.g., spatial ALD).
  • the vapor phase of the Si- containing film forming composition is introduced into the reaction chamber, where it is contacted with a suitable substrate. Excess composition may then be removed from the reaction chamber by purging and/or evacuating the reaction chamber.
  • An oxygen source is introduced into the reaction chamber where it reacts with the physic- or chemisorbed organosilane precursor in a self-limiting manner. Any excess oxygen source is removed from the reaction chamber by purging and/or evacuating the reaction chamber. If the desired film is a silicon oxide film, this two-step process may provide the desired film thickness or may be repeated until a film having the necessary thickness has been obtained.
  • the two-step process above may be followed by introduction of a vapor of a second precursor into the reaction chamber.
  • the second precursor will be selected based on the nature of the silicon oxide film being deposited. After introduction into the reaction chamber, the second precursor is contacted with the substrate. Any excess second precursor is removed from the reaction chamber by purging and/or evacuating the reaction chamber.
  • an oxygen source may be introduced into the reaction chamber to react with the physi- or chemisorbed second precursor. Excess oxygen source is removed from the reaction chamber by purging and/or evacuating the reaction chamber. If a desired film thickness has been achieved, the process may be terminated. However, if a thicker film is desired, the entire four-step process may be repeated. By alternating the provision of the Si-containing film forming composition, second precursor, and oxygen source, a film of desired composition and thickness can be deposited.
  • films having a desired stoichiometric M:Si ratio may be obtained.
  • a SiMO 2 film may be obtained by having one pulse of the organosilane precursor and one pulses of the second precursor, with each pulse being followed by pulses of the oxygen source.
  • the number of pulses required to obtain the desired film may not be identical to the stoichiometric ratio of the resulting film.
  • dense SiCN films may be deposited using an ALD method with hexachlorodisilane (HCDS) or pentachlorodisilane (PCDS), the disclosed Si-containing film forming composition, and an ammonia reactant.
  • the reaction chamber may be controlled at 5Torr, 550°C, with a 55 sccm continuous flow of Ar.
  • An approximately 10second long pulse of the Si-containing film forming composition at a flow rate of approximately 1 sccm is introduced into the reaction chamber.
  • the Si-containing film forming composition is purged from the reaction chamber with an approximately 55 sccm flow of Ar for approximately 30 seconds.
  • An approximately 10 second pulse of HCDS at a flow rate of approximately 1 sccm is introduced into the reaction chamber.
  • the HCDS is purged from the reaction chamber with an approximately 55 sccm flow of Ar for approximately 30 seconds.
  • An approximately 10 second long pulse of NH 3 at a flow rate of approximately 50 sccm is introduced into the reaction chamber.
  • the NH 3 is purged from the reaction chamber with an approximately 55 sccm flow of Ar for approximately 10 seconds.
  • These 6 steps are repeated until the deposited layer achieves a suitable thickness.
  • the introductory pulses may be simultaneous when using a spatial ALD device.
  • the order of the introduction of the precursors may be varied and the deposition may be performed with or without the NH 3 reactant in order to tune the amounts of carbon and nitrogen in the resulting SiCN film.
  • a silicon-containing film may be deposited by the flowable PECVD method disclosed in U.S. Patent Application Publication No.
  • the radical nitrogen- or oxygen-containing reactant such as NH 3 or H 2 O respectively, is generated in a remote plasma system.
  • the radical reactant and the vapor phase of the disclosed Si- containing film forming compositions are introduced into the reaction chamber where they react and deposit the initially flowable film on the substrate. Applicants believe that the nitrogen atoms of the (RN-(CR) n -NR) ligand and amino groups in the disclosed Si-containing film forming compositions help to further improve the flowability of the deposited film, resulting in films having less voids.
  • the disclosed methods provide for the use of the Si-containing film forming composition for deposition of silicon-containing films.
  • the disclosed methods may be useful in the manufacture of semiconductor, photovoltaic, LCD-TFT, or flat panel type devices.
  • the method includes: applying the liquid form of the disclosed Si-containing film forming composition on a substrate in a reactor: and forming the Si-containing layer on the substrate.
  • the liquid form of the disclosed Si-containing film forming composition may be a neat solution of the organosilane precursor or a mixture of the precursor with a solvent and optional pH adjusters or surfactants.
  • the liquid form of the disclosed Si- containing film forming composition may be applied directly to the center of the substrate or may be applied to the entire substrate by spraying.
  • the substrate When applied directly to the center of the substrate, the substrate may be spun to utilize centrifugal forces to evenly distribute the composition over the substrate.
  • the substrate may be dipped in the Si-containing film forming composition.
  • the resulting film may be dried at an appropriate temperature for a period of time to vaporize any solvent or volatile components of the film.
  • One of ordinary skill in the art would recognize the appropriate temperature selection based on the solvent to be evaporated.
  • a mist of water may be sprayed onto the substrate to promote the hydrolysis reaction of the film.
  • the disclosed organosilane precursors in the Si-containing film forming compositions may prove useful as monomers for the synthesis of silicon containing polymers.
  • the Si-containing film forming compositions may be used to form spin-on dielectric film formulations, for patternable films, or for anti-reflective films.
  • the disclosed Si-containing film forming compositions may comprise a solvent and applied to a substrate to form a film. If necessary, the substrate may be rotated to evenly distribute the Si-containing film forming composition across the substrate.
  • the viscosity of the Si- containing film forming compositions will contribute as to whether rotation of the substrate is necessary.
  • the resulting film may be heated under an inert gas, such as Argon, Helium, or nitrogen and/or under reduced pressure. Alternatively, electron beams or ultraviolet radiation may be applied to the resulting film.
  • an inert gas such as Argon, Helium, or nitrogen and/or under reduced pressure.
  • electron beams or ultraviolet radiation may be applied to the resulting film.
  • the 8-9 hydrolysable groups of the disclosed organodisilane precursors i.e., the direct Si-Si, Si-N, Si-O, Si-S, or Si-H bonds
  • the silicon-containing films resulting from the processes discussed above may include SiO 2 , SiN, SiON, SiCN, SiCOH, or MSiO x , wherein M is an element such as Hf, Zr, Ti, Nb, Ta, or Ge, and x may be from 0-4, depending of course on the oxidation state of M.
  • M is an element such as Hf, Zr, Ti, Nb, Ta, or Ge
  • x may be from 0-4, depending of course on the oxidation state of M.
  • the film may be subject to further processing, such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure.
  • further processing such as thermal annealing, furnace-annealing, rapid thermal annealing, UV or e-beam curing, and/or plasma gas exposure.
  • the silicon-containing film may be exposed to a temperature ranging from approximately 200°C and approximately 1000°C for a time ranging from approximately 0.1 second to approximately 7200 seconds under an inert
  • the temperature is 600°C for less than 3600 seconds under a H-containing atmosphere.
  • the resulting film may contain fewer impurities and therefore may have improved performance characteristics.
  • the annealing step may be performed in the same reaction chamber in which the deposition process is performed. Alternatively, the substrate may be removed from the reaction chamber, with the annealing/flash annealing process being performed in a separate apparatus. Any of the above post-treatment methods, but especially thermal annealing, has been found effective to reduce carbon and nitrogen contamination of the silicon-containing film. Examples
  • Example 1 Synthesis of SiH(NMe 2 ) 2 (N i Pr-AMD).
  • Via cannula add a solution of N,N’-diisopropylamidine (71.5 g; 0.503 mol) in 200 mL of diethyl ether to the cold liquid addition funnel (cooled with dry-ice) and add the solution drop-wise to the reaction mixture. After complete addition, allow the reaction to proceed at room temperature (22 °C) for 1 hour.
  • Via cannula add pentane (100 mL) and filter the mixture through Celite TM brand diatomaceous earth. Assist the filtration and transfer of crude material with additional pentane (2 x 100 mL). Remove solvent under reduced pressure. Take crude material up in pentane (20 mL) and filter through Celite TM brand diatomaceous earth.
  • Example 2 PEALD of SiN Films Using SiH(NMe 2 ) 2 (N i Pr-AMD)
  • PEALD test were performed using SiH(NMe 2 ) 2 (N i Pr-AMD) which was placed in a bubbler at 80°C.
  • Typical PEALD conditions were used, such as using nitrogen direct plasma with excitation frequency at 13.56 Mhz, and reactor pressure fixed at 1 Torr. Wafer temperature was measured at 250 °C and deposition was performed on pure silicon wafers. The resulting deposition rate and refractive index are shown in FIG 4.
  • the refractive index values are characteristic of SiN films (1.9-2.0).
  • the film with optimum properties was obtained for 5s pulse.
  • WER was measured at 12 ⁇ /min ⁇ 2 (benchmark precursor SiH 2 (NEt 2 ) 2 deposited film
  • XPS analysis of the film shows Si rich film with following at%: C1s of 4.215 at%; N1s of 37.77 at%; O1s of 9.55 at%; and Si2p of 47.315 at%.
  • This analysis was performed on the uncapped film at a R&D facility, therefore the oxygen concentration may be due to post deposition air exposure.
  • the wet etch rate and XPS analysis results are similar to those obtained at this facility for commercially successful alkylaminosilanes and indicate that this precursor may have commercial viability.

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

L'invention concerne des composition filmogènes contenant du Si, des procédés de synthèse de celles-ci, et des procédés d'utilisation associés pour déposer des films contenant du silicium en utilisant des procédés de dépôt en phase vapeur. Les compositions filmogènes contenant du Si de l'invention comprennent des précurseurs d'organosilane ayant la formule SiHx(RN-(CR)n-NR)y(NRR)z, dans lesquels chaque R est indépendamment choisi dans le groupe constitué de H, d'un groupe alkyle en C1 to C6, d'un groupe aryle en C3-C20 ou d'un groupe hétérocycle ; n = 1 ou 3 ; x = 0, 1 ou 2 ; y = 1 ou 2 ; et z = 1, 2 ou 3 ; et x + y + z = 4, à condition que x ne soit pas égal à 2 lorsque y = 2.
PCT/US2016/013799 2016-01-18 2016-01-18 Compositions filmogènes contenant du si pour ald/cvd de films contenant du silicium WO2017127044A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
PCT/US2016/013799 WO2017127044A1 (fr) 2016-01-18 2016-01-18 Compositions filmogènes contenant du si pour ald/cvd de films contenant du silicium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2016/013799 WO2017127044A1 (fr) 2016-01-18 2016-01-18 Compositions filmogènes contenant du si pour ald/cvd de films contenant du silicium

Publications (1)

Publication Number Publication Date
WO2017127044A1 true WO2017127044A1 (fr) 2017-07-27

Family

ID=59361975

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2016/013799 WO2017127044A1 (fr) 2016-01-18 2016-01-18 Compositions filmogènes contenant du si pour ald/cvd de films contenant du silicium

Country Status (1)

Country Link
WO (1) WO2017127044A1 (fr)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6113815A (en) * 1997-07-18 2000-09-05 Bioshield Technologies, Inc. Ether-stabilized organosilane compositions and methods for using the same
KR20120131114A (ko) * 2011-05-24 2012-12-04 주식회사 유엠티 실리콘 화합물 증착용 화합물 및 전구체 조성물
US20140264778A1 (en) * 2013-03-15 2014-09-18 Samsung Electronics Co., Ltd. Precursor composition for deposition of silicon dioxide film and method for fabricating semiconductor device using the same
US20150004317A1 (en) * 2012-07-20 2015-01-01 American Air Liquide, Inc. Organosilane precursors for ald/cvd silicon-containing film applications

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6113815A (en) * 1997-07-18 2000-09-05 Bioshield Technologies, Inc. Ether-stabilized organosilane compositions and methods for using the same
KR20120131114A (ko) * 2011-05-24 2012-12-04 주식회사 유엠티 실리콘 화합물 증착용 화합물 및 전구체 조성물
US20150004317A1 (en) * 2012-07-20 2015-01-01 American Air Liquide, Inc. Organosilane precursors for ald/cvd silicon-containing film applications
US20140264778A1 (en) * 2013-03-15 2014-09-18 Samsung Electronics Co., Ltd. Precursor composition for deposition of silicon dioxide film and method for fabricating semiconductor device using the same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PAN, GUIRONG ET AL.: "Morphology and water resistance of mixed silane films of bis[3-(triethoxysilyl) propyl]tetrasulfide and bis- [trimethoxysilylpropyl]amine", THIN SOLID FILMS, vol. 515, no. 4, 2006, pages 2771 - 2780, XP025007677 *

Similar Documents

Publication Publication Date Title
CN111041456B (zh) 用于形成含硅和氧的薄膜的汽相沉积方法
US20160314962A1 (en) Cyclic organoaminosilane precursors for forming silicon-containing films and methods of using the same
US9593133B2 (en) Organosilane precursors for ALD/CVD silicon-containing film applications
US9822132B2 (en) Hexacoordinate silicon-containing precursors for ALD/CVD silicon-containing film applications
US9777373B2 (en) Amino(iodo)silane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9382268B1 (en) Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
US10053775B2 (en) Methods of using amino(bromo)silane precursors for ALD/CVD silicon-containing film applications
US9748249B2 (en) Tantalum-containing film forming compositions and vapor deposition of tantalum-containing films
US9719167B2 (en) Cobalt-containing film forming compositions, their synthesis, and use in film deposition
EP3307744B1 (fr) Procédés de dépôt en phase vapeur pour former des films minces contenant de l'oxygène et du silicium
US10011903B2 (en) Manganese-containing film forming compositions, their synthesis, and use in film deposition
US10006122B2 (en) Organodisilane precursors for ALD/CVD silicon-containing film applications
US10570513B2 (en) Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
WO2017127044A1 (fr) Compositions filmogènes contenant du si pour ald/cvd de films contenant du silicium
EP3307745B1 (fr) Procédés de dépôt en phase vapeur pour former des films minces contenant du silicium et de l'azote
US9738971B2 (en) Vapor deposition methods to form group 8-containing films

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 16886687

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 16886687

Country of ref document: EP

Kind code of ref document: A1