WO2015089812A1 - Porte logique toute optique - Google Patents

Porte logique toute optique Download PDF

Info

Publication number
WO2015089812A1
WO2015089812A1 PCT/CN2013/090036 CN2013090036W WO2015089812A1 WO 2015089812 A1 WO2015089812 A1 WO 2015089812A1 CN 2013090036 W CN2013090036 W CN 2013090036W WO 2015089812 A1 WO2015089812 A1 WO 2015089812A1
Authority
WO
WIPO (PCT)
Prior art keywords
light
input
optical
output end
gate
Prior art date
Application number
PCT/CN2013/090036
Other languages
English (en)
Chinese (zh)
Inventor
罗达新
郝沁汾
刘耀达
Original Assignee
华为技术有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 华为技术有限公司 filed Critical 华为技术有限公司
Priority to PCT/CN2013/090036 priority Critical patent/WO2015089812A1/fr
Priority to CN201380004328.4A priority patent/CN104956260B/zh
Publication of WO2015089812A1 publication Critical patent/WO2015089812A1/fr

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F3/00Optical logic elements; Optical bistable devices

Definitions

  • TECHNICAL FIELD The present application relates to the field of integrated circuit technologies, and in particular, to an all-optical logic gate.
  • logic gates are the most basic components of digital circuits. With a variety of logic gates, complex calculations can be implemented. In general, logic gates include AND gates, OR gates, NOT gates, NAND gates, and NOR gates.
  • optical calculations can replace electrical calculations to accommodate the needs of the time.
  • optical steering logic to make logic gates, but most of them are not realized by optical signals, which are essentially the form of electrical signal input and optical signal output.
  • this structure needs to insert a first-level photoelectric conversion in the middle, so that the optical signal outputted from the upper stage is converted into an electrical signal as an input of the next stage. This is more complicated in structure and is not all realized by optical signals.
  • the embodiment of the present application provides an all-optical logic gate to improve the calculation speed and bandwidth.
  • an all-optical logic gate is provided, the all-optical logic gate is a NAND gate, including four input light sources, three beam splitters, five combiners, and one light-controlled optical switch;
  • the optical switch is high effective;
  • the four input light sources are the first variable A, the second variable ⁇ the light intensity and the logic 1 corresponding light a first optical signal of equal intensity and a second optical signal having a light intensity equal to 1/2 of the optical intensity of the logic 1; an initial phase of the first optical signal and the second optical signal being the same;
  • the four input light sources The wavelengths are all equal; when the A and B have light intensity, the corresponding light intensity is I, I corresponds to logic 1; when A and B have no light intensity, the corresponding light intensity is 0, corresponding to logic 0;
  • the A input is input to the input end of the first beam splitter, the first output end of the first beam splitter is connected to the first input end of the first beam combiner; the first output end of the first beam splitter is The distance of the first input end of the first combiner is an integer multiple of the wavelength of the input source;
  • the first optical signal is input to an input end of the second beam splitter, and the first output end of the second beam splitter is connected to the second input end of the first beam combiner, and the first end of the second beam splitter
  • the distance between the output end and the second input end of the first combiner is an integer multiple of the wavelength of the input source
  • a second output end of the second beam splitter is coupled to the first input end of the second combiner, and a distance between the second output end of the second beam splitter and the first input end of the second combiner is the input An integer multiple of the wavelength of the light source;
  • the B input is input to the third beam splitter, the first output end of the third beam splitter is connected to the second input end of the second beam combiner, and the first output end of the third beam splitter is The distance of the second input end of the second combiner is an integer multiple of the wavelength of the input source;
  • a second output end of the third beam splitter is coupled to the first input end of the third combiner, and a distance between the second output end of the third beam splitter and the first input end of the third combiner is the input An integer multiple of the wavelength of the light source;
  • a second output end of the first beam splitter is coupled to a second input end of the third combiner, and a distance between the second output end of the first beam splitter and the second input end of the third combiner is the input An odd multiple of 1/2 wavelength of the light source;
  • An output end of the first combiner and an output end of the second combiner are respectively connected to two input ends of the fourth combiner, and the distance between the output end of the first combiner and the input end of the fourth combiner a multiple of the wavelength of the input source; the distance between the output of the second combiner and the input of the fourth combiner is an integer multiple of the wavelength of the input source;
  • An output end of the third combiner is connected to a control end of the optical control optical switch, an input end of the optical control optical switch is connected to the second optical signal, and an output end of the fourth combiner
  • the output end of the light control optical switch is connected to the two input ends of the fifth combiner, the output of the fourth combiner and the output of the fifth combiner
  • the distance from the input end is an integer multiple of the wavelength of the input light source; the distance between the output end of the light control optical switch and the input end of the fifth combiner is an integer multiple of the wavelength of the input light source; the output end of the fifth combiner As the output of the NAND gate.
  • the input source is a beam of light emitted by a single wavelength single mode laser.
  • an all-optical logic gate is provided, the all-optical logic gate being an OR gate, comprising: two input light sources, a combiner and a light control optical switch;
  • the light control optical switch is low effective
  • the two input light sources are respectively a first variable A and a second variable B; when the light intensity is A and B, the corresponding light intensity is I, I corresponds to logic 1; when the A and B are no light, The corresponding light intensity is 0, corresponding to logic 0;
  • the input end of the optical control optical switch is connected to the A; the output end of the optical control optical switch is connected to the first input end of the combiner;
  • the control end of the optical control optical switch is connected to the B;
  • the second input end of the combiner is connected to the B;
  • the output of the combiner acts as the output of the OR gate.
  • an all-optical logic gate is provided, the all-optical logic gate being a NOR gate, comprising: three input light sources, a combiner and a light control optical switch;
  • the light control optical switch is low effective
  • the three input light sources are a first variable A, a second variable B, and a first optical signal having a light intensity equal to that of the logic 1;
  • a first input end of the combiner is coupled to the A, and a second input end of the combiner is coupled to the first optical signal; an initial phase of the A and the first optical signal being opposite;
  • An output end of the combiner is connected to an input end of the optical control optical switch
  • the control end of the optical control optical switch is connected to the B;
  • the output end of the light control optical switch serves as an output of the NOR gate.
  • an all-optical logic gate is provided, and the all-optical logic gate is an OR gate, including: a light source, a combiner and a light control optical switch;
  • the light control optical switch is low effective
  • the three light sources are a first variable A, a second variable B, and a first optical signal having a light intensity equal to that of the logic 1;
  • the two input ends of the combiner are respectively connected to A and B;
  • the output end of the combiner is connected to the control end of the optical control optical switch
  • the output end of the optically controlled optical switch serves as an output of the same OR gate.
  • an all-optical logic gate is provided, the all-optical logic gate being an identical OR gate, comprising: four input light sources, two combiners, and one light control optical switch;
  • the light control optical switch is highly effective
  • the four input light sources are respectively a first variable A, a second variable, a first optical signal and a second optical signal having a light intensity equal to that of the logic 1;
  • the initial phases of the A and B are the same, and the initial phase of the first optical signal is opposite to the initial phase of A;
  • the first optical signal and A are respectively connected to two input ends of the first combiner;
  • the output end of the first combiner is connected to the first input end of the second combiner, and the B is connected to the second input end of the second combiner;
  • the output end of the second combiner is connected to the control end of the optical control optical switch
  • An input end of the optical control optical switch is connected to the second optical signal, and an output end of the optical control optical switch is used as an output end of the same OR gate.
  • an all-optical logic gate is provided, the all-optical logic gate being an AND gate, comprising: two input light sources and one light control optical switch;
  • the light control optical switch is highly effective
  • the two input light sources are: a first variable A and a second variable B;
  • a and B have light intensity, the corresponding light intensity is I, I corresponds to logic 1; when A and B are no light, the corresponding light intensity is 0, corresponding to logic 0;
  • the A is connected to an input end of the optical control optical switch
  • the B is connected to the control end of the optical control optical switch
  • the output end of the light control optical switch serves as an output end of the AND gate.
  • an all-optical logic gate is provided, the all-optical logic gate being a NOT gate, comprising: two input light sources and one light control optical switch;
  • the light control optical switch is low effective
  • One of the two input light sources is a first variable A, and the other is a first optical signal having a light intensity equal to that of the logic 1;
  • the corresponding light intensity is I
  • I corresponds to logic 1
  • the corresponding light intensity is 0, corresponding to logic 0;
  • the A is connected to the control end of the optical control optical switch
  • the first optical signal is connected to an input end of the optical control optical switch
  • the output end of the optical control optical switch is the output end of the non-gate.
  • the present application has the following advantages compared with the prior art: using a light combiner, a beam splitter, a light control optical switch, and the superposition of light and the principle of interference of light to implement logic
  • the operation, and adjusting the length of the optical waveguide (ie, the distance) to adjust the phase of the optical wave to meet the calculation requirements, provides a variety of all-optical logic gates, which can facilitate silicon-based integration and is simple to implement.
  • the all-optical logic gates provided by the present application include: NAND gates, OR gates, NOR gates, same OR gates, AND gates, and NOT gates; optical logic gates have advantages over electrical logic gates in power consumption, speed, and area. And the application of these all-optical logic gates can directly build various computing function modules, such as small adders, large CPUs, and the like.
  • Figure 1 is a schematic view of a beam splitter provided by the present application
  • 2 is a schematic view of a combiner provided by the present application
  • FIG. 3a is a schematic diagram of a light control optical switch provided by the present application.
  • FIG. 3b is a schematic diagram of another optical control optical switch provided by the present application.
  • FIG. 4 is a schematic diagram of the all-optical logic gate provided by the present application as a NAND gate.
  • Figure 5a is a corresponding diagram of Figure 4 when A is 0 and B is 0;
  • Figure 5b is a corresponding diagram of Figure 4 when A is 0 and B is 1.
  • Figure 5c is a corresponding diagram of Figure 4 when A is 1 and B is 0;
  • Figure 5d is a corresponding diagram of Figure 4 when A is 1 and B is 1.
  • FIG. 6 is a schematic diagram of the all-optical logic gate provided by the present application as an OR gate
  • FIG. 7 is a schematic diagram of a all-optical logic gate provided by the present application as a NOR gate
  • FIG. 8a is a schematic diagram of an embodiment in which the all-optical logic gate provided by the present application is an identical gate
  • FIG. 8b is a schematic diagram of another embodiment of the all-optical logic gate provided by the present application.
  • FIG. 9 is a schematic diagram of the all-optical logic gate provided by the present application as an AND gate;
  • FIG. 10 is a schematic diagram of the all-optical logic gate provided by the present application when it is a NOT gate;
  • FIG. 11 is a schematic diagram of a light control optical switch provided by the present application.
  • the optical signal at the input of the beam splitter is A, then the output is divided into two optical signals, A1 and
  • the light intensities of A2, A1 and A2 are 1/2 of A, respectively, and the phases of A1 and A2 are the same as A.
  • the combiner and the beam splitter shown in Figure 1 are the opposite devices.
  • the two input optical signals are B1 and B2, respectively, and the signal at the output is combined into a signal B, and B is B1 and B2.
  • the optical signals are coherently superimposed.
  • FIG. 3a the figure is a schematic diagram of a light-controlled optical switch.
  • the light control optical switch is a control switching device in the optical path transmission, and includes three ends, which are an input end, an output end and a control end respectively.
  • the signal of the control end is a valid signal
  • the optical signal of the input end is transmitted to the output end of the output; when controlling When the signal at the end is an invalid signal, the optical signal at the input is not transmitted to the output.
  • the control end of the optical control optical switch is divided into high effective and low effective.
  • Figure 3a shows high efficiency, that is, when the signal of the control terminal is 1, the optical signal of the input end is output to the output terminal, and vice versa, it refers to the control.
  • the optical signal at the end is 0, the optical signal at the input is output to the output, as shown in Figure 3b.
  • a light beam emitted by a single-wavelength single-mode laser has a light intensity indicating a signal 1 and no light intensity indicating a signal 0.
  • the initial phase of the input optical signal is indicated by an arrow ⁇ . Due to the wave propagation characteristic of the light, the phase of the input optical signal changes in the direction of the wavelength in the forward direction.
  • the current distance is an integer multiple of one wavelength.
  • the phase becomes opposite and is indicated by an arrow down i.
  • the opposite here refers to a difference of 180 degrees from the initial phase, and it can be understood that it can also be an odd multiple of 180 degrees.
  • the optical combiner, the beam splitter, the optically controlled optical switch, and the superposition of light and the interference principle of light are used to implement logic operations, and the length (ie, distance) of the optical waveguide is adjusted to adjust the light wave.
  • the phase to meet the computational requirements, provides a variety of all-optical logic gates that facilitate silicon-based integration and are simple to implement. It has advantages over electrical logic gates in power consumption, speed and area, and these all-optical logic gates can be used to directly build various computing function modules, such as small adders and large CPUs.
  • the figure is a schematic diagram of the all-optical logic gate provided by the present application as a NAND gate.
  • the all-optical logic gate provided in this embodiment is a NAND gate, comprising four input light sources, three beam splitters, five combiners and one light control optical switch; the light control optical switch is high effective;
  • the four input light sources are respectively a first variable A, a second variable, a first optical signal having a light intensity equal to that of the logic 1, and a second optical signal having a light intensity equal to 1/2 of the corresponding light intensity of the logic 1.
  • the initial phase of the first optical signal and the second optical signal are the same; the wavelengths of the four input light sources are equal; as shown, the first optical signal is represented by 1 1 , and the second optical signal is represented by 1 1 2 1 is shown; the arrows of the first optical signal and the second optical signal both indicate the same initial phase downward.
  • the light intensity I can be set according to specific needs, and is not specifically limited in the various embodiments of the present application.
  • the A input is input to the input end of the first beam splitter, the first output end of the first beam splitter is connected to the first input end of the first beam combiner; the first output end of the first beam splitter is The distance of the first input end of the first combiner is an integer multiple of the wavelength of the input source;
  • the phase of the light source is not changed, that is, the phase remains unchanged.
  • the first optical signal is input to an input end of the second beam splitter, and the first output end of the second beam splitter is connected to the second input end of the first beam combiner, and the first end of the second beam splitter
  • the distance between the output end and the second input end of the first combiner is an integer multiple of the wavelength of the input source
  • a second output end of the second beam splitter is coupled to the first input end of the second combiner, and a distance between the second output end of the second beam splitter and the first input end of the second combiner is the input An integer multiple of the wavelength of the light source;
  • the B input is input to the third beam splitter, the first output end of the third beam splitter is connected to the second input end of the second beam combiner, and the first output end of the third beam splitter is The distance of the second input end of the second combiner is an integer multiple of the wavelength of the input source;
  • a second output end of the third beam splitter is coupled to the first input end of the third combiner, and a distance between the second output end of the third beam splitter and the first input end of the third combiner is the input An integer multiple of the wavelength of the light source;
  • the second output end of the first beam splitter is connected to the second input end of the third beam combiner, the first beam splitter
  • the distance between the second output end and the second input end of the third combiner is an odd multiple of 1/2 wavelength of the input light source
  • the phase When the distance of the optical waveguide is an odd multiple of 1/2 wavelength of the input source, the phase will be changed and the phase will be completely opposite, i.e., 180 degrees out of phase.
  • An output end of the first combiner and an output end of the second combiner are respectively connected to two input ends of the fourth combiner, and the distance between the output end of the first combiner and the input end of the fourth combiner a multiple of the wavelength of the input source; the distance between the output of the second combiner and the input of the fourth combiner is an integer multiple of the wavelength of the input source;
  • An output end of the third combiner is connected to a control end of the optical control optical switch, an input end of the optical control optical switch is connected to the second optical signal, and an output end of the fourth combiner
  • the output end of the light control optical switch is connected to two input ends of the fifth combiner, and the distance between the output end of the fourth combiner and the input end of the fifth combiner is an integer multiple of the wavelength of the input light source;
  • the distance between the output end of the optically controlled optical switch and the input end of the fifth combiner is an integer multiple of the wavelength of the input source; the output of the fifth combiner serves as the output of the NAND gate.
  • FIG. 5b the figure is a corresponding diagram in Fig. 4 when A is 0 and B is 1.
  • the path of the light is at the first combiner, the second splitter, the second combiner, and the third splitter.
  • the final output is logic 1 on the third combiner, the fourth combiner, the optically controlled optical switch, and the fifth combiner.
  • FIG. 5c the figure is a corresponding diagram in FIG. 4 when A is 1 and B is 0.
  • FIG. 5d the figure is a corresponding diagram in Fig. 4 when A is 1 and B is 1.
  • the above embodiments are the NAND gate embodiments provided by the present application. From the above analysis, the NAND gates in the present application are all implemented by optical signals, so that the NAND function in the current electrical system can be completed.
  • FIG. 6 the figure is a schematic diagram of the all-optical logic gate provided as an OR gate.
  • the all-optical logic gate provided by this embodiment is an OR gate; comprising: two input light sources, a combiner and a light control optical switch;
  • the light control optical switch is low effective; that is, when the control end of the light control optical switch is 0, the input signal of the light control optical switch is output to the output end;
  • the two input light sources are respectively a first variable A and a second variable B; when the first variable A and the second variable B have light intensity, the corresponding light intensity is I, I corresponds to logic 1; the first variable A And when the second variable B has no light intensity, the corresponding light intensity is 0, corresponding to logic 0;
  • the input end of the optical control optical switch is connected to the A; the output end of the optical control optical switch is connected to the first input end of the combiner;
  • the control end of the optical control optical switch is connected to the B;
  • the second input end of the combiner is connected to the B;
  • the output of the combiner acts as the output of the OR gate.
  • FIG. 7 the figure is a schematic diagram of the all-optical logic gate provided by the present application as a NOR gate.
  • the all-optical logic gate provided in this embodiment is a NOR gate, and includes: three input light sources, one combiner and one light control optical switch;
  • the light control optical switch is low effective
  • the three input light sources are a first variable A, a second variable B, and a first optical signal having a light intensity equal to that of the logic 1;
  • An output end of the combiner is connected to an input end of the optical control optical switch
  • the control end of the optical control optical switch is connected to the B;
  • the output end of the light control optical switch serves as an output of the NOR gate.
  • the all-optical logic gate provided in this embodiment is an OR gate, and includes: three input light sources, one combiner and one light control optical switch;
  • the light control optical switch is low effective
  • the three light sources are a first variable A, a second variable B, and a first optical signal having a light intensity equal to that of the logic 1;
  • the two input ends of the combiner are respectively connected to A and B;
  • the output end of the combiner is connected to the control end of the optical control optical switch
  • the output end of the optically controlled optical switch serves as an output of the same OR gate.
  • One input signal of the combiner is A, and the other input signal is B. Since B is 0, the output signal of the combiner is A, since A is 1 and the optical control switch is active low, therefore, the light control The optical switch is not conducting, and the final output is logic 0.
  • the phase of A and B is required in Figure 8a, requiring the initial phase of the two input variables to be opposite. Same or two door embodiment two:
  • FIG. 8b the figure is a schematic view of another embodiment of the all-optical logic gate provided by the present application.
  • the all-optical logic gate provided in this embodiment is an OR gate, and includes: four input light sources, two combiners, and one light control optical switch;
  • the light control optical switch is highly effective
  • the four input light sources are respectively a first variable A, a second variable, a first optical signal and a second optical signal having a light intensity equal to that of the logic 1;
  • the first optical signal and A are respectively connected to two input ends of the first combiner;
  • the output end of the first combiner is connected to the first input end of the second combiner, and the B is connected to the second input end of the second combiner;
  • the output end of the second combiner is connected to the control end of the optical control optical switch;
  • the input end of the optical control optical switch is connected to the second optical signal, and the output end of the optical control optical switch serves as an output end of the same OR gate.
  • A is 1 and B is 0 to illustrate the working principle of the same OR gate shown in Figure 8b. Since A is 1, the two inputs of the first combiner are 1 ⁇ and 1 i , so that the two input signals cancel each other out, and the output of the first combiner is 0, that is, one input of the second combiner 0, the other input signal B is 0, so the output of the second combiner is 0. Since the optically controlled optical switch is active high, the final output is logic 0, which is consistent with the result of the truth table.
  • Example 1 Example 1:
  • FIG. 9 the figure is a schematic diagram of an all-optical logic gate provided as an AND gate for the present application.
  • the all-optical logic gate provided by this embodiment is an AND gate, comprising: two input light sources and one light control light switch;
  • the light control optical switch is highly effective
  • the two input light sources are: a first variable A and a second variable B;
  • the A is connected to an input end of the optical control optical switch
  • the B is connected to the control end of the optical control optical switch
  • the output end of the light control optical switch serves as an output end of the AND gate.
  • the AND gate provided in this embodiment is very simple, and only needs to be realized by using a light control optical switch, and there is no phase requirement for A and B.
  • FIG. 10 the figure is a schematic diagram of the all-optical logic gate provided in the present application.
  • the all-optical logic gate provided in this embodiment is a non-gate, comprising: two input light sources and one light control light switch;
  • the light control optical switch is low effective
  • One of the two input light sources is a first variable A, and the other is a first optical signal having a light intensity equal to that of the logic 1;
  • the corresponding light intensity is I
  • I corresponds to logic 1
  • the corresponding light intensity is 0, corresponding to logic 0;
  • the A is connected to the control end of the optical control optical switch
  • the first optical signal is connected to an input end of the optical control optical switch
  • the output end of the optical control optical switch is the output end of the non-gate.
  • the non-gate provided by this embodiment can be realized by only one optical control optical switch, and has no phase requirement for A and 1 and .
  • the various logic gates provided in the above embodiments are all implemented by the optical logic gate. Since the optical signal has advantages in calculation speed and bandwidth compared with the electrical signal, and the power consumption is low and the area is small, the above implementation is performed.
  • the basic logic gates provided in the examples enable various computing functions in digital circuits.
  • the optical logic gates provided in the embodiments of the present application are introduced. The following describes an implementation manner of the optical control optical switches used in the optical logic gates.
  • FIG. 11 the figure is a schematic diagram of a light control optical switch provided by the present application.
  • the function of the light control optical switch 400 is to control the on and off of the optical path, and the control end of the optical control optical switch 400 is independent of the phase of the optical control signal.
  • the input end of the optically controlled optical switch 400 is generally composed of two beams, one of which is used to change the resonant condition of the microcavity, referred to as pumping light 1000; the other of which carries a signal, referred to as probe light 2000.
  • the light output of the light control optical switch 400 is 5000.
  • the working principle of the optical control optical switch 400 is similar to that of the electro-optical switch, but the difference is that the carrier injection mode of the optical control optical switch 400 mainly depends on the generation of photo-generated carriers when the pumping light illuminates the silicon waveguide.
  • the change in the refractive index and absorption coefficient of the material caused by the change in carrier concentration is ultimately reflected as a change in the microcavity resonance condition, thereby effecting switching of light of a specific wavelength.

Abstract

La présente invention porte sur une porte logique toute optique, qui utilise un mélangeur de faisceaux et un diviseur de faisceaux pour une lumière, un commutateur optique commandé en lumière, l'aptitude à la superposition d'une lumière, et le principe d'interférence de la lumière pour mettre en œuvre des opérations logiques et utilise des réglages pour la longueur d'un guide d'ondes optique pour régler la phase d'une onde de lumière, satisfaisant ainsi des exigences d'un ordinateur et fournissant différentes portes logiques toutes optiques. Ces portes logiques toutes optiques permettent une intégration de silicium facilitée et sont aisées à mettre en œuvre. Ces portes logiques toutes optiques comprennent : une porte NON-ET, une porte OU, une porte NON-OU, une porte OU exclusif, une porte ET et une porte NON. Ces portes logiques toutes optiques sont avantageuses par rapport à des portes logiques électronique en termes de consommation électrique, vitesse et surface. Également, ces portes logiques toutes optiques permettent la construction directe de divers blocs de fonction arithmétique.
PCT/CN2013/090036 2013-12-20 2013-12-20 Porte logique toute optique WO2015089812A1 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
PCT/CN2013/090036 WO2015089812A1 (fr) 2013-12-20 2013-12-20 Porte logique toute optique
CN201380004328.4A CN104956260B (zh) 2013-12-20 2013-12-20 一种全光逻辑门

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2013/090036 WO2015089812A1 (fr) 2013-12-20 2013-12-20 Porte logique toute optique

Publications (1)

Publication Number Publication Date
WO2015089812A1 true WO2015089812A1 (fr) 2015-06-25

Family

ID=53401986

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CN2013/090036 WO2015089812A1 (fr) 2013-12-20 2013-12-20 Porte logique toute optique

Country Status (2)

Country Link
CN (1) CN104956260B (fr)
WO (1) WO2015089812A1 (fr)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107872740B (zh) * 2017-12-25 2023-03-28 清华大学 一种全光数据选路装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1363855A (zh) * 2002-01-30 2002-08-14 北京大学 光逻辑运算的非门和与门
CN101063787A (zh) * 2006-01-18 2007-10-31 邱富春 特定的二进制光信号及其基本逻辑门光路的实现
CN101416107A (zh) * 2006-02-14 2009-04-22 科维特克有限公司 使用非线性元件的全光逻辑门
CN101836145A (zh) * 2007-04-12 2010-09-15 盖尔创尼克斯光学有限公司 光学开关及采用该光学开关的逻辑门
CN101866065A (zh) * 2010-05-28 2010-10-20 浙江大学 一种相变材料辅助的自持式光控波导光开关

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4262992A (en) * 1979-04-18 1981-04-21 The United States Of America As Represented By The Director Of The National Security Agency Variable integrated optical logic element
JP2762490B2 (ja) * 1988-11-07 1998-06-04 日本電気株式会社 光素子
WO2007094845A1 (fr) * 2006-02-14 2007-08-23 Coveytech, Llc Portes logiques entièrement optiques utilisant des éléments non linéaires
CN102156375B (zh) * 2011-04-13 2013-01-16 清华大学 一种光逻辑门

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1363855A (zh) * 2002-01-30 2002-08-14 北京大学 光逻辑运算的非门和与门
CN101063787A (zh) * 2006-01-18 2007-10-31 邱富春 特定的二进制光信号及其基本逻辑门光路的实现
CN101416107A (zh) * 2006-02-14 2009-04-22 科维特克有限公司 使用非线性元件的全光逻辑门
CN101836145A (zh) * 2007-04-12 2010-09-15 盖尔创尼克斯光学有限公司 光学开关及采用该光学开关的逻辑门
CN101866065A (zh) * 2010-05-28 2010-10-20 浙江大学 一种相变材料辅助的自持式光控波导光开关

Also Published As

Publication number Publication date
CN104956260B (zh) 2019-04-12
CN104956260A (zh) 2015-09-30

Similar Documents

Publication Publication Date Title
Askarian et al. A novel proposal for all optical half-subtractor based on photonic crystals
Ying et al. Electro-optic ripple-carry adder in integrated silicon photonics for optical computing
JP4892560B2 (ja) 光子ロジックゲートの使用方法及び装置
Shaik et al. Single photonic crystal structure for realization of NAND and NOR logic functions by cascading basic gates
Glick et al. PINE: photonic integrated networked energy efficient datacenters (ENLITENED program)
Feng et al. Wavelength-division-multiplexing (WDM)-based integrated electronic–photonic switching network (EPSN) for high-speed data processing and transportation: High-speed optical switching network
Jia et al. Six-port optical switch for cluster-mesh photonic network-on-chip
JP2023504798A (ja) 光計算装置および光信号処理方法
Yuan et al. Terahertz spoof surface plasmonic logic gates
Zhao et al. Optical computing on silicon-on-insulator-based photonic integrated circuits
Garai Novel method of designing all optical frequency-encoded Fredkin and Toffoli logic gates using semiconductor optical amplifiers
Jadhav et al. Micro-ring resonator based all-optical Arithmetic and Logical Unit
Feng et al. Toward High‐Speed and Energy‐Efficient Computing: A WDM‐Based Scalable On‐Chip Silicon Integrated Optical Comparator
Yang et al. Demonstration of a directed optical comparator based on two cascaded microring resonators
WO2015089812A1 (fr) Porte logique toute optique
CN116739063A (zh) 一种基于多模干涉仪以及相干检测的神经网络加速器
CN109240019B (zh) 一种二进制全光比较器
Kim et al. All-optical logic gate using asymmetric 2D photonic crystal MMI for multifunctional operation
Ying et al. Sequential logic and pipelining in chip-based electronic-photonic digital computing
Rakshit et al. Design of micro ring resonator based all optical adder/subtractor
JP5667021B2 (ja) フォトミキサおよび光電子集積回路
Subhalakshmi et al. Design and analysis of optical logic gate using two dimension photonic crystal
Feng et al. Wavelength-division-multiplexing-based electronic-photonic network for high-speed computing
Tripathi Design and Performance Investigations with Ultra High Speed Optical ALU
Rahaman et al. Implementation of optical Half-Subtractor using micro ring resonator loaded Mach–Zehnder structure

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13899768

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13899768

Country of ref document: EP

Kind code of ref document: A1