WO2015012982A1 - Système de lithographie duv de matrice de points balayés - Google Patents

Système de lithographie duv de matrice de points balayés Download PDF

Info

Publication number
WO2015012982A1
WO2015012982A1 PCT/US2014/043462 US2014043462W WO2015012982A1 WO 2015012982 A1 WO2015012982 A1 WO 2015012982A1 US 2014043462 W US2014043462 W US 2014043462W WO 2015012982 A1 WO2015012982 A1 WO 2015012982A1
Authority
WO
WIPO (PCT)
Prior art keywords
spot
radiation
microlens
array
scanned
Prior art date
Application number
PCT/US2014/043462
Other languages
English (en)
Inventor
Kenneth C. Johnson
Original Assignee
Johnson Kenneth C
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Johnson Kenneth C filed Critical Johnson Kenneth C
Priority to US14/906,875 priority Critical patent/US9651874B2/en
Publication of WO2015012982A1 publication Critical patent/WO2015012982A1/fr

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70275Multiple projection paths, e.g. array of projection systems, microlens projection systems or tandem projection systems

Definitions

  • Patent and patent application numbers will be generally abbreviated after the first citation by their three-digit suffix, e.g., U.S. Provisional Patent Application No. 61/857,166 is referred to as the ' 166 application.
  • the text file names need to be modified by deleting the ".txt” extension and changing the last underscore to a period (e.g., rename "Si02__266__ZTG.txt” to "Si02_266.ZTG”).
  • the files will be referred to by their modified names hereafter.
  • This application relates generally to scanned-spot-array lithography systems, and more specifically to scanned-spot-array lithography systems using deep ultraviolet (DUV) sources.
  • DUV deep ultraviolet
  • Scanned- Spot-Array Optical Lithography is a maskless lithographic printing method in which an array of diffraction-limited focused-radiation spots is raster-scanned over a printing surface (a photosensitive optical recording medium) to synthesize a high-resolution recorded image.
  • the spots may be individually modulated by a spatial light modulator, or they may be collectively modulated by a single modulator.
  • a scanned-spot system described in U.S. Patent No. 5,900,637 (the '637 patent) comprises Fresnel zone plates 200, which convert parallel (i.e., eollirnated) beamlets 212 of electromagnetic radiation into focused beamlets 213 converging to foci 215 on a printing substrate (the '637 patent's FIG. 2; col. 2, line 55 to col. 3, line 8; and col. 4, lines 4-27).
  • the beamlets are individually modulated by micromechanical shutters 219 between the zone plates and the substrate.
  • the beamlets may be modulated by means of either shutters or micromechanical mirrors preceding the zone plates in the parallel beam paths (the '637 patent's FIG. 3; col. 4, lines 23-44).
  • An alternative spot-scanning system disclosed in U.S. Patent No. 6,133,986 similarly uses an array 11 of light-modulating elements such as micromirrors to modulate individual beamlets, which are focused by a microlens array 2 onto foci on a printing surface 12. (See the '986 patent's FIG. 2 and col. 4, lines 28-48).
  • an array 11 of light-modulating elements such as micromirrors to modulate individual beamlets, which are focused by a microlens array 2 onto foci on a printing surface 12.
  • the beamlets all pass through a common projection aperture 7 of a projection system 1, which images the modulator elements onto corresponding microlenses.
  • FIG. 3 illustrates the beamlet light paths as being parallel and non-intersecting in the space between the mirror array and the zone plates.
  • the focusing elements in the '986 patent may be continuous-profile microlenses, which have higher optical efficiency and less chromatic aberration than zone plates.
  • Other possible microlens forms include micro-Fresnel lenses or binary optics (the '986 patent's col. 13, lines 34-38).
  • the '986 patent also describes methods for sensing and correcting positional errors between the microlenses and the printing surface, e.g., by means of a piezoelectric transducer coupled directly to the microlens array (col. 19, line 19 to col. 25, line 9).
  • U.S. Patent No. 6,897,941 discloses a spot-scanning system, which is similar to those of the '637 and '986 patents in that it uses a spatial light modulator to modulate an array of parallel optical beams, and focuses the modulated beams onto a spot array by means of microlens focusing elements.
  • the modulated beams 106 are parall el in the sense of being collimated between the coll imating optics 103 and focusing elements 114.
  • the beamlets may be focused directly onto the printing substrate 120 in the manner of the '637 and '986 patents' inventions, or the focused spots may be imaged through a demagnifymg lens 150 (col. 6, lines 53-55). Positioning errors may be controlled by means of a compensator system similar to the '986 patent's positioning feedback and control mechanisms (the '941 patent's col. 3, lines 62-65 and col. 1 1 , line 66 to col. 12, line 21). In an improvement over the '637 and '986 patents, the system resolution is improved by incorporating a "beam shaper" (or “apodizer") comprising an array of shaped apertures in the parallel beam path (col. 2, lines 50-55; col.
  • U.S. Patent Application No. 13/523,843 discloses design concepts for a scanned-spot system in which focused-radiation exposure spots are generated by imaging an array of radiant-energy source spots through a projection lens onto a printing surface at the projection lens's image plane.
  • the source spots are formed in the projection lens's object surface by a microlens array.
  • This is similar to the '941 patent's embodiment employing a "demagnifying lens" (i.e., a projection lens), but in an improvement over '941 the microlenses in the '843 application may be configured to counterbalance and neutralize imperfect imaging characteristics of the projection lens, enabling aberration-free point imaging over the entire spot array.
  • the microlenses can also (or alternatively) be configured to achieve narrow-band achromatizatioii, intensity control, and polarization control of the image-plane radiation.
  • the exposure spots may be individual ly modulated by a spatial light modulator, or they may be collectively modulated by a single modulator (in which case the spots all print identical patterns). If th ey are individual ly modulated, the modul ator elements may precede the microlens array as in the '986 and '941 patents, or they may comprise high-speed
  • micromechanical shutters integrated with an aperture array following the microlens array (see the '843 application's para. 0091 and FIG. 15).
  • U.S. Patent Application No. 13/801,919 (the '919 application) describes a specific embodiment of the '843 application's invention that is adapted primarily for EUV (extreme ultraviolet) application, but which could also be used for DUV or visible-light lithography or other forms of high-resolution printing.
  • the design uses a Schwarzschild catoptric projection lens comprising only two mirrors, and a novel microlens array comprising phase-Fresnel diffractive doublets in an achromatic Schupmaim configuration.
  • the Schupmami The Schupmami
  • microlenses provide advantages of high optical efficiency and low chromatic aberration, and they can be formed on flat substrates (the '919 application's FIG. 10) using accurate micro fabrication processes such as atomic layer deposition and e-bearn lithography (the '919 application's para. 0125).
  • the '919 application further discloses a modulation method in which modulator elements (indicated schematically as boxes 1105 in the '919 application's FIG. 11) are located at the microlens foci (see the '919 application's para. 0073).
  • modulator elements indicated schematically as boxes 1105 in the '919 application's FIG. 11
  • the '941 patent's configuration employing a demagnifying lens, in which the modulation is applied to parallel beams before they intercept and are focused by the microlenses.
  • the microlens foci are imaged through projection optics and onto the printing surface at reduced magnification, so the microlenses can be comparatively large elements of low numerical aperture and the modulators can intercept the beams at or close to the intermediate foci.
  • the modulators can consequently be
  • microlens can generally denote a refractive and/or reflective micro-optic focusing device.
  • the micromirror illustrated in the '919 application's FIG. 12 is a type of reflective microlens.
  • the terms "lens” can general!)' denote a refractive and/or reflective focusing device.
  • Embodiments of the invention provide a scanned-spot-array lithography system and method in which multiple radiation beams are focused through intermediate foci at the object surface of a projection system, and the intermediate foci are imaged by the projection system onto corresponding focused- radiation spots on an image plane.
  • the spots are scanned across a printing surface (i.e., a photosensitive layer proximate the image plane) in synchronization with modulation of the radiation beams to record a synthesized, high-resolution raster image on the printing surface.
  • a scanned-spot-array lithography system comprises an array of mi crolenses and corresponding optical modulators, a projection system, and a scanning mechanism, wherem the array of microlenses and corresponding optical modulators, the projection system, and the scanning mechanism operate cooperatively to print a lithographic image on a photosensitive layer when the layer is positioned proximate an image plane.
  • each microlens receives radiation from a radiation source and focuses it into a convergent beam converging toward a corresponding intermediate focus.
  • Each convergent beam transmits through and diverges from the corresponding intermediate focus, transmits through the projection system, and is focused by the projection system onto a corresponding focused-radiation spot on the image plane.
  • the optical modulator corresponding to each microlens is positioned to intercept the corresponding convergent beam proximate the intermediate focus, and operates to modulate the radiation transmitting to the corresponding focused-radiation spot.
  • the scanning mechanism raster-scans the photosensitive layer relative to the focused-rad ation spots in synchronization with the modulation to record a synthesized, high-resolution raster image on the photosensitive layer.
  • the system may further comprise collimation optics, which receive divergent radiation from the radiation source and direct it into substantially collimated radiation intercepting the microlens array.
  • system according to the first aspect can be characterized by one or more of the following attributes:
  • the micro leases are configured to substantialiv eliminate geometric point-imaging optical aberrations at the focused-radiation spots.
  • micro leases are singlet microlens elements
  • the microienses are Schupmann doublets, each doublet comprising first and second microlens elements.
  • the first microlens element of each doublet focuses radiation toward the corresponding intermediate focus, the second element receives radiation diverging from the intermediate focus and further diverges it; and the first and second elements are configured to substantially eliminate chromatic aberration at the corresponding focused-radiation spot.
  • the microienses comprise phase- Fresnel elements.
  • the projection system comprises at least one phase-Fresnel lens surface.
  • Each modulator comprises a micromecbamcai shutter mechanism.
  • Each modulator comprises two proximate transmission diffraction gratings, one of which is actuated to vary the convergent beam's zero-order transmittance through both gratings between a substantially zero-transmittance OFF state and a high- transmittance ON state.
  • Each modulator comprises a micromechanical shutter mechanism for effecting
  • micromechanically actuated to provide spot centration control
  • the system according to the first aspect is characterized by the radiation source being monochromatic, the microlenses and the projection system being configured to produce substantially zero-intensity nodal lines at some or all. of the focused-radiation spots, and the scanning mechanism raster-scanning the photosensitive layer in the direction of the nodal lines.
  • a method of printing a synthesized, high- resolution raster image on a photosensitive layer proximate an image plane uses a system according to the second aspect of the invention.
  • the method comprises exposing the photosensitive layer to a nodal line exposure pattern and a trim exposure pattern, wherein the system according to the second aspect performs the nodal line exposure, and selected portions of the nodal line pattern are exposed by the trim exposure.
  • a scanned-spot-array lithography system performs the trim exposure.
  • the system according to the first aspect is characterized by the radiation from the radiation source comprising first and second distinct wavelengths, the microlenses and the projection system being configured to produce intensity maxima in the first wavelength coinciding with substantially zero-intensity nodal lines in the second wavelength at some or all of the focused-radiation spots, and the scanning mechanism raster-scanning the photosensitive layer in the direction of the nodal lines.
  • a method of printing a synthesized, high-resolution raster image on a photosensitive layer proximate an image plane uses a system according to the fourth aspect of the invention.
  • the method comprises exposing the photosensitive layer to focused-radiation spots comprising intensity maxima at a first wavelength coinciding with nodal lines at a second wavelength, and the second wavelength inhibiting photo-activation of the photosensitive layer by the first wavelength.
  • multiple instances of the system of the first aspect are configured to operate in parallel and to simultaneously print onto a photosensitive layer on a common image plane, wherein the separate instances comprise separate microlens arrays, modulators, and projection systems.
  • a method of printing a synthesized, high- resolution raster image on a photosensitive layer proximate an image plane comprises directing radiation from a radiation source through an array of microienses and corresponding optical modulators, through a projection system, and onto the image plane in a manner so as to generate focused-radiation spots; and operating a scanning mechanism to raster-scan the photosensitive layer relative to the focused-radiation spots in synchronization with the modulation to record the synthesized, high-resolution raster image on the photosensitive layer, [0030]
  • the focused-radiation spots are generated as follows.
  • Each microlens receives radiation from the radiation source and focuses it into a convergent beam converging toward a corresponding intermediate focus, each convergent beam transmits through and diverges from the corresponding intermediate focus, transmits through the projection system, and is focused by the projection system onto a corresponding focused- radiation spot on the image plane, and the optical modulator corresponding to each microlens is positioned to intercept the corresponding convergent beam proximate the intermediate focus, and operates to modulate the radiation transmitting to the corresponding focused- radiation spot.
  • FIGS. 1A and IB show a cross-sectional view of the lithography system optics in a part c u lar embo diment ;
  • FIG. 2 is an expanded view of region 2 in FIG. IB;
  • FIG. 3A illustrates a phase-Fresnel microlens in the meridional cross-sectional plane of FIGS. IA, IB, and 2 (region 3A in FIG. 2) and
  • FIG. 3B illustrates the microlens in plan view;
  • FIG. 4 is an enlarged view of region 4 in FIG. 3 A, illustrating detail of the phase- Fresnel facet structure
  • FIGS. 5 and 6 are enlarged views of corresponding regions 5 and 6 in FIG. IB, illustrating the projection system's phase-Fresnel surfaces
  • FIG. 7 schematically illustrates the printing process
  • FIG. 8 tabulates design parameters and equations relating to system printing performance for the embodiment of FIGS. 1A and IB;
  • FIG. 9 il lustrates an array of 19 projection lenses covering a 300-mm semiconductor wafer (in plan view);
  • FIG. 10 illustrates 37 projection lenses covering a 450-mm wafer
  • FIG. 1 1 outlines the functional form of the microlens grating phase;
  • FIGS. 12A-12C conceptually illustrate a process for manufacturing phase-Fresnel grating structures;
  • FIG. 13 is an enlarged view of region 13 in FIG. 5 (with exaggerated aspect ratio), illustrating a Fresnel facet structure that could be used on the peripheral region of surface 108 in FIG. I B;
  • FIG. 14 tabulates design data corresponding to FIG. 13;
  • FIG. 15 tabulates calculated optical performance data corresponding to FIG. 13;
  • FIG. 16 is an enlarged view of region 16 in FIG. 6 (with exaggerated aspect ratio), showing an illustrative Fresnel facet design for the peripheral region of surface 109 in FIG. I B;
  • FIG. 17 tabulates design data corresponding to FIG. 16;
  • FIG. 18 tabulates calculated optical performance data corresponding to FIG. 16;
  • FIGS. 19A-19D illustrate an optical modulator (in region 19A of FIG. 2) comprising paired apertures, which are actuated to move in opposite directions;
  • FIG. 20 illustrates a backside wafer alignment technique
  • FIGS, 21 A and 21 B comparatively illustrate a singlet microlens (FIG. 21A) and a Schupmaim doublet microlens (FIG. 2 IB);
  • FIGS. 22A-22D illustrate several variant microlens forms
  • FIGS. 23A and 23B illustrate an optical modulator comprising proximate transmission gratings
  • FIGS. 24 and 25 illustrate a rectangular aperture geometry, and associated equations, used for nodal line printing
  • FIG. 26 illustrates an elongated focused-radiation spot resulting from aperture narrowing
  • FIG. 27 illustrates an array of 38 projection lenses, with narrowed, rectangular apertures, covering a 300-mm semiconductor wafer (in plan view);
  • FIGS. 28A and 28B tabulate equations defining several alternative pupil functions and associated focal-plane field amplitudes
  • FIG. 29 illustrates a rectangular-aperture pupil function, with and without apodization, and FIG. 30 illustrates the associated focal-plane field intensity
  • FIG. 31 illustrates the pupil functions used for nodal line printing
  • FIG. 32 illustrates the associated focal-plane field intensity
  • FIGS. 33 and 34 illustrate two alternative lens forms for producing the nodal lines
  • FIG. 35 illustrates a double-peak focus spot used for printing nodal lines
  • FIG. 36 illustrates alternative pupil functions used for printing higher-density nodal lines using dipole illumination
  • FIG. 37 illustrates the associated focal-plane field intensity
  • FIG. 38 tabulates equations related to an interleaved raster scan method
  • FIGS. 39A-39C and 40-44 illustrate the interleaved raster scan method
  • FIG. 45 illustrates an alternative scan configuration that makes more efficient use of a circular image field
  • FIG. 46 illustrates a laser beam scanner used for illumination strobing when a continuous laser source is used with the interleaved raster scan method;
  • FIGS, 47-52 illustrate alternative micro! ens aperture geometries and array configurations;
  • FIGS. 53A and 53B tabulate equations for a 193-nm immersion (“193i”) design examp!e; [0069]
  • FIG. 54 illustrates the microlens array aperture dimensions and clearances for the I93i design example;
  • FIG. 55 illustrates an optica! modulator comprising a shutter mechanism for ON/OFF control and a grating modulator for gray-level control;
  • FIG. 56 illustrates spot-generation optics including a movable microlens, which provides dynamic spot centering control ;
  • FIG. 57 tabulates equations associated with spot centering control
  • FIG. 58 illustrates a Schupmann-type microlens doublet with a movable element for spot centering control
  • FIG. 59 schematically illustrates the components of a scanned-spot-array lithography system and their functional relationships.
  • Part I discloses a detailed optical design for a scanned-spot-array lithography system that is configured to operate with a 266-nm diode laser.
  • the diode laser has a high repetition rate (e.g. 80 MHz), which is advantageous for h gh -throughput mask! ess lithography.
  • Part 2 discloses an alternative "nodal line printing" method, which could achieve higher throughput and better print resolution with the comparatively low repetition rate (e.g., 6 kHz) of a 193-nm or 157- nm excimer laser.
  • Dense line patterns can be formed by using prior-art multi-patterning and pitch division techniques, or by using a non-linear, duai-wavelength recording process. (Part 1 is based primarily on the ' 166 application, and Part 2 is based on the '407 and '552 applications.)
  • An embodiment of the invention is adapted primarily for DUV application, using a dioptric projection lens similar to that depicted schematically as assembly 303 in the '843 application's FIG, 4, although the' 843 application did not disclose an actual optical design for the projection lens.
  • the '919 application provided design data for an EUV Schwarzschild (catoptric) projection lens, which could be used for DUV, but a dioptric lens can achieve better imaging resolution.
  • the system employs microlens focusing elements (301 in the '843 application's FIG. 4), which may be configured to compensate for the projection system's imperfect imaging characteristics, but the dioptric projection system reduces the amount of aberration correction required in the microlens design.
  • the projection lens is designed to operate in immersion mode, similar to prior-art 193-nm immersion lithography lenses (for semiconductor wafer processing), but using a frequency-quadrupled diode laser at a 266-nm wavelength.
  • the diode laser has a
  • a limitation of the 266-nm diode laser is its comparatively wide spectral band width, about 50 pm (picometer), compared to less than 1 pm for line-narrowed excimer lasers.
  • the projection system includes two phase-Fresnel, difrractive lens surfaces as achromatizing elements.
  • the phase-Fresnel surfaces are annular- zone diffraction gratings blazed for maximum efficiency in the +1 or -1 diffraction order.
  • Two diffractive surfaces suffice to correct both axial and lateral color.
  • the diffractive surfaces provide the functionality of strong aspheric elements.
  • phase-Fresnel projection lens elements and aberration-correcting microlenses make it possible to achieve design optical aberrations below the milliwave (0.001 wave) level across the entire image field and over the laser's foil wavelength spectrum.
  • the microlens array (element 301 in the '843 application's FIG. 4) could comprise Schupmann diffractive doublets similar in function to that illustrated in the '919 application's FIG. 10 to minimize chromatic aberration. But the microlenses' chromatic aberration over the 50 pm source bandwidth would be insignificant (less than 1 milliwave) due to their small focal lengths, so in the preferred embodiment the microlenses are diffractive singlet elements. (Schupmann doublets could have advantages in alternative embodiments.)
  • the microlenses are preferably phase-Fresnel elements, similar to the projection lens's diffractive surfaces, which can be fabricated using techniques such as atomic layer deposition and e-beam lithography.
  • the projection lens images a 40-mm-diameter object field onto a 1 ,6-mm-diameter image field at 25X reduction.
  • the system is comparatively small, with a maximum lens diameter of 52 mm (compared to about 250 mm for prior-art immersion lithography systems, e.g., U.S. Patent No. 8,355,201 ).
  • Multiple such projection systems can operate in parallel on a single wafer to achieve printing throughput of order twenty 300-mm wafers per hour. This is significantly lower than prior-art mask-projection scanners, which can process more than 200 wafers per hour, but the laser power requirement is also commensurately lower.
  • the spot-scanning system's scan speed would also be comparatively low, e.g., 35 mm/sec, in contrast to prior-art mask-projection scanners, which scan the wafer at about 700 mm/sec and the mask at 2.8 m/sec while maintaining nanometer-scale dynamic alignment (Ref. 2).
  • the spot-scanning system can use a backside alignment technique for accurate, real-time positional feedback, enabling superior overlay control for multi-patterning lithography.
  • the small-scale projection lens design has multiple advantages over prior-art, monolithic projection lenses. Small-scale lens elements use less glass volume per unit aperture area and can be manufactured more easily. Geometric and chromatic optical aberrations generally scale in proportion to the lens size. Thennallv- induced aberrations are also less significant with small-scale lenses, and smaller elements achieve temperature equilibration more quickly. The effects of optical absorption, refractive ind ex
  • FIGS. 1 A. and I B show a cross-sectional view of the lithography system optics in a particular embodiment.
  • Laser radiation originating from source point 101 is collimated by collimator 102, is focused by a microlens array through intermediate foci at the object plane of a projection system 103, and is modulated by elements of a spatial light modulator (SLM) at the intermediate foci.
  • SLM spatial light modulator
  • the microlenses and modulators are disposed on opposite sides of a microlens/SLM plate 104.
  • the intermediate foci are imaged by the projection system onto a printing surface 105, which is optically coupled to the projection lens through an immersion fluid.
  • the printing surface is raster-scanned in synchronization with the modulators to synthesize a high-resolution printed image.
  • Two limit rays 106 at the edge of the image field are illustrated in FIGS. 1A and IB.
  • the optics are configured to work with a 266-nm diode laser being developed by Coherent Inc. (Ref. 3), based on its Paladin (355-nm) product platform, with a power rating initially targeted at 1.5 W and a repetition rate of at least 80 MHz.
  • the diode laser's comparatively wide spectral bandwidth (50 pm) necessitates the use of an achromatic projection lens.
  • the wide bandwidth is a consequence of the laser's very short pulse duration, of order 15 picosecond (although this is not short enough to induce significant optical nonlinearity in the projection lenses, Ref. 4).
  • the collimator and projection system designs have rotational symmetry around an optical axis 107.
  • the collimator 102 comprises an achromatic air- spaced doublet with a biconvex calcium fluoride (CaF 2 ) element CL1 and a plano-concave synthetic fused silica (Si0 2 ) element CL2, both of which have spherical surfaces.
  • the transmitted beam from the doublet is approximate!)' collimated.
  • off-axis phase- Fresnel microlenses could be used to neutralize chromatic aberration in the collimator, but the microlens design is simplified by using a substantially achromatic collimator.
  • the aberration-correcting function of the microlenses obviates the need for aspheric elements in the collimator.
  • FIG. 2 is an expanded view of region 2 in FIG. IB, showing the edge portions of lens element CL2 and plate 104 intercepted by limit rays 106.
  • the plate thickness is 1 .56 mm, and a 10- micron air space separates the plate and CL2. (in alternative embodiments CL2 could be optically contacted to the plate.)
  • the microlenses e.g., microlens 201 , shown in an expanded view of FIG. 2 region 3.4 in FIG. 3A) are formed on the plate's top (CL2-facing) surface.
  • the intermediate foci are proximate the plate's bottom surface, which provides a substrate for the SLM.
  • a modulator element is illustrated schematically as box 203 in FIG. 2.
  • the CL2 planar surface can serve as a substrate for a microstructure such as that illustrated in the '843 application's FIG. 47, which controls polarization and intensity.
  • the microlenses may be formed on the CL2 planar surface and
  • polarization/intensity control structures may be formed on top surface of plate 104.
  • the projection optics comprise 15 lens elements PL! ... PL15, which are all SiO? and all have spherical or planar surfaces, except that surface 108 on PL! and surface 109 on PL12 comprise phase-Fresnel layers on spherical Si0 2 substrates.
  • a form-birefringent polarization-control structure similar to that illustrated in the '843 application's FIGS, 42 and 43 may be formed, e.g., on spherical surface 110 of PL11 or on a buried planar surface 111 within element PL10, An aperture stop 112 may be located between PL11 and PL12, The last lens element PL15 is plano-convex, with its planar side optically coupl ed to the printing surface 105 through a 0.5 -ram. layer of immersion fluid
  • the printing surface may be optically contacted to a solid, transparent cover plate, which is optically coupled to the last lens element through an immersion fluid. (Insertion of an Si0 2 cover plate would have no effect on the optical design other than to reduce the PL15 thickness by an amount equal to the plate thickness.)
  • FIG. 3 A illustrates a phase- Fresnel microlens 201 (one of an array of microlenses on plate 1 ⁇ 4) in the meridional cross-sectional plane of FIGS. lA, IB and 2.
  • a ''meridional" plane contains axis 107.
  • FIG. 3B shows a plan view of one half of the microlens on one side of the meridional plane.
  • the microlens design has bilateral symmetry across the meridional plane.
  • the scales in FIG. 3B are marked in micron units.
  • the microlens clear aperture 301 has a diameter of approximately 100 micron, and the microlens surface has four facet steps 302, 303, 304 and 305.
  • Region 4 in FIG. 3A is shown in an enlarged view in FIG. 4, illustrating detail of the microlenses' phase-Fresnel facet structure for microlens 201 in a preferred embodiment.
  • the minimum facet width is approximately 5 microns.
  • the Fresnel facets could be etched directly into the Si0 2 plate 104 as a linear-ramp profile (e.g., using gray-scale lithography), but they are preferably formed as a multilayer ''staircase" pattern, as illustrated, comprising layers of a material of hi gh refractive index such as hafnium oxide (Hf() 2 , also referred to as hafiiia) or silicon nitride (Si 3 N 4 ). (A method for fabricating such stmctures will be described in section 6.) A diffractive structure formed in a high-index medium will generally have a shallower grating profile, higher optical efficiency, and less optical scatter than one formed directly in SiQ 2 .
  • a material of hi gh refractive index such as hafnium oxide (Hf() 2 , also referred to as hafiiia) or silicon nitride (Si 3 N 4 ).
  • the forai-birefringent polarization-control structures are also preferably formed in a high-index medium such as Hf0 2 or S13N 4 , as described in the '843 application.)
  • Hf0 2 the facet heights are approximately one-quarter micron.
  • phase-Fresnel surfaces 108 and 109 have a form similar to the microlenses, as illustrated by the enlarged views of FIG, 1 B regions 5 and 6 sho wn in corresponding FIGS. 5 and 6.
  • Phase-Fresnel surface 108 has negative optical power
  • surface 109 has positive power.
  • a 1 -micron dimensional reference is shown in the figures.
  • the figures represent the diffractive surfaces near the periphery of the lens apertures where the grating period is smal lest. The minimum period is 2.16 micron for surface 108 and 1 .04 micron for surface 109.
  • the phase-Fresnel surfaces have a form similar to the microlenses (e.g., Hf0 2 layers with a facet height of approximately one-quarter micron).
  • FIG. 7 schematically illustrates the printing process
  • FIG. 8 tabulates design parameters and equations relating to system printing performance.
  • the printing surface 105 (shown in plan view) scans in direction 701 so that each focused- radiation spot 702 of a spot array traces a raster line 703 in synchronization with modulation of the spot intensity.
  • the spots are centered on a square grid distributed over a square exposure field 704, which is inscribed within a circular image field 705.
  • the spots might be centered on a triangular grid to increase the microlens array's fill factor, and the exposure field would not necessarily be square.
  • the image field radius is denoted h ; the exposure field width is w ; the center spacing between spots is d ; the center spacing between raster lines is ⁇ ; and the number of spots per exposure field is N .
  • the raster line spacing is specified to be approximately 20 ran ( ⁇ !> ⁇ 20 nm , Eq. 8.3).
  • the image's minimum spatial period based on the Nyquist frequency limit of ⁇ I ⁇ I N A) is 111 nm for a wavelength ⁇ of 266 nm and numerical aperture NA of 1.2; thus a 20-nm line spacing is well within the system's optical resolution limit.
  • There are N raster lines distributed across the width dimension w implying that N ⁇ 56569 (Eq. 8.4).
  • the specified number of spots is increased to N - 65536 so that the spots form a 256-by-256 array (Eq. 8.5).
  • This adjustment reduces the raster line spacing to ⁇ ------- 17.3 nm (Eq. 8.6)
  • the projection system's object plane is imaged onto the image plane at 25X reduction; thus the 0.8-mm image field radius implies an object field radius of 20 mm, and the spot center spacing of 4.42 urn implies a microlens center spacing of 110 um.
  • the printing surface is assumed to scan a distance ⁇ per clock pulse, implying a scan speed of 34.5 mm/sec (Eq. 8.9).
  • the modulators would not necessarily need to switch at a 2 MHz rate, but their ON/OFF transitions are controlled with 0.5 -microsecond resolution. If the switching time is 1 microsecond (2 clock pulses), for example, then the distance between switching points along the scan lines would be at least 25 (i.e., 34.6 am), but the locations of the switching points would be specified with a positioning resolution of ⁇ .
  • the switching can be controlled in finer time increments (up to the limit of the 80 MHz source repetition rate) to accurately control gray level and exposure dose.
  • FIG. 9 illustrates an array of 19 projection lenses such as lens 901 covering a 300-mm
  • the aggregate area scan rate for 19 exposure fields is 742 mnr /sec , which equates to 37.8 wafers per hour considering only the scan time. Taking into account the throughput overhead from wafer loading, alignment, and scan reversal, the printing throughput could be expected to be of order 20 wafers per hour.
  • FIG. 10 illustrates 37 projection lenses 901 covering a 450-mm wafer 902. This system could achieve approximately the same 20 wafer-per-hour throughput as the 19-lens, 300-mm system of FIG. 9.
  • the throughput is limited primarily by the assumed printing grid step (17.3 nm), the SLM clock frequ ency (2 MHz), the number of modulators and microlenses per SLM (65,536), and the throughput overhead from non-printing operations (approximately 50%).
  • a detailed optical design for the illustrative embodiment is provided in the ' 166 application's Computer Program Appendix 4 as a Zemax macro (ZPL file), which initializes the design in Zemax. (The macro and associated files will be described in section 5.) The design optimization was not performed in Zemax, but an outline of the design methodology follows.
  • the optical system is designed from bottom-to-top, using reverse ray tracing from the printing surface 105 to the source 101 (FIG. 1 A) to optimize the reverse images of the target exposure points at the source.
  • the projection optics are designed to optimize the point-imaging performance between the object plane and image plane over a range of wavelengths (e.g., wavelengths 266, 265.98, and 266.02 nm were used for the illustrative design).
  • optical rays are reverse-traced from a set of design image field points on the image plane (i.e., the printing surface 105 in FIG. I B) back to the object plane (on the bottom of plate 104).
  • a conical fan of rays defined by the image numerical aperture ( NA - 1.2 ) is traced from each image point to the conjugate object spot, (FIG. 2 il lustrates meridional limit rays 106 of one such ray fan intercepting object point 202.)
  • the system design parameters are optimized to minimize the aberrated spot sizes of the reverse point images on the object surface.
  • the object surface need not be planar, but a flatness constraint is imposed to avoid the complication of fabricating microlenses and SLM components on non- planar substrates.
  • Image distortion need not be controlled because the micro! ens positioning is determined to accommodate any distortion.
  • the projection system is teleceiitiic at the image (i.e., the ray fan traced back from each image point covers a conical directional range centered on a chief ray that is normal to the image plane).
  • the system is also designed to also be at least approximately telecentric at the object (i.e. the chief rays are approximately normal to the object plane).
  • microlenses need not operate at extremely oblique incidence angles, and to avoid exireme distortion of the system's entrance pupil (which would result in highly distorted microlens apertures).
  • the object telecentricity is achieved by using an optimization merit function that includes penalty terms for non- telecentricity. ⁇ 0101]
  • the design does not yet include the microlenses, but the rays should be traced back to the microlens plane (the top surface of plate 1 ⁇ 4) to ensure that there is no caustic or extreme nonuniformity in the ray distribution over the microlens apertures.
  • a caustic will be manifested as a local minimum in the meridional ray-intercept coordinate on the microlens pl ane as a function of image-space ray angle.
  • a caustic-free, uniform distribution of rays on the microlens plane can be ensured by including in the optimization merit function a penalty term related to the curvature (nonlmearity) of the microlens-plane ray coordinates versus image-space ray direction for each image point.
  • a uniform ray distribution can also be achieved by imaging each microlens aperture onto the projection system's entrance pupil by means of a focusing element proximate the microlens focal point. This design option will be described more fully in Part 2, section 19.
  • the image-field aperture radius is 0.8 mm and the object-field aperture radius is 20 mm, for a 25X demagnifi cation ratio.
  • These aperture dimensions and the axial length of the PL3 . , , PL 15 lens group are constrained during optimization.
  • the axial length controls the maximum lens aperture size, which should be small enough to fit the lens packing geometry illustrated in FIGS. 9 and 10.
  • the largest lens element, P3L10 has a 26.2-mm aperture radius.
  • the object field size should preferably not exceed this dimension; othenvise it would further constrain the packing geometry. But within this limitation a large object field has advantages in terms of easing microlens fabrication tolerances and enabling the use of a large number of microlenses.
  • the immersion fluid thickness is 0.5 mm.
  • the fluid fills the space between the last lens element PL15 and the printing surface, but as noted previously, a solid cover plate could alternatively be interposed between the fluid and the printing surface.
  • the thickness of the microlens/SLM plate 104 is 1.56 mm, which is chosen to make the microlens diameters approximately 1 00 .urn. (As noted previously, the microlens center spacing is 1 10 urn.)
  • the microlens centers are defined by the centroids of the ray fans on the microlens plane, and the intermediate foci are defined by the ray centroids on the object plane.
  • the collimator 102 ( FIGS. 1 A, 1 B, and 2) is designed so that a reverse ray trace of rays through the microlens centers and corresponding intermediate foci converge to the source 101.
  • the microlenses need not be defined at this stage because the center rays are undeviated by the microlenses, although they are deviated by refraction at the microlens plane.)
  • the optical power balance between collimator elements CL1 and CL2 is determined to achieve substantially achromatic reverse imaging of the center rays at the source.
  • the microlenses are designed to precisely eliminate point imaging aberrations between the source and the image points at the principal design wavelength of 266 nm.
  • the microlenses could be designed as Schupmann doublets to minimize chromatic aberration, but the narrow laser bandwidth and short microlens focal lengths obviate the need for microlens achromatization. A single design wavelength thus suffices for the microlens design.
  • the microlenses' Fresnel zone patterns are defined by a phase-matching process. For each of a set of design microlens positions, a dense ray fan is reverse-traced from the corresponding image point back to the microlens surface to define a set of design points (ray- intercept loci) in the microlens aperture. A second ray fan is traced from the source to the same design points.
  • the microlens-induced optical phase shift at each design point is defined so that the total source-to-image phase (the sum of the grating phase and the optical phase along the ray segments preceding and following each design point) is constant across the microlens aperture.
  • the grating phase values are fit to a polynomial function of position coordinates on the microlens aperture (with the coordinate origin at the lens center) to define the phase as a continuous function of position. This process is repeated for each of a set of design microlens positions, and the phase polynomial coefficients may themselves be fit to a polynomial function of the microlens center coordinates (relative to axis 107 in FIG. IB) to define the grating phase as a continuous function of microlens center position.
  • the functional form of the microlens grating phase is outlined in FIG. 11. The phase is defined in relation to Cartesian coordinates (x, , x 2 ,x 3 ) w r ith the axis 107
  • the microlenses are on a constant- x, plane, and the position coordinates (x ? , x, ) within each microlens aperture are decomposed into the microlens center coordinates ( ⁇ , ⁇ !) relative to axis 107 and offset coordinates (x", x") relative to the microlens center, Eq. 11.1.
  • the function gp[x-' > ,Q,x * ,x ] may be represented as a multivariate polynomial function of three parameters x 2 ' , x" and x" , with the choice of monomial terms limited by the symmetry conditions in Eqs. 1 1.6 and 1 1.7. (The phase function's x" and x" dependence would preferably be modeled using Zernike circle polynomials for optimal numerical precision, but to accommodate Zemax limitations the present design used a standard polynomial representation.)
  • the Zemax macro in the ' 166 application's Appendix 4 illustrates the system design for 25 representative microlens positions, with the grating phase for each position defined by an order- 14 polynomial in x" and x" .
  • the polynomial coefficients can be determined by performing a least-squares fit to a set of rays defined by a square array of direction cosines in the image space (truncated to the numerical aperture limit).
  • the coordinates x" and x should be normalized to the nominal microlens aperture radius (0.05 mm) when calculating the least-squares fit.
  • the fit should preferably be applied not to the grating phase directly, but rather to the phase gradient, as represented by finite differences between adjacent data points on the microlens aperture. (A direct fit to the phase itself will tend to result in steep "walls" in the fitting error at the aperture boundary, which can cause spurious ray trace errors and possible manufacturing complications.)
  • the phase polynomial's constant term is not included as a fitting parameter if a gradient fit is performed.
  • the microlens phase maps are determined from mterferometric measurements of the as-built system in order to compensate for
  • a narrow-band radiation beam at the 266-nm design wavelength is directed from a corresponding image point through the projection system 103 and is interferometrically analyzed to determine the beam's phase profile across the microlens aperture.
  • a beam is similarly directed from the source point 101 through the collimator optics, and its phase profile across the microlens aperture is also
  • phase flmctions over a limited number of microlenses can be extended to the entire array by interpolation or polynomial fitting, (The symmetry conditions defined by Eqs. 11.5-7 cannot be assumed to hold in the presence of manufacturing errors.) With this process, system aberrations can be reduced to a level that is limited only by the accuracy of interferometric measurement and microlens fabrication.
  • Manufacturing error compensation can alternatively be implemented by first assembling the entire optical system, including the microlenses, according to the design specification, measuring the entire system's source-to-image wavefront aberrations at selected image points (e.g., by means of miniature Shack- Hartmarm wavefront sensors positioned below the image plane), and then designing and constructing a replacement microlens array to correct any measured wavefront errors.
  • phase-Fresnel structures (the microlenses and surfaces 108 and 109 in FIG. I B) are designed using an "equivalent-element model" in which the structure is represented as a functionally equivalent, infinitesimaliy thin phase- shifting layer, which induces a discontinuous optical phase shift between incident and transmitted beams across a design optical surface.
  • the design surface is proximate the physical phase-Fresnel structure (e.g., at the substrate), and the optical phase shift is equal to the grating phase on the design surface.
  • the grating phase is defined as a continuous function of position on the design surface, which varies by one cycle between adjacent Fresnel zone boundaries, and it defines the phase relationship between the incident and transmitted electromagnetic fields extrapolated to the design surface.
  • the physical phase- Fresnel structure has finite thickness, but the fields are extrapolated via analytic continuation to a zero-thickness design surface.
  • the physical phase- Fresnel structure is designed to produce the desired phase shift in the extrapolated fields.
  • the structure is optimized to achieve high diffraction efficiency and minimal optical scatter within the system's field of view . Examples of optimized phase-Fresnel structures will be illustrated in section 6.
  • the Appendices in the ⁇ 66 application include three ZTG ("Zemax Table Glass”) files (Si02_266.ZTG, CaF2_266.ZTG, IF132J266.ZTG) and two ZPL ("Zemax
  • the design is initialized in Zemax by starting with an uninitialized design (use File - New to clear the design), invoking the menu command Macros - Edit/Run ZPL Macros, and selecting SpotScanSystem_reverse.ZPL from the pull-down selection list.
  • This sets up a multi-configuration design in which each configuration represents a separate foeused- radiation spot and associated microlens position. Twenty-five microlens positions are represented. For each configuration, rays are reverse-traced from the design image point back to the source.
  • the RMS phase error of the reverse point images at the intermediate foci (without aberration compensation) and at the source (with aberration compensation) can be calculated and tabulated by running the SpotScanRIviS.ZPL macro.
  • phase-Fresnel lenses for achromatization and for lithography are described in the '843 application and in the prior art references cited therein (Miyamoto, cited herein as Ref. 7, and U.S. Patent Nos. 5,161 ,057, 5,589,982, and 6,960,773).
  • the '919 application also discusses refractive/diffractive microlens achromats (see the '919
  • FIG. 7 and associated Eqs. 7.1 -9 in FIG. 4C Similar design forms could be used for the projection system's phase-Fresnel surfaces 108 and 109 in FIG. IB.
  • U.S. Patent No. 5,623,365 and Ref. 8 describe the use of diffractive lenses for achromatizing lithography projection systems, but these disclosures only consider diffractive structures formed on flat plates. They do not disclose methods for manufacturing achromatizing diffractive lenses on curved substrates or with accuracies required for DUV lithography.
  • phase-Fresnel elements are preferably formed in a high-index optical coating material such as ⁇ 2 deposited on the Si0 2 substrate, rather than etchin g or machining the structures directly in Si0 2 .
  • the high refractive index of HfO-> (2.1 at 266 nm, versus 1.5 for Si0 2 , Ref. 9) results in a much shallower grating profile with less optical scatter into extraneous diffraction orders relative to an Si0 2 grating.
  • the phase-Fresnel structures in the projection optics are preferably formed on glass-to-air lens interfaces (not air-to-glass) to minimize transmission scatter.
  • phase-Fresnel gratings can be accurately formed using a multilayer
  • phase-Fresnel structures Other material combinations can be used for the phase-Fresnel structures.
  • silicon nitride (S1 3 N 4 ) which has a high index (2.2) and only slight optical absorption at 266 nm, could be used in combination with either HfO 2 or A1 2 0 3 .
  • a process for manufacturing phase-Fresnel grating structures is conceptually illustrated in FIGS. 12A-12C.
  • a multilayer film stack 1201 comprising AI2O3/ Hf0 2 Mayers (e.g., bilayer 1202) and an A1 2 0 3 base layer 1203 (shown in cross-section in FIG. 12 A) is deposited on an S1O 2 substrate 1204 using a process such as atomic layer deposition (ALD).
  • ALD atomic layer deposition
  • the layers are selectively removed by a process such as e-beam lithography, focused ion beam (FIB) machining, or mechanical machining (e.g., diamond-point turning), FIG. 12B.
  • FIB focused ion beam
  • FIG. 12B The last residual layer 1205 of HfO 2 is removed by means of a selective etch, which stops at the topmost remaining A1 2 0 3 layer,
  • FIG. 12C A directed ion-beam etch would preferably be used to form vertical or optimally sloped sidewalls.
  • the structure's vertical dimensions are determined by the ALD process, which can achieve angstrom -level tolerances on film thicknesses.
  • the etching or machining process only determines the lateral structure dimensions, [0120]
  • at least some of the deposited layers for surfaces 108 and 109 in FIG. I B may need to have a radial thickness gradient across the lens aperture.
  • a process such as masked ALD or uniform ALD followed by ion milling may be used to form the thickness gradient, (Ion milling would not significantly compromise the ALD thickness accuracy if only a small fraction of the ALD-deposited film is removed.)
  • a deposition process such as masked magnetron sputtering may be used. (A similar process has been used to fabricate graded-thickness EUV mirror coatings, Ref. 12.)
  • single-point diamond turning can be used to do most of the patterning (FIG. 12B).
  • the diamond tool would only be used to form shallow steps in a surface whose geometry has already been accurately determined by spherical polishing and ALD deposition.
  • precise and accurate cutting can be achieved by using real-time surface metrology (e.g., laser interferon! etry) on the workpieee to provide tool feedback control. If the machining is sufficiently accurate it may be possible to directly cut optimal, continuous-profile Fresnel facets in a single Hf0 2 film without any etch processes.
  • phase-Fresnei structures can alternatively be formed by an "ion turning" process in which a lathe-type machine operates in vacuum with a focused ion beam replacing the cutting tool . (This process has been used to manufacture small machining tools, Ref. 13.) Turning processes could also be adapted to use e-beam or laser-beam writing for lithographic patterning.
  • Non-axisymmetric phase-Fresnei structures such the micro! ns array on plate 1 ⁇ 4 can be formed using e-beam lithography or FIB machining.
  • the microlenses have very shallow phase-Fresnei structures, with a profile height of approximately one-quarter micron and a minimum facet width of approximately 5 microns.
  • Optical scatter into extraneous diffraction orders is not a significant concern because most of the scatter can be blocked by apertures at the
  • the lithographic patterning tolerances would be comparatively loose because of the large (25X) demagnification factor (compared to, e.g., 4X for prior-art mask- projection lithography).
  • the projection system's phase-Fresnei structures are more challenging.
  • the facet profile heights are also approximately one-quarter micron, but the minimum facet width is approximately 2 microns for surface 108 (FIG. 5) and 1 micron for surface 109 (FIG. 6).
  • the surface-109 design is simplified because it would operate with substantially TE (transverse-electric) polarization (i.e., linear polarization with the electric field transverse to the meridional plane at each surface point).
  • Surface 1 ⁇ 8 on the other hand, would typically need to be designed to work with both TE and TM (transverse-magnetic) polarization,
  • FIG, 13 is an enlarged view of region 13 in FIG. 5, illustrating a Fresnel facet structure that could be used near the periphery of surface 108.
  • Corresponding design data is tabulated in FIG. 14, and optical performance data is tabulated in FIG. 15.
  • the facet aspect ratio is exaggerated by a factor of fi ve in FIG. 13 (as indicated by the scale bars).
  • Fourteen AI2O3/ HfO 2 Mayers are deposited on the SiO? lens substrate, starting with a relatively thick (58 ⁇ nm) Hf0 2 layer. All other HfO 2 layers are 14-nm thick, and all A1 2 0 3 layers are 2-nm thick. The first deposited bilayer is unpattemed.
  • the layer thicknesses are denoted , and the patterned layers' left and right boundary coordinates are denoted as xL and xR , as illustrated in FIG. 13 for a particular layer. These dimensions are tabulated in FIG. 14.
  • the assumed material refractive indices at the 266-nm design wavelength are listed in FIG. 14.
  • the efficiency data in FIG. 15 contains no phase information.
  • the grating's lateral position (along the x direction in FIG. 13) determines the phase in the first transmitted order, which should match the design phase as defined by the previously-described equivalent- element model.
  • the phase differs slightly between TE and TM polarizations. (The difference is of order 1 miliiwave.)
  • the T E and TM phase can be averaged. The phase difference will be manifested in the transmitted beam's polarization state, and is taken into account in the polarization-control optics.
  • FIG, 16 is an enlarged view of region 16 in FIG. 6, showing an illustrative Fresnel facet design near the periphery of surface 109.
  • the facet aspect ratio is exaggerated by a factor of 2,5 in FIG. 16,
  • the grating structure consists of an unpattemed AI2O3 base layer and six patterned Al 2 0 3 / HfO 2 bilayers.
  • the A1 2 0 3 layers are all 2-nm thick, and the ⁇ 2 thicknesses are all individually optimized.
  • the layer thicknesses are denoted t
  • the patterned layers' left and right boundary coordinates are denoted as xL and xR , as illustrated in FIG. 16 for a particular layer.
  • the xL and xR coordinates are projected onto an x axis in the slant direction, as illustrated.
  • the design data corresponding to FIG. 16 is tabulated in FIG. 17.
  • Optical performance data corresponding to FIG. 16 is tabulated in FIG. 18 for three meridional incidence angles covering the ful l operating range.
  • the grating's operating diffraction order is the -1 order, and the TE efficiency in this order is approximately 82%.
  • the FIG. 16 structure's efficiency would drop off significantly for skew rays (e.g., to around 76%).
  • the TM efficiency would be considerably lower (about 56%), and optical scatter into extraneous orders would be higher for TM.
  • polarization control would be required to achieve high efficiency and low scatter at surface 109.
  • the projection system may incorporate a form-birefringent polarization-control grating such as that described in the '843 application (see the '843 application's FIGS. 28 and 41 -45) and in the prior art cited therein (cited herein as Ref s. 15 and 16).
  • the grating may be formed, e.g., on spherical surface 110 of PL 11 or on a buried planar surface 111 within element PL10 (FIG. I B). Additional form-birefringent structures may be provided on the CL2 planar surface (or on the top of plate 104 if the microlenses are formed on C L2).
  • the laser source and associated polarizing optics provide substantially circularly-polarized radiation to the collimator 102, and the form-birefringent structures operate to make all optical rays substantially TE-polarized (i.e., linearly polarized normal to the meridional plane) at the printing surface 105.
  • TE-polarized i.e., linearly polarized normal to the meridional plane
  • CL2 microstructures functioning to only correct small imperfections in the projection system's polarization control.
  • polarization control Three advantages of polarization control are that (1) it improves lithographic print resolution; (2) it allows use of a high-index, birefringent last lens element FL15 such as sapphire for imaging at a higher numerical aperture; and (3) it allows the last phase-Fresnei surface 109 to be designed for substantially TE-polarized light only. [0134J
  • the '843 application illustrates two form-birefringent grating designs, one optimized for uniform transmission efficiency (the '843 application's FIG. 44), and one optimized for minimum grating height (the '843 application's FIG. 45).
  • the design can alternatively be optimized for uniform grating height across the iens aperture to simplify the manufacturing process.
  • the illustrated design in the '843 application uses S13N 4 as the grating material. Similar structures have been fabricated as visible-light quarter-wave plates using nonstoichiometric silicon nitride (SiN x ; Ref. 17). Alternatively, a material such as Hf0 2 can be used, and the grating may be formed on an etch-stop layer such as AI2O3. A.
  • grating structure with non-uniform thickness can be formed, e.g., by (1) using ALD to deposit a thin AI2O3 base layer on an S1O 2 substrate, (2) ALD-depositing a thicker, uniform FlfQ 2 grating layer over the A I2O3, (3) ion-milling the HfO 2 to create the desired thickness profile, (4) depositing a second AI2O3 layer on top of the Hf(>2, (5) patterning the top AI2O3 layer using e-beam lithography, and (6) using masked ion milling to create the grating spaces (with the top A1 2 0 3 functioning as a hard mask and the base layer functioning as an etch stop).
  • the focused-radiation spots may be individually modulated by a spatial light modulator comprising multipl e opti cal modulator elements, one of which is depicted schematically as element 203 in FIG, 2.
  • the '919 application illustrates a modulator as element 1105 in the '919 application's FIG. 1 1 , and identifies a couple of options for the modulator mechanisms including MEMS-actuated shutters, as disclosed in U.S. Patent Nos. 6,214,633 and 6,701,039 and Ref s. 18 and 19, or a "Stacked-Grating Light Modulator" (SGLM) as disclosed in U.S. Patent No. 8,687,277.
  • the latter application pertains to reflective modulator mechanisms, but also makes mention of a transmission-type SGLM, which is disclosed in U.S. Provisional Patent Applications 60/1 16,074 and 60/124,140.
  • the optical modulators comprise paired apertures, which are actuated to move in opposite directions as illustrated in FIGS. 19A-19D.
  • FIG. 19A is an enlarged view of region 19A in FIG. 2.
  • a modulator element 203 on plate 104 is illustrated in its ON state in FIG. 19A (in a cross-sectional elevation view) and in FIG. 19B (in plan view).
  • Radiation 1901 is directed toward the intermediate focus 202 at the projection system's object plane 19 ⁇ 2 and transmits through two apertures 1903 and 1904 proximate the intermediate focus.
  • the apertures are attached to the substrate 104 by means of leaf-spring flexures 1905 and 1906.
  • the apertures are mechanically actuated, e.g., by electrostatic coupling between electrically conductive films 1907 and 1908, to control their lateral positional relationship.
  • the apertures In the ON state the apertures are aligned and centered on the intermediate focal point 202 to transmit the radiation.
  • the node diameter is 6.8 micron; thus the modulator could achieve full modulation with approximately 3.4 microns travel by each aperture.
  • J State-of-the-art semiconductor manufacture relies on multi-patterning to form sub- wavelength structures with optical lithography.
  • Pattern alignment requires accurate overlay control, which can be achieved by using a backside wafer alignment technique illustrated in FIG. 20.
  • a semiconductor wafer 2001 (shown in cross-section) is initially processed to form an alignment pattern 2002, in the form of a diffraction grating, on its backside.
  • the wafer is vacuum-sealed to a transparent substrate 2003, through which the alignment pattern is viewed with alignment optics 2 ⁇ 04.
  • the alignment optics comprises a microscope objective 2005 through which illumination 2006 is directed (e.g., by diverting light from a laser source 2007 with fold mirror 2008).
  • the alignment pattern diffracts the illumination into two reflected beams 2009 and 2010 (+1 and -1 diffraction orders), which are collected by the objective and directed onto an optical detector 2011 conjugate to the pattern.
  • the detector comprises an array of pixel sensor elements, and the optical interference pattern between the two collected beams interacts with the pixel array to form a Moire signal pattern, which is analyzed to accurately measure and control the wafer's position relative to the lithography system.
  • cover plate 2012 acts as a solid immersion medium.
  • An immersion fluid would be used between the projection lens 103 and the cover plate, but would not contact the wafer.
  • the cover plate could make it easier to focus the projection lens on the wafer, because the autofocus mechanism (e.g., an optical interferometer or capacitive proximity sensor) would rely on detection of the cover plate's top surface without the complication of discerning focus information on complex wafer topography.
  • the autofocus mechanism e.g., an optical interferometer or capacitive proximity sensor
  • cover plate vacuum-seal process it may be advantageous to use the cover plate vacuum-seal process to perform contact platiarization on a deformable photoresist material.
  • a resist-compatible immersion fluid may be used for optical coupling between the resist and the cover plate.
  • Some applications might use the cover plate itself as the workpiece. In this case a photoresist would be deposited on the cover plate's bottom side, which would subsequently be etched to form useful microstructures.
  • the system may also require athermalization mechanisms, including sensors and controls, to maintain optical imaging performance.
  • the sensors may include, for example, micro-optic Shack-Hartmann wavefront sensors that are positioned below selected image points during the wafer load/unload cycle to detect therm ally-induced aberrations.
  • the Shack-Hartmann sensors may also be used in the manufacturing process to characterize optical aberrations prior to finaiization of the microlens design.
  • Time-variable image distortion can be substantially corrected, e.g., by means of micromechanical actuators coupled to individual microlens elements.
  • passive athermalization can be achieved by the choice of lens materials and lens housing design,
  • the projection system design illustrated in FIG. I B can be modified to increase the numerical aperture and improve image resolution by using more optical elements, by using aspheric surfaces (or more phase-Fresnel surfaces), or by using a high-index glass for the last lens element PLIS.
  • Two glass materials that have been considered for high-NA, 193-nm immersion lithography are sapphire (A1 2 0 3 ) and lutetium aluminum garnet (Lu 3 Al 5 0j 2 , also referred to as "LuAg"), but they have not been commercialized because of the high birefringence of crystalline sapphire and the unacceptable absorption and birefringence of LuAg (Ref s 20, 21 , 22).
  • the absorption and birefringence of LuAg are lower by an order of magnitude at 266 nm (see Fig's, 5 and 6 in Ref. 20 and Fig. 2 in Ref. 21), and the high birefringence of sapphire would not be a limitation if polarization-control mechanisms are employed to ensure TE polarization on the last lens element (Ref. 22). Furthermore, the effects of absorption and birefringence would be greatly diminished by the system 's relatively small scale (e.g., 6 mm center thickness for element PL15 versus over 25 mm for the last lens element in U.S. Patent No. 8,355,201).
  • FIGS. 21A and 2 I B are comparatively illustrated in FIGS. 21A and 2 I B.
  • the singlet form (FIG. 21 A) uses a single microlens element 201 to generate each focused-radiation spot by focusing incident radiation through intermediate focus 202.
  • the radiation may be modulated by modulator element 203, and the microlens and modulator may be formed on opposite sides of a solid, transparent plate 104.
  • a Schupmann doublet 2101 FIG.
  • the 21B comprises a similar microlens element 201 of comparatively lower optical power (i.e., longer focal length) to focus radiation through an intermediate focus 202. But the beam diverging from the intermediate focus is then further diverged by a second microlens element 2102 having negative optical power, so that the emergent beam diverges from a virtual intermediate focus 2103,
  • the optical power can be balanced between elements 201 and 2102 to achieve a substantially achromatic virtual focus 2103, (To some extent, the microlens doublet could also be configured to neutralize slight axial chromatic aberration in optical elements external to the microlens doublet).
  • the beam may be modulated by a modulator 203 proximate the first (real) intermediate focus 202.
  • FIG. 2 1B is similar to the '919 application's FIGS. 10 and 1 1 , but with a couple of differences.
  • the first microlens 201 in FIG. 21B is convex and the second microlens 2102 is concave (not vice-versa, as in the '91 application) because the microlens material has a refractive index greater than 1.
  • the space between the microlenses may be solid (e.g., Si(>2, not vacuum as in the'919 application).
  • the geometric relations illustrated in the '919 application's FIG. 8A and Eq. 8, 1 in the '919 application's FIG. 4C assume that the medium between the microlenses is vacuum. With a solid medium, the axial distances between the microlenses and the intermediate focal plane 2104 are increased by a factor of the medium refractive index. [ ⁇ 145]
  • the Schupmann microiens configuration has potential advantages in addition to achromatic performance. Dividing the microiens functionality between two elements of comparatively lower optical power can result in a more manufacturable microiens design.
  • the first element 201 can correct aberrations between the source 101 (FIG.
  • FIGS. 22A-22D illustrate several variant microiens forms.
  • FIG. 22A shows a cross-sectional profile 2201 of a conventional phase-Fresnel surface, which is blazed for optimum first-order efficiency at a particular design wavelength (e.g., 266 nm). This form is similar to microiens 201 in FIG. 3A, but with the profile height exaggerated.
  • the multilevel stepped profi le illustrated in FIG. 12C is an approximation to an ideal sloped facet profile illustrated in FIG. 22 A.
  • the surface profile shape in FIG. 22A is defined so that the grating phase (i.e., the optical phase discontinuity from the incident to the transmitted beam) varies by one cycle between adjacent facets on the design surface in the equivalent optical model, and the profile steps between facets induce a one-cycle optical phase discontinuity in the transmitted beam.
  • the facet boundaries on a phase-Fresnel structure can be placed at any position (not just at one-cycle intervals of the grating phase), and the profile steps between facets can induce optical phase discontinuities equating to any integer number of cycles.
  • FIG. 22B illustrates a phase-Fresnel profile 2202, which is similar to profile 2201 except that the center profile step has been omitted.
  • Profile 2203 represents a phase-Fresnel structure that is blazed in the second diffraction order at the primary design wavelength (e.g., 266 urn), and is simultaneously blazed in the first order at approximately twice the primary wavelength (e.g., 532 nm) , as disclosed in U.S. Patent No. 5,589,982, (For near-normal incidence the order- m blaze wavelength is approximatel equal to !
  • phase-Fresnel structure can be useful for applications requiring multi-wavelength operation, such as absorbance modulation optical lithography, two-color lithography, or multi-wavelength scanning confocal microscopy.
  • the microlenses can alternatively be continuous-profile, refractive lens structures, as illustrated by profile 2204 in FIG. 22D. Any of the profile forms in FIGS. 22A-22D, including the refractive microlens profile 2204, can be constructed as an approximate!)' equivalent multi-step profile, which is manufactured by a process such as that illustrated in FIGS. 12A-12C.
  • a phase-Fresnel transmission lens can be roughly characterized as a discontinuous optical surface that induces integer-cycle optical phase discontinuities at the surface profile steps. This characterization is based on geometric optics concepts, but the types of diffractive structures illustrated in FIGS. 13 and 16, which are optimized using accurate electromagnetic simulations, have at least a coarse resemblance to the Fresnel facets illustrated, e.g., in FIG. 22A.
  • the microlens 201 in FIGS. 2 and 3A is illustrated as a phase-Fresnel structure, but can in general be any type of refractive and/or diffractive optical focusing device.
  • Diffraction optics can also be used as modulator elements, as an alternative to the shutter-type device illustrated in FIGS. 19A-19D.
  • the radiation can be directed out of the image field by means of a transmitting, scattering surface such as a phase diffraction grating configured to extinguish the zero transmitted order.
  • Proximate transmission gratings can be used in combination to achieve full beam modulation with very small (e.g., submicron) mechanical motion, as disclosed in U.S. Provisional Patent Applications 60/116,074 and 60/124,140.
  • An optical modulator 203 of this type is illustrated in cross-section in FIGS, 23A and 23B.
  • a first lamellar gratmg 23 ⁇ 1 comprises a high-index optical medium such as HfO? deposited on a substrate 2302 such as Si0 2 (perhaps with an interfacial etch-stop layer such as AI 2 O 3 ).
  • the first grating is proximate a second grating 2303 comprising, e.g., Hf0 2 on a S1O2 superstate 2304.
  • incident radiation 2305 transmits through the device and the two gratings operate
  • a grating modulator such as that illustrated in FIGS. 23A and 23B has the advantage that it can achieve full modulation with very small (e.g., submicron) translational motion.
  • very small e.g., submicron
  • FIG. 2 IB for this type of modulator is that the low power of the first microlens 201 results in lower beam divergence at the modulator 203 (compare FIGS. 21A and 21B).
  • microiens/SLM configurations illustrated in FIGS. 2, 21A and 21B can be modified to use reflective modulators, such as that of U.S. Patent No. 8,687,277, or other prior-art reflective modulators similar to Texas Instruments' Digital Mieromirror Device (DMD, U.S. Patent No. 5,061,049) or Silicon Light Machines' Grating Light Valve (GLV, U.S. Patent No, 5,841,579).
  • DMD Digital Mieromirror Device
  • GLV Silicon Light Machines' Grating Light Valve
  • the OXIDE laser could significantly simplify the projection optics design by eliminating the need for phase-Fresnel lens surfaces 108 and 109 (FIG. 1 B). it may still be advantageous to use such structures to provide the functionality of aspheric lens surfaces, but they would not be needed for achromatization.
  • phase-Fresnel lens surface that operates only to provide aspheric power could have a much coarser grating pitch (making it more manufacturable and efficient) because most of the lens's optical power can be in its spherical refracting surfaces.
  • the phase-Fresnel structure's line density i.e., the grating phase gradient
  • the line density at the edge of element 108 is approximately 500/mm, and that of element 109 is about lOQQ/mm.
  • the OXIDE laser is available with an output power of up to 2W.
  • Design concepts for highly efficient continuous-wave DUV lasers with much higher power are under development by William F. Krupke (WFK Lasers), e.g., see U.S. Patent No. 7,283,576, "Optically-pumped DUV atomic vapor lasers".
  • Phase-Fresnel lens surfaces could also be used to achromatize projection optics for an exciraer laser such as a 1 3-nm argon fluoride (ArF) laser or a 157-nm fluorine (F2) laser, eliminating the need for laser line narrowing.
  • an exciraer laser such as a 1 3-nm argon fluoride (ArF) laser or a 157-nm fluorine (F2) laser, eliminating the need for laser line narrowing.
  • the F2 laser was abandoned as a successor to the ArF laser for mask-projection lithography, primarily due to the large volume of high- quality CaF2 lens material required, but a maskless, spot-scanning system would use much smaller lens elements of lesser volume.
  • the small lens scale would also greatly reduce the impact of lens birefringence, and the spot projection optics could be designed to neutralize any remaining birefringence effect (e.g., by means of polarization-control element 3106 in the '843 application's FIGS. 31A and 3 IB).
  • the F2 laser may be much more practical for scanned-spot-array lithography.
  • a perfectly uniform line image can be synthesized from a periodic sequence of discrete point exposures along the line if the period is smaller than the optical resolution limit, ⁇ I (2 NA) , where ⁇ is the wavelength and NA is the numerical aperture in the scan direction.
  • the point exposure spots could be formed with a spot-scanning system using a pulsed laser illumination source, but throughput would be limited by the illustrated embodiment's 17.3-nm scan step, which is unnecessarily smal l.
  • Throughput can be increased by an order of magnitude by using a scan step close to the ⁇ / (2 NA) resolution limit.
  • the cross-scan grid step ( line pitch) can also be much greater than 17.3 nm, with no compromise in printing resolution, by employing an alternative "nodal line printing" method in which zero- or low-intensity diffraction nodes are used to print narrow lines at a low exposure threshold.
  • the system aperture is configured to produce a periodic pattern of parallel, linear diffraction nodes, and the cross-scan grid step is equal to the nodal line separation.
  • the cross-scan step would be of order ⁇ I NA , where NA is the numerical aperture in the cross-scan direction.
  • Coarse-pitch line patterns can be interleaved, using prior-art multi-patterning methods or dual-wavelength recording processes, to form high-density line patterns far exceeding the optical resolution limit.
  • a nodal line pattern can be produced in the focused-radiation spots by using a substantially rectangular aperture stop.
  • the precise sense in which the stop is "rectangular" is defined as follows. (The following prescription of the limiting-aperture geometry represents a preferred aperture form, but is not necessarily the only aperture form that can produce nodal lines.)
  • [ ⁇ 1 4] Spatial positions proximate a focused-radiation spot will be defined by a vector x , which has coordinate projections x l , x, , and x 3 relative to respective orthonormal basis vectors e, , e, , and e 3 ⁇ 4 , where e, is directed normal to the image plane and e, , and e 3 are parallel to the image plane with e 2 directed in the spot's scan direction relative to the printing surface and e, directed in the cross-scan direction; see FIG.
  • An optical ray intercepting the image plane in a recording medium of refractive index n is characterized by an index -normalized wave vector a with coordinate projections u, , u 2 , and «3 , which has magnitude n ; Eq. 24.2.
  • FIG. 25 illustrates the geometry of the circular aperture 2501 and the inscribed rectangular aperture 2502.
  • the latter aperture is "rectangular" in terms of the aperture-transmitted rays' u ? and u 3 projections at the image plane, but the actual physical aperture stop that has this characteristic might not be exactly rectangular.
  • NA ⁇ is significantly smaller than
  • the narrowed aperture would elongate the diffraction-limited focus spot on the image plane, as illustrated in FIG. 26.
  • Flucus spot 702 on printing surface 105 is elongated into spot 2601 as a result of the aperture narrowing; cf. FIG. 7.
  • the spots are only elongated in the scan direction e 2 ; the cross-scan optical resolution in sectional plane 2602 is not impaired.
  • the system would be suitable for exposing line features aligned in the scan direction.
  • the reduced optical resolution in the scan direction would allow for a larger scan step per laser pulse, so printing throughput would be increased .
  • the rectangular aperture shape allows multiple projection systems to be juxtaposed with greater packing density, as illustrated in FIG. 27. (Compare to the circular aperture geometry in FIG. 9.) Also, the aperture narrowing increases the number of projection apertures that can cover the wafer 902. For example, circular aperture 2701 is truncated along the scan direction e 2 to form a narrowed aperture 2702, which is closely juxtaposed to adjacent aperture 2703. This allows more projection systems to cover the wafer 902.
  • the microlens/S LM plate 104 (FIG. 1 B) would also need to be truncated to achieve the higher packing density, but the individual microlens apertures can themselves be truncated in the scan direction and juxtaposed more compactly. (The microlenses' aperture shapes would generally approximately match the shape of the aperture stop.) The total number of microlenses and focused-radiation spots per projection system need not be reduced by aperture narrowing, so printing throughput can increase in proportion to the number of projection systems.
  • a farther advantage of aperture narrowing is that it would reduce the effect of polarization on the nodal line contrast.
  • the polarization-control structure on surface 110 or 111 may not be needed. It may suffice to simply direct substantially linearly polarized light into the system, with the polarization direction normal to the cross-scan direction. This could be advantageous for the SLM design if a grating modulator such as that illustrated in FIGS. 23A and 23B is used, because the grating elements would only need to be optimized for linear polarization. (Form-birefringent polarization-control surfaces could follow the SLM elements to compensate for polarization effects in the projection optics.)
  • the system aperture transmittance may be controlled to effect apodization or dipole illumination.
  • the aperture transmittance is described in terms of the resulting electric field distribution inside the recording medium proximate a focused-radiation spot.
  • the field comprises a superposition of plane waves of the form Aexpj7- ⁇ -ii ⁇ x] , where x is a spatial position vector (Eq. 24.1), u is an index - normalized wave vector (Eqs. 24.2), ⁇ is the vacuum wavelength, and A is a field amplitude vector orthogonal to a - see FIG. 28A, Eqs. 28.1.
  • Eq. 28,2 is simplified to Eq. 28.4.
  • the field is constrained by polarization-control optics to be orthogonal to the cross-scan direction (Eq. 28.5).
  • the amplitude vector A can be represented by Eq. 28.6, where the scalar factor P[3 ⁇ 4 2 ,?/-J represents a "pupil function" in the vicinity of the geometric focus.
  • the coordinate projections of E reduce to Eqs.
  • E l field component is insignificant due to the u factor in the Eq. 28.9 integral.
  • An accurate characterization of the image field E would require both Eqs. 28.8 and 28.9, but a simplified scalar theory can be used by considering only E 2 (Eq. 28.8) and neglecting E l .
  • pupil functions P[u 2 ,u 3 ] that are multipiicatively separable into factors P 2 [u 2 ] and P ⁇ [u 3 ] , for which E 2 [x 2 ,x 3 ] similarly separates into factors E 2 2 [ ⁇ ] and E 2 3 [x 3 ] (Eqs. 28.10-1 1).
  • E 2 [x 2 ,x 3 ] similarly separates into factors E 2 2 [ ⁇ ] and E 2 3 [x 3 ] (Eqs. 28.10-1 1).
  • We will primarily be concerned with the field's amplitude distribution E 2 3 [x 3 ] in the cross-sectional plane x, 0
  • E 2 , [x 3 ] has the functional form given in Eqs. 28.12 in FIG . 28B.
  • the pupil function P- ⁇ u j is illustrated in FIG. 29 (solid line 2901), and the field intensity
  • the pupil function can be apodized to suppress diffraction tai ls, although this will broaden the central diffraction peak.
  • the dashed lines in FIGS. 29 and 30 represent an apodized pupil function P 3 [iu ] (line 2902) and the corresponding field intensity
  • Focus spots characterized by FIG. 30 could be used to print periodic line patterns with a pitch of order ⁇ I MA, , and multiple such patterns can be interleaved or overlaid, using prior-art multi-patterning techniques, to form narrow, densely-spaced line patterns below the optical resolution limit.
  • Very narrow sub-resolution resist lines can be formed in a single exposure step by using an alternative pupi l function illustrated in FIG. 31.
  • a half-cycle ( ⁇ -radian) phase shift is applied to half of the aperture to create a transmittance sign discontinuity at the aperture center (solid curve 3101).
  • the resulting field intensity plot (curve 3201 in FIG. 32) has a diffraction node at the center of the focus spot.
  • the functional form of these curves is defined by Eqs. 28.14. (" sgn " is the sign function, which is +1 for positive arguments and - 1 for negative arguments.)
  • the spot pattern has nodes at all integer multiples of ⁇ / NA $ , including zero.
  • Narrow resist lines of width w can be exposed at intensity threshold t near the central node, as illustrated in FIG. 32, and multiple lines can be scanned by different spots to form a periodic line pattern with pitch ⁇ / NA 3 .
  • a second scanned exposure can be performed using the apodized.
  • pupil tunction 2902 and correspondmg exposure pattern 3002 (dashed curves in FIGS. 31 and 32) to selectively trim the line patterns.
  • This is a "coarse trim," which may need to be followed by a higher-resolution patterning step to complete the trim process.
  • a sparse array of line patterns (or spaces, using a negative resist) formed in this manner may be useful for trimming an underlying dense line pattern oriented in the cross-scan direction.
  • a resist freeze process or multiple litho-etch steps may be used to interleave multiple sparse line patterns to form a dense array with pitch much smaller than ⁇ I NA 3 .
  • a sidewall spacer method can be used to achieve further pitch division. (These types of prior-art multi -patterning methods are discussed in Ref, 24.) Section 20 describes an alternative dual-wavelength recording process in which exposure patterns 3002 and 32 ⁇ 1 are simultaneous!)' illuminated with different wavelengths to expose high-density line patterns without intermediate processing steps.
  • the pupil function can be implemented either on an optical surface proximate the projection system's aperture stop, or on the microlens surfaces. It may be advantageous to image each microlens aperture onto the projection system's entrance pupil by means of a weak focusing element proximate the microlens focal point. Each microlens would then function as a pupil-defining aperture stop for the corresponding image point. (This design option will be discussed more fully in section 19.)
  • FIGS. 33 and 34 illustrate two alternative microlens forms that could be used to create the nodal lines.
  • FIG. 33 illustrates a rectangular-aperture refractive lens 3301 having a continuous surface profile, except for a step discontinuity 3302 along the scan direction e, .
  • the step induces a half-cycle phase discontinuity in the transmitted electromagnetic field (equi valent to a sign change of the field across the step, as illustrated by the sign
  • FIG. 34 illustrates a rectangular-aperture phase- Fresnel lens 3401, which has a functionally similar step discontinuity 3402 in its surface topography.
  • the sloped Fresnel zone surfaces illustrated in FIG. 34 can be approximated by stepped, planar surface structures that are formed using an ALD/e-beam process similar to that illustrated in FIGS. 12.A-12C.
  • FIGS. 33 and 34 could alternatively be used for a large-scale optical surface in the projection optics, although a large phase-Fresnel element would have many more Fresnel facets than the few illustrated in FIG, 34.
  • a structure similar to FIG. 34 can be formed on a projection lens surface by first forming an axisymmetric phase-Fresnel surface pattern via ALL ) and ion turning, and then ion milling half of the lens aperture to form the half-cycle phase step.
  • FIGS. 33 and 34 create a double-peaked image-plane intensity pattern 3501 (i.e., j it [x 2 ,x 3 ] f ), as illustrated in FIG. 35, which exhibits nodal diffraction lines such as lines 3502, 3503 and 3504 in the scan direction.
  • Plot 3201 in FIG. 32 represents a cross section of pattern 3501.
  • the nodal line exposures and trim exposures can be performed with separate microlens groups within a projection system, or with entirely separate projection systems.
  • the apodized pupil function 2902 in FIG . 31 can be implemented by means of an optically absorptive layer formed on a lens surface, or by a diffractive structure, which attenuates the zero order while diverting the first and higher order out of the optical field of view. It would also possible to implement either of the pupil functions 2902 or 3101 in FIG. 31 with an optical structure similarly formed on a mirror surface.
  • FIG. 28B The intensity plot has discrete nodes at integer multiples of fx. / NA 3 , but the secondary side peaks are reduced from about 9% to 0.6% of the central peaks in the
  • the trim exposure can alternatively be done with an apodized pupil function, e.g., as represented by dotted curve 3603 in FIG. 36 and dotted spot profile 3703 in FIG. 37; Eqs. 28.18. 14.
  • apodized pupil function e.g., as represented by dotted curve 3603 in FIG. 36 and dotted spot profile 3703 in FIG. 37; Eqs. 28.18. 14.
  • rows of focused-radiation spots scan raster lines with raster line spacing ⁇ much smaller than the spacing d between spot rows (e.g., ⁇ ------ 17.3 nm and d ------ 4.42 ⁇ in FIG. 8).
  • the number of spots per row is d I ⁇ (e.g., 256 in the preceding design example).
  • the number of spots per row would be only d I ⁇ -------- 20 .
  • each raster line is scanned by a single focused-radiation spot, e.g., spot 7 ⁇ 2 scans raster line 703.
  • spot 7 ⁇ 2 scans raster line 703.
  • the diffraction-limited extent of spot 7 ⁇ 2 covers multiple raster lines, but only that spot's geometric image point scans line 703.
  • multiple spots scan each raster line.
  • Each spot prints a series of sparsely centered "dots" as the i llumination is pulsed, and the dot patterns printed by different focus spots on the same line are interleaved to form a uniform, dense pattern of evenly spaced dots.
  • a "dot” is the diffraction-limited exposure pattern formed by a single focused-radiation spot during a single illumination pulse.
  • FIGS. 38-44 The scan process is illustrated schematically in FIGS. 38-44. Notational symbols and equations associated with the scan process are tabulated in FIG. 38. (There are some notational differences from '685; e.g., the term “Spot” herein replaces "Pixel” in '685 but has a similar correspondence to a microlens and optical modulator element).
  • the spot index i is associated with a corresponding microlens and modulator element. The preceding / , and similarly appearing in other indexed expressions, represents additional contextual indices, which will be described below.)
  • the raster line comprises image dots represented by "+" marks for unexposed dots, and by small circles for exposed dots.
  • Index / Ul . e labels the line scans, and ? Lse labels the pulses within each line scan. N.. .
  • FIG. 39A illustrates the exposure pattern immediately after w se[G, 0] (the first exposure pulse), when each spot has exposed one dot.
  • FIG. 39B illustrates the exposure pattern after Pu!se[Q ⁇ (the second pulse).
  • the spots are intensity-modulated by an object-plane spatial light modulator in synchronization with the scanning mechanism and the illumination pulse generation.
  • FIGS. 39A-39C show the dot exposure pattern with all of the modulators held in their ON states.
  • the scan direction of the focused-radiation spots relative to the printing surface is indicated by vector e : , (directed left-to-right) in FIG. 39B, and e, points in the cross-scan direction.
  • the spots may be substantially stationary while the printing surface is physically scanned from right to left, as illustrated by the right-to-left direction arrow 701 in FIG.
  • the image surface points are parameterized by x, , x 3 position coordinates with the x, and x 3 axes aligned to e, and e 3 , respectively.
  • the printing grid step in the e, direction i.e., the dot center spacing
  • the x 2 step per pulse is N dot/pu]se ⁇ G, where N dot/ ,, e is an integer.
  • the x, center offset between focused-radiation spots is ⁇ G 2 where is an integer.
  • FIG, 39C illustrates the print pattern after a large number of pulses.
  • the spots' exposure patterns are interleaved to expose all dots that have been traversed by all spots in the row, without any multiple-exposed dots.
  • the dots' geometric image points do not overlap, although each dot's diffraction-limited extent will generally overlap adjacent dots).
  • Complete and non-redundant exposure coverage will be achieved, as illustrated, if A ,r aot/p vinegar k perhaps is equal to N, , row , and if A 7 perennial ot/pulSi . and are relatively prime, Eqs. 38.1 and 38.2 in FIG.
  • G 2 is defined as the x 2 grid step of the dots printed by a single spot row in a single line scan.
  • the composite, interleaved exposure pattern will have a dot spacing of G 2 /n for some integer n greater than 1.
  • N dof/30o should be sufficiently large to accommodate the microlens center spacing in the object space. Additional raster lines are scanned by similar spot rows in an echelon configuration, as il lustrated in FIG. 40, The spot rows in the echelon form an "echelon block" 4001. The rows are labeled as i?ow ⁇ , .. ,/ row ] , / row - 0 N IOW/blod£ - 1 , where N row , 3 ⁇ 4lock is the number of spot rows per block. ( Row[... , 0] corresponds to spot row 3902 in FIG.
  • N hMc/sm the number of blocks per image field.
  • G 3 is defined as the center spacing of the raster lines scanned over a single image field in a single line scan.
  • the composite, interleaved exposure pattern will have raster line spacing of G 3 /n for some integer n greater than 1.
  • FIG. 41 there is no x, offset between echelon blocks, but in some designs it may be advantageous to include such an offset. (Designs illustrating these variants wi ll be described in sections 15 and 1 7, and il lustrated in FIGS. 45 and 52,)
  • field rows e.g. row 4201 in FIG. 42.
  • the fields are exposed through separate projection systems and are simultaneously scanned to cover an extended x 2 range in a single line-scan operation.
  • the fields are labeled as
  • FIG. 42 schematically illustrates the exposed lines 4202 part way through the scan operation.
  • FIG. 43 Multiple field rows with different x 3 positions are simultaneously scanned, as illustrated in FIG. 43, to cover a printing surface such as the semiconductor wafer 902 in FIG. 9.
  • FIG. 9 illustrates a distribution of projection lenses 901 above the wafer
  • FIG. 43 illustrates the corresponding image fields on the wafer surface.
  • FIG. 27 shows an alternative projection aperture layout.
  • the labeling includes some fields that do not exist. Only the fields illustrated as solid squares in FIG. 43 exist; those illustrated as dashed squares (e.g. Fie!d[0,J ⁇ ) are not used because they are near or outside the boundary of the printing area
  • the field rows have an x-, offset (center spacing) of N 1 ⁇ ne/Seld ⁇ G- i , where
  • FIG. 44 illustrates the scan pattern traced by a particular field 4101 relative to printing surface 902. All fields follow similar scan paths. (In practice, the printing surface is typically scanned relative to substantially stationary image fields.) Field 4101 traces multiple line scans, which are labeled Scanli.- A . /. ⁇ dress, - 0,1 iV w - 1 . Illumination pulse i , in
  • Scan[i lir:e ] is Pulse[l, inc ,i pu , se ] .
  • the field first does a line scan (& «[0]) in the e, direction from position 4401 to position 4402, making N lse)13 ⁇ 4e pulsed exposures (PuIse[Q,Q] , .... Pu!se[0, N - 1]) while stepping by N dotf belong ulSf , ⁇ G 2 between pulses. It then moves to position 4403, which is displaced from position 4402 by .V row/fie1d ⁇ G, in the e, direction. (No exposures are made in this step,) From position 4403 the field does a second line scan (Scan[l]) in the reverse direction ( -e, ) to position 4404, making pulsed exposures
  • Pulse[l,N , se/ ine - 1] , .... Pulse[l,0] (with the time sequence labeled in decreasing order of the second Pulse index).
  • the field then again steps by a distance /V row/fidd ⁇ G, in the e 3 direction to position 4405, and the process repeats until N %e/row line scans have been performed.
  • Echelon block bioclc in ie/ci[i, fidd ,i 2 «eld ] is labeled as 5/oc [ 3 ., dd , 7 fidd J b , o ⁇ k ] ; spot row i OT in this block is labeled Rov. ⁇ i (ie]d ,L «M , 3 ⁇ 4 0 , k , row ] ; focused-radiation spot in this spot row is labeled Spo ⁇ i m6 ⁇ » »3 ⁇ 4 > ⁇ *] ⁇ an d the dot exposed by this spot in Pulseli ⁇ , g se ] is labeled Dot[i s fe;d , 3 ⁇ 4 fie]d , i h]ock , i mw , i lspot , 3 ⁇ 4 ne , ] - The x and y coordinates of Dot[i 3 fisid , 3 ⁇ 4 field
  • the above -outlined scan configuration efficiently covers a rectangular image field, but a variant configuration may be used to more efficiently cover a circular field, as illustrated in FIG. 45.
  • the projection system has a circular design image field 45 ⁇ 1 of radius 3 ⁇ 4eid ' which is partitioned into two subfields 4502 and 4503.
  • Subfield 4503 has the same geometry as subfield 4502, but rotated by 180° around the center of circle 4501.
  • Each subfield comprises two juxtaposed rectangles of x, dimension I ocX , where bjo , is the nominal x 2 length of the echelon bocks 4001 in FIG. 40; see Eq. 38.9 in FIG. 38.
  • the two subfields scan separate sets of raster lines (indicated diagrammati cally as solid lines crossing the subfields), each set having line spacing G, .
  • the two sets are interleaved to form a composite exposure pattern with line spacing G 3 12 over the region 4504 where the subfields' x ranges overlap.
  • the s bapertures' upper and lower portions 4505 and 4506 are outside of the overlap region, but they would overlap in different line scans to provide full, coverage, at line pitch G 3 / 2 , over most of the printing surface 902. (The position of subaperture 4502 in a different line scan is indicated as 4502' in FIG. 45.)
  • the aperture fil l factor (i.e., ratio of composite subfield area to circular field area) can be maximized by defining L oo according to Eq. 38.10 in FIG. 38. Under this condition the fill factor would be 77.6%, (By comparison, a circle-inscribed square field would have a fill factor of 63.7%.)
  • a laser beam can be rapidly switched between alternative light paths by using a rotating element such as an optical disc 4601, which has multiple phase-Fresnel diffracting zones such as zone 4602 on its surface.
  • An incident laser beam 4603 is focused onto and transmits through (or reflects from) the diffractive surface, which deflects the beam into output beam 4604.
  • the grating phase over each zone is a linear function of the rotation angle, so that the phase gradient is angle-independent and the output beam direction will remain stationary as the zone scans the beam.
  • Different zones deflect the beam along different light paths, which are directed by downstream optics into different projection systems. (For example 19 zones could be used to switch the illumination between the 19 projection lenses illustrated in FIG. 9.)
  • each projection system can alternatively be equipped with a beam-scanning system similar to that of FIG. 46, but configured to continuously scan a narrow illumination beam across the microlens array (in the manner illustrated in the '986 patent's F IG. 25).
  • Other types of beam scanners such as polygonal-mirror, Risley-wedge, or acousto-optic scanners, may alternatively be employed. 17.
  • FIGS, 47 to 52 illustrate several variant microlens aperture geometries and array patterns in plan view.
  • FIG. 47 illustrates a circular phase- Fresnel microlens 4701. The inner circles depict the Fresnel zone boundaries.
  • FIG. 48 illustrates a phase-Fresnel microlens 4801 similar to element 4701, but with its aperture truncated to a rectangular shape and narrowed in the scan direction (e 2 ). This aperture type would be suitable for implementing the pupil function represented by curve 2901 in FIG. 29. Rectangular apertures can efficiently cover a microlens array with very little or no fil l-factor loss.
  • FIG. 47 illustrates a circular phase- Fresnel microlens 4701. The inner circles depict the Fresnel zone boundaries.
  • FIG. 48 illustrates a phase-Fresnel microlens 4801 similar to element 4701, but with its aperture truncated to a rectangular shape and narrowed in the scan direction (
  • 49 illustrates a portion of an array of microlens apertures including element 4801 (shaded). The right portion of the array is illustrated with an echelon step G 3 relative to the left portion. (The corresponding array of image-plane focal spots is illustrated schematically in FIG. 41.)
  • FIG. 50 illustrates a variant rectangular-aperture microlens 3401, which is similar to element 4801 except that it has a half-cycle phase discontinuity along its center line 3402 to create the pupil function illustrated by plot 3101 in FIG. 31.
  • FIG. 34 shows a perspective view of the lens.
  • FIG. 5 1 illustrates a microlens 5101 similar to element 3401, but with the middle half of the aperture truncated to produce the dipole pupil function illustrated by plot 3601 in FIG. 36.
  • the dipole-illumination aperture form need not reduce fill-factor efficiency.
  • FIG. 52 illustrates a portion of a microlens array comprising dipole apertures such as aperture 5101 (shaded), which are interleaved to provide ful l area coverage with little or no fil l-factor loss. (An echelon step G, is illustrated in the figure.)
  • FIGS. 53 A and 53B tabulate illustrative design data for a spot-scanning immersion lithography system using a wavelength ( ⁇ ) of 193 rim, a water immersion fluid, and image- space numerical aperture (NA) of 1.35; Eqs. 53.1 and 53.2 in FIG. 53A.
  • the high NA is possible because water has a refractive index of 1 .437 at 193 nm.
  • the usable numerical aperture is truncated to approximate dimensions NA ? 0.604 in the scan direction and
  • the system uses two sets of microlenses, which could possibly be interleaved in the same microlens array, but are in separate exposure systems for this example.
  • the first microlens set is used to write nodal lines as illustrated by diffraction plot 3201 in FIG. 32, and the second set is used to trim the nodal lines as illustrated by diffraction plot 3002 in FIG. 32.
  • Microlenses in the first set have the form illustrated by FIGS. 50 and 34, with the pupil function represented by plot 3101 in FIG. 31.
  • Those in the second set have the phase pattern illustrated by FIG. 48, and are apodized in the cross-scan direction as illustrated by pupil function 2902 in FIG. 31.
  • Both sets of microlenses may similarly be apodized in the scan direction.
  • the microlens center spacings are at least 25 ⁇ in the scan direction by 50 ⁇ in the cross-scan direction, allowing for the microlens aperture dimensions and any clearance space between the microlenses.
  • the projection system's reduction ratio is assumed to be 25X (similar to the FIG. I B design), so the x 2 offset between spots ( ⁇ ⁇ ⁇ G 2 in FIG. 39B) is at least l ⁇ , and the x ?i offset between echelon blocks (N JowWo& ⁇ G 3 in FIG. 41) is at least 2 um; Eqs. 53,6 and 53.7.
  • Eqs. 53.5 and 53.6 imply the limit N ⁇ b , ⁇ 7 (Eq. 53.8).
  • N M is set to 8 (Eq. 53.9), implying a spot pitch in the scan direction of 1.279 iim (Eq. 53.10, FIG. 39B) and a microlens center spacing in the scan direction of 32.0 ⁇ (at 25X reduction).
  • the 160-nm dot pitch will result in a fairly fast scan rate, but a slower, higher-resolution line scan can be performed by dividing G 2 by any power of 2 and multiplying N dot , spot by the same factor
  • Eqs. 53.4 and 53.7 imply the limit N row/blodc > 13 (Eq. 53.11).
  • A' ro3 ⁇ 4 , ;block is set to 16 (i.e., N dot/ , Eq. 53.12) so that the microlens apertures have a 2: 1 aspect ratio matching the numerical aperture ratio NA 3 I NA, .
  • the cross-scan spot pitch is 2.557 ( um (Eq. 53.13, FIG. 41), and at 25X reduction the microlens cross-scan center spacing is 63.9 um.
  • the printing system comprises 38 micro! ens arrays and associated projection systems, with the apertures arranged as illustrated in the FIG, 27 plan view.
  • Each microlens array such as array 2702, is rectangular with dimensions of approximately 25 mm in x-, by 50 mm in x, , and with a 5 -mm x ? clearance and 10-mm x 3 clearance between arrays, as illustrated in FIG. 54.
  • the 25-by-50-mm array dimensions correspond to the projection systems' object field dimensions. (Each projection system's lens apertures and housing must fit within the 30-mm by 60-mm footprint,) At 25X reduction the image field dimensions are approximately 1 mm by 2 mm, Eqs. 53.14 and 53.15 (cf. FIGS. 40 and 41). [021 7] Eq .
  • Eq. 53.15 is combined with Eqs. 53.4 and 53.12 to obtain N hkKk/SifM * 782 (Eq. 53.21).
  • V b: ⁇ MMd is set to 784 (i.e., 2 N dot/row ) in order to retain the field's 2: 1 aspect ratio, Eq. 53.22.
  • the image field's x 3 dimension is 2.005 mm (Eq. 53.23), corresponding to an object field dimension of 50.1 mm.
  • the projection systems' center spacings are approximately 30 mm in x, and 60 mm in x, (Eqs. 53.24 and 53.25 in FIG. 53B; cf. FIGS, 42, 43, and 54). Eqs.
  • N dof/ iis is set to 188160 (i.e., A ⁇ ae/field / 2 ) to retain the 2:1 aspect ratio between the projection systems' x 2 and x 3 center spacings, Eq. 53.32.
  • the x 2 spacing is 30.01 mm, Eq. 53.33.
  • the total data rate (“ data rate ”) is 140 GHz (i.e. 3.7 GHz per field), Eq, 53.38.
  • the data rate would be higher if control capabilities such as gray-level control (discussed in section 19) are provided.
  • the area scan rate (“ area _ rate ”) is 35.8cm 2 /sec (i.e., 94 mm 2 /sec per field), Eq. 53.39.
  • the total number of printed dots N dot is 2.69 - 10 12 (i.e., 7.08 - 10 10 per field), Eq. 53.40.
  • the total print area coverage is approximately 687 cm 2 (i.e., 18.1cm 2 per field), Eq. 53.41 . This amounts to 97% of a 300-mm wafer area, but includes off-wafer printing area.
  • the scan time per wafer (“ scan time ' ”) is 19.2 sec, Eq. 53.42. This does not include the time required for w r afer loading and scan reversal, but is consistent with a throughput of order 100 wafers per hour. (However, two scans are required for the nodal-line and trim exposures, and the high throughput is also offset by the need for multiple patterning steps to form dense line structures.) Assuming an exposure dose of 30mJ/cm 2 (Eq. 53.43), the image-plane exposure power is 1.07 W, Eq. 53.44. The laser power would need to be higher to accommodate optical losses. In addition , much higher power (e.g. of order 10 W) may be required to expose narrow lines using the low-threshold nodal-line printing method illustrated in F G. 32.
  • the optical modulators would switch the focused- radiation spots on and off at the 6 kHz laser repetition rate, which is very low compared to prior-art optical modulators. Furthermore, the modulators would only need to be latched and stable during the very brief time interval of each laser pulse. The modulation can be effected with micromechamcal shutters proximate the microlens foci, such as the modulator mechanism illustrated in FIGS. 19A-19D. [0223]
  • the relatively low modulation rate makes it possible to employ supplemental control mechanisms for individually controlling the spots' intensity levels ("gray level”) and center positions. These mechanisms would provide additional degrees of freedom that could be exploited for resolution enhancement, and they could also be used as corrective mechanisms.
  • gray level control can be used to vary line widths and to compensate for variations in microlens transmittance and laser power fluctuations.
  • Centering controls allow the printed line patterns to deviate somewhat from straightness and strict periodicity, and can also be used to correct small scan positioning errors and to correct thermally-induced image distortion.
  • a grating modulator such as that illustrated in FIGS. 23 A and 23B can provide both ON/OFF switching and gray level control.
  • the modulator can provide continuous gray-level control by positioning the movable element at intermediate positions between the OFF and ON states.
  • a grating modulator only for gray-level control over a limited transmittance range (e.g. 50% to 100%), while using a separate shutter mechanism for ON/OFF switching.
  • FIG. 55 il lustrates a modulator 203 comprising a shutter mechanism 5501 of the type illustrated in FIG. 19A in series with a gratmg modulator 5502 similar to that illustrated in FIGS. 23A-23B but configured to provide only gray-level control.
  • the grating modulator 5502 may be actuated by a comb drive mechanism, not shown.
  • the grating modulator need not be designed to the stringent tolerances that would be required to achieve a high extinction ratio in the OFF state.
  • the grating layers 2301 and 2303 in FIG. 23 A can be thinner for modulating over a limited transmittance range.
  • the focused-radiation spot centering can be precisely varied over a limited range by equipping the microlenses with micro-mechanical positioning actuators. For example, if the projection system has a 25X reduction ratio, then a 25-nm translational movement of a microlens will induce a 1-nm positional shift of the corresponding spot.
  • a potential limitation of this method is that if the microlens is designed to correct strong optical aberrations in the projection optics, then the translational motion will induce additional optical aberrations. (The induced aberration is proportional to the gradient of the microlens grating phase in the translation direction.) But this limitation can be overcome, as described below. [ ⁇ 226] A centering control mechanism is illustrated schematically in FIG.
  • proximate microlens elements 5601 and 5602 on the top of microlens/SLM plate 1 ⁇ 4 operate in conjunction to focus incident illumination through an intermediate focus 202 on the bottom of the plate.
  • the intermediate focus 2 ⁇ 2 is at the object plane of a projection system, and is modulated by a proximate modulator element 203 (e.g., the shutter/ gratmg mechanism of FIG. 55), Element 5601 is actuated to provide motion in the cross-scan direction ( e ) for x, spot centration control.
  • a low-power field-lens element 5603 on the bottom of plate 104 images the microlens elements 5601 and 5602 substantiaily onto the system entrance pupil (which would be at infinity if the projection system is telocentric on the object side).
  • FIG. 56 configuration is schematically similar to FIGS, 2, 3 A and 313, except that the microlens element 201 in is split into two elements 5601 and 5602, one of which is movable, and the field lens 5603 is added.
  • the field lens allows the aperture of element 5602 to operate as a pupil-defining aperture stop that is optimally customized for a single focused- radiation spot.
  • the conjugate relation between the aberration-correcting microlenses and the entrance pupil enables correction of large optical aberrations, and it ensures that the image- space focused beam remains centered in the exit pupil as element 5601 moves.
  • elements 5601 and 5602 can be described as follows using a thin- lens model, which is reliable when the two elements' phase-Fresnel structures are in close proximity.
  • the phase-Fresnel surfaces are modeled approximately as zero-thickness structures in a common aperture plane. Coordinates in the microlens aperture plane are denoted as x, and x 3 (corresponding to the scan and cross-scan directions, respectively).
  • lens element 56 ⁇ 1 When lens element 56 ⁇ 1 is moved by positional increment x 3 jen3 in the cross-scan direction, its grating phase becomes gp, [.x, , x, - x 3 , CTS ] .
  • the microlens motion induces a corresponding cross-scan positional shift in the focused spot's geometric image point on the image plane (the printing surface).
  • This positional shift is denoted as x rmme , which is an implicit function of x 31ens .
  • x 31em and ⁇ ⁇ ! ⁇ 3 ⁇ 4 ⁇ are both zero.
  • the projection system is characterized by an optical phase function
  • op ⁇ [3 ⁇ 4,x 3 ,x, iri . aoe l (also referred to as an "eikonal function"), which represents the optical path length from position (x 2 ,x 3 ) on the microlens aperture plane to the positionally shifted geometric image point.
  • the illumination system is similarly characterized by an optical phase function op ; ,, um [x 2 ,x 3 ] representing the optical path length from the illumination source to aperture point (x 2 ,x ) .
  • g/> ] [x 2 ,0] can be set equal to gp ] [ ,x 2 ] to make the grating phase functions approximately axially symmetric, Eq. 57.13.
  • magnification factor is the reciprocal of the projection system's reduction factor, and may be negative to accommodate image inversion.
  • M it may be advantageous to set M to approximately half the magnification factor in order to balance the microlens optical power approximately evenly between elements 5601 and 5602.
  • the spot positioning range would be reduced by a factor of two, but positioning resolution would improve by a factor of two, relative to a design with M equal to the magnification factor.
  • the shutter mechanism 5501 in FIG. 55 preferably comprises shutter apertures (1903 and 1904 in FIG. 19A) having the form of elongated slits oriented in the cross-scan ( e 3 ) direction, which are MEMS-actuated to move in the scan ( , ) direction. This will allow the focused beam to be moved in the e direction for centration control without being clipped by the shutter apertures.
  • the grating modulator 5502 preferably comprises grating lines oriented in the e 3 direction, with the movable grating actuated to move in the e, direction. The gratings' transmittance characteristics will be more uniform over the entrance pupil in this configuration because ⁇ 3 ⁇ 4 ?
  • a line grating's diffraction characteristics are generally comparatively insensitive to ray direction variations in a plane parallel to the grating lines, relative to directional variations in a transverse plane, so it is advantageous to align the grating lines to the wide aperture direction.
  • the grating lines can be elongated in the e 3 direction to accommodate beam centration control.
  • any beam apodization in the e 2 direction is preferably applied at the projection system's aperture stop, not in the microienses, because beam truncation by the shutter apertures could otherwise interfere with the apodization.
  • the shutter apertures' x, limits are preferably located at the first diffraction nodes of the focused beam in order to minimize both the shutters' range of motion and the sensitivity to shutter position in the ON state.
  • the truncation of the focused beam's diffraction tails in the e ? direction will result in partial beam apodization at the image-space exit pupil.
  • the optical attenuation profile across the projection system's aperture stop can be tailored to further apodize the beam in the e 2 direction.
  • the Schupmann lens configuration 2101 of FIG. 21 B can be modified to provide beam centration control, as illustrated in FIG. 58, by making the top microiens element 201 movable.
  • a small field lens 5603 proximate the intermediate focus 202 images the entrance pupil onto element 2 ⁇ 1.
  • the optical power can be balanced between elements 201 and 2102 to optimize aberration compensation performance over the positional range of element 201 while maintaining substantial achromaticity.
  • the above-described nodal line printing method is applicable to multi-patterning, single-wavelength lithography, in which multiple coarse-pitch line structures are interleaved to form high-pitch structures.
  • the method is also adaptable to dual-wavelength processes, such as absorbance modulation optical lithography and two-color lithography, which can perform the interleaving in a single exposure process without intermediate processing.
  • two focus spot patterns such as 3002 and 3201 illustrated in FIG. 32 are simultaneously superimposed, using separate illumination wavelengths for the two patterns, to expose narrow lines in a photoresist.
  • Pattern 3002 is illuminated with an "exposure wavelength” ⁇ , , which modifies the resist solubility in relation to the absorbed energy.
  • Pattern 3201 is illuminated with a separate "masking wavelength” _ , which inhibits the resist photo-activation by wavelength A, so that only a narrow line of width w in FIG. 32 is formed where the ⁇ 2 intensity is below threshold t ,
  • Multiple lines can be scanned at a line pitch significantly smaller than ⁇ , / N L by this method, without any intermediate resist processing steps.
  • the line pitch G 3 in FIG. 41 might he comparable to ⁇ , I NA- i , but multiple line scans can be used to expose interleaved line patterns at a pitch much smaller than G, .
  • the '874 application disclosed methods for creating optical nulls at isolated points (as in the '874 application's FIGS. 26 and 27) by using spiral-phase microlenses such as those illustrated in the '874 application's FIGS. 18-23. Similar techniques are used in other prior art (e.g., as illustrated in Figure 1 of Ref. 25).
  • the exposure pattern plot 3201 in FIG. 32 has an optical null along a line (3502 in FIG. 35), not at an isolated point.
  • line patterns, rather than isolated points, for lithography can greatly increase the system's achievable throughput and power efficiency,
  • a photochromic layer in contact with the resist operates as a contact mask, absorbing the exposure wavelength over regions where the masking wavelength has high intensity.
  • the cover plate 2012 in FIG. 20 could have a photochromic layer on its bottom side, or a photochromic immersion fluid might be used between the cover plate and resist.
  • This type of process is described in the '874 application, which notes that it may alternatively be possible to emulsify the photochromic medium in the resist.
  • the latter method is analogous to two-color lithography, which uses a photo-inhibitor in the resist.
  • the two wavelengths can be merged into the projection system by means of a beam combiner, as illustrated schematically by element 112 in the '874 application's FIG . 29.
  • a beam combiner as illustrated schematically by element 112 in the '874 application's FIG . 29.
  • a projection system simi lar to that il lustrated in FIG. I B could possibly accommodate a beam combiner in the space between elements PL1 and PL2.
  • dual-wavelength optics can be used throughout the optical system (e.g. from the source point 101 in FIG. 1 A through the projection system), eliminating the need for beam combining in the projection system.
  • Phase-Fresiiel optics can work with two widely separated wavelengths by using different diffraction orders for the two wavelengths.
  • the phase-Fresnel structure illustrated in FIG. 22C could operate simultaneously at wavelength 266 ran and 532 ran.
  • a phase-Fresnel diffractive lens can exhibit simultaneous blazing (high efficiency) and approximately equivalent raytrace properties at the two wavelengths if the approximate relation m ⁇ * m 2 ⁇ , holds.
  • a phase-Fresnel optic need not be perfectly corrected for chromatic aberration between A and ⁇ , . Some amount of chromatic aberration can be useful for
  • Catoptric or catadioptric projection optics such as the Schwarzschild mirror system of the '919 application or the catadioptric DUV system of Ref. 26, can be used for wideband or dual- wavelength operation.
  • a shutter-type optical modulator (FIGS. 19A-19D) can operate to simultaneously block or transmit the two wavelengths, or it can operate to divert A ( radiation (the exposure wavelength) out of the optical system via diffractive scattering (zero-order extinction) in the OFF state,
  • a diffractive optical modulator (FIGS. 23 A and 23B) need only be optimized for high zero-order extinction at wavelength A, in the OFF state, but it should be configured to have high zero-order transmission of both wavelengths in the ON state.
  • the wavelength- A, phase discontinuity illustrated by plot 3101 in FIG. 31 can be effected by means of a phase-shifting optical surface such as surface 3301 in FIG.
  • phase step 3302 in FIG. 33 or 3402 in FIG. 34 could induce a phase discontinuity of one cycle phase at and / 2 or / 2 cycle at A 7 . ⁇
  • FIG. 59 schematically illustrates the components of a scanned-spot-array
  • Illuminating radiation 5901 is directed onto a microlens array 5902 comprising elements such as micro lens 201 , which focuses the radiation through an intermediate focal point 2 ⁇ 2 at the object surface of a projection system 103.
  • the projection system transmits the radiation to a printing surface 105 at its image plane, and focuses the radiation from each intermediate focus 202 onto a focused-radiation spot 7 ⁇ 2 on the printing surface.
  • An array 5903 of optical modulators proximate the intermediate foci, including modulator element 203, modulates the radiation transmitting to the focused-radiation spots.
  • a mechanical stage 5904 raster-scans the printing surface in synchronization with the modulation to record a synthesized, high-resolution optical image on the surface.
  • the synchronization is effected by a control mechanism 5905 (e.g., a computer, digital micro-controllers, analog circuits, or a combination of such elements).
  • the control mechanism receives position information 5906 from a position sensor or sensors 5907 (e.g., i terferometric optical encoders), which detect the positional relationship between the projection system and the printing surface.
  • the control mechanism generates positional control signals 5908 for the scanning stage and modulation signals 5909 for the modulator array.
  • the control mechanism may also generate control signals 5910 for a mechanical actuator coupled to the microlens array, or for micromechanical actuators coupled to individual microlens elements, which effect small positional changes in the focused-radiation spots by moving the microlenses.
  • Zemax is a commercial lens design program from Radiant Zemax LLC, based in
  • Scanned-spot-array imaging has multiple advantages for lithography including maskiess operation, modularity, relative simplicity and small dimensional scale of the projection optics, low power requirement, low scan speed, and accurate alignment and focus control. With the nodal line printing technique, such systems could provide ultra-high - resolution and high-throughput printing capability in conjunction with multi-patterning or dual -wavelength recording processes.

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

Un système de lithographie DUV de matrice de points balayés comprend une matrice de microlentilles de phase de Fresnel qui focalisent de multiples faisceaux de rayonnement au moyen de foyers intermédiaires au niveau de la surface côté objet d'un système de projection. Les foyers intermédiaires sont imagés par le système de projection sur des points de rayonnement focalisés correspondants sur un plan d'image. Les points exposent une couche photosensible à proximité du plan d'image à mesure que la couche est balayée en synchronisation avec la modulation des faisceaux. Les modulateurs peuvent comporter des obturateurs micromécaniques à proximité des foyers intermédiaires et permettant une commutation MARCHE/ARRET en série avec des modulateurs de réseau de transmission permettant une commande des niveaux de gris. Les microlentilles peuvent également être actionnées de manière à assurer une commande dynamique du centrage des faisceaux. Une technique d'impression de lignes nodales peut être utilisée pour obtenir une capacité d'impression sans masque à ultra-haute résolution et à haut débit en association avec des processus d'enregistrement multi-motifs ou à double longueur d'onde.
PCT/US2014/043462 1999-01-15 2014-06-20 Système de lithographie duv de matrice de points balayés WO2015012982A1 (fr)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/906,875 US9651874B2 (en) 1999-01-15 2014-06-20 Scanned-spot-array DUV lithography system

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201361857166P 2013-07-22 2013-07-22
US61/857,166 2013-07-22
US201361921407P 2013-12-28 2013-12-28
US61/921,407 2013-12-28
US201461937552P 2014-02-09 2014-02-09
US61/937,552 2014-02-09

Publications (1)

Publication Number Publication Date
WO2015012982A1 true WO2015012982A1 (fr) 2015-01-29

Family

ID=52393740

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2014/043462 WO2015012982A1 (fr) 1999-01-15 2014-06-20 Système de lithographie duv de matrice de points balayés

Country Status (1)

Country Link
WO (1) WO2015012982A1 (fr)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI695230B (zh) * 2015-07-02 2020-06-01 美商應用材料股份有限公司 使用時間偏移曝光的非均勻圖案校正
TWI704430B (zh) * 2017-10-19 2020-09-11 美商希瑪有限責任公司 在單一微影曝光遍次中形成多個空間影像
CN113075681A (zh) * 2021-03-16 2021-07-06 长沙思木锐信息技术有限公司 一种扫描装置和扫描测量系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6238852B1 (en) * 1999-01-04 2001-05-29 Anvik Corporation Maskless lithography system and method with doubled throughput
US6498685B1 (en) * 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
US7079306B2 (en) * 2003-08-22 2006-07-18 Plex Llc Optically addressed extreme ultraviolet modulator and lithography system incorporating modulator
US20080298552A1 (en) * 2003-12-17 2008-12-04 Koninklijke Philips Electronic, N.V. Method and Device for Generating in Particular Euv Radiation And/or Soft X-Ray Radiation
US20100079739A1 (en) * 2007-05-25 2010-04-01 Carl Zeiss Smt Ag Projection objective for microlithography

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6238852B1 (en) * 1999-01-04 2001-05-29 Anvik Corporation Maskless lithography system and method with doubled throughput
US6498685B1 (en) * 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
US7079306B2 (en) * 2003-08-22 2006-07-18 Plex Llc Optically addressed extreme ultraviolet modulator and lithography system incorporating modulator
US20080298552A1 (en) * 2003-12-17 2008-12-04 Koninklijke Philips Electronic, N.V. Method and Device for Generating in Particular Euv Radiation And/or Soft X-Ray Radiation
US20100079739A1 (en) * 2007-05-25 2010-04-01 Carl Zeiss Smt Ag Projection objective for microlithography

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI695230B (zh) * 2015-07-02 2020-06-01 美商應用材料股份有限公司 使用時間偏移曝光的非均勻圖案校正
TWI704430B (zh) * 2017-10-19 2020-09-11 美商希瑪有限責任公司 在單一微影曝光遍次中形成多個空間影像
TWI738460B (zh) * 2017-10-19 2021-09-01 美商希瑪有限責任公司 在單一微影曝光遍次中形成多個空間影像
US11526082B2 (en) 2017-10-19 2022-12-13 Cymer, Llc Forming multiple aerial images in a single lithography exposure pass
CN113075681A (zh) * 2021-03-16 2021-07-06 长沙思木锐信息技术有限公司 一种扫描装置和扫描测量系统

Similar Documents

Publication Publication Date Title
US9651874B2 (en) Scanned-spot-array DUV lithography system
US9188874B1 (en) Spot-array imaging system for maskless lithography and parallel confocal microscopy
US9983483B2 (en) Illumination system of a microlithographic projection exposure apparatus
JP5496846B2 (ja) 干渉型リソグラフィ装置
JP4352033B2 (ja) 調整可能解像力干渉リソグラフィシステム
EP0736789A2 (fr) Système catadioptrique et appareil d'exposition lumineuse utilisante ce système
US20100284015A1 (en) Scanning EUV Interference Imaging for Extremely High Resolution Patterning
JP2001500628A (ja) マイクロリトグラフィ用マイクロレンズスキャナ及び広フィールド共焦顕微鏡
KR20070015067A (ko) 기판 왜곡 측정
JP6744984B2 (ja) 波面の可変コレクタ
US9097983B2 (en) Scanned-spot-array EUV lithography system
KR101399768B1 (ko) 기울어진 편향 미러를 갖는 반사굴절식 투영 대물렌즈, 투영 노광 장치, 투영 노광 방법 및 미러
EP1656587A2 (fr) Prisme d'interferometre de smith-talbot a reduction pour microtra age
WO2015012982A1 (fr) Système de lithographie duv de matrice de points balayés
US8049865B2 (en) Lithographic system, device manufacturing method, and mask optimization method
US11520235B2 (en) EUV lithography system with diffraction optics
JP2005308629A (ja) ミラーユニット及びそれの製造方法
US7649676B2 (en) System and method to form unpolarized light
JP4921580B2 (ja) 反射屈折投影対物系
JP2001272310A (ja) 投影光学系の収差計測装置及び計測方法、それに用いられるマスク並びに露光装置
JPH0757992A (ja) 投影露光装置
Johnson Maskless EUV lithography, an alternative to e-beam
JP3316761B2 (ja) 走査型露光装置、及びその装置を用いるデバイス製造方法
Johnson Nodal line-scanning method for maskless optical lithography
JPH11317362A (ja) 走査型露光装置、および該装置を用いるデバイス製造方法

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14828684

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 14906875

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 14828684

Country of ref document: EP

Kind code of ref document: A1