WO2014059563A1 - Semiconductor device and manufacturing method thereof - Google Patents
Semiconductor device and manufacturing method thereof Download PDFInfo
- Publication number
- WO2014059563A1 WO2014059563A1 PCT/CN2012/001538 CN2012001538W WO2014059563A1 WO 2014059563 A1 WO2014059563 A1 WO 2014059563A1 CN 2012001538 W CN2012001538 W CN 2012001538W WO 2014059563 A1 WO2014059563 A1 WO 2014059563A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- drain
- source
- region
- semiconductor device
- gate
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 28
- 238000004519 manufacturing process Methods 0.000 title claims abstract description 27
- 125000006850 spacer group Chemical group 0.000 claims abstract description 36
- 239000000758 substrate Substances 0.000 claims abstract description 35
- 229910052751 metal Inorganic materials 0.000 claims description 51
- 239000002184 metal Substances 0.000 claims description 51
- 239000000463 material Substances 0.000 claims description 50
- 239000010410 layer Substances 0.000 claims description 48
- 229910021332 silicide Inorganic materials 0.000 claims description 21
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 claims description 21
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical group O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 19
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 17
- 238000005530 etching Methods 0.000 claims description 15
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 14
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 14
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 11
- 239000007943 implant Substances 0.000 claims description 11
- 229910052710 silicon Inorganic materials 0.000 claims description 11
- 239000010703 silicon Substances 0.000 claims description 11
- 150000004767 nitrides Chemical class 0.000 claims description 7
- 238000000137 annealing Methods 0.000 claims description 6
- 238000000151 deposition Methods 0.000 claims description 6
- 239000011229 interlayer Substances 0.000 claims description 6
- 238000005468 ion implantation Methods 0.000 claims description 6
- 229910003481 amorphous carbon Inorganic materials 0.000 claims description 5
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 5
- 229920005591 polysilicon Polymers 0.000 claims description 5
- 229910021417 amorphous silicon Inorganic materials 0.000 claims description 3
- 229910021424 microcrystalline silicon Inorganic materials 0.000 claims description 3
- 230000015572 biosynthetic process Effects 0.000 claims description 2
- 239000000945 filler Substances 0.000 claims description 2
- 238000000034 method Methods 0.000 abstract description 13
- 238000002513 implantation Methods 0.000 description 6
- 229920002120 photoresistant polymer Polymers 0.000 description 5
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 4
- 239000007789 gas Substances 0.000 description 4
- 238000012986 modification Methods 0.000 description 4
- 230000004048 modification Effects 0.000 description 4
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 4
- 238000001039 wet etching Methods 0.000 description 4
- 238000001312 dry etching Methods 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 238000002955 isolation Methods 0.000 description 3
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 238000001459 lithography Methods 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 239000007769 metal material Substances 0.000 description 2
- 229920000620 organic polymer Polymers 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 229910052582 BN Inorganic materials 0.000 description 1
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910005898 GeSn Inorganic materials 0.000 description 1
- 229910020684 PbZr Inorganic materials 0.000 description 1
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 1
- 239000002253 acid Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 125000003118 aryl group Chemical group 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000000460 chlorine Substances 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 230000008020 evaporation Effects 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 229940104869 fluorosilicate Drugs 0.000 description 1
- 125000001475 halogen functional group Chemical group 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- 229910052747 lanthanoid Inorganic materials 0.000 description 1
- 150000002602 lanthanoids Chemical class 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- JMANVNJQNLATNU-UHFFFAOYSA-N oxalonitrile Chemical compound N#CC#N JMANVNJQNLATNU-UHFFFAOYSA-N 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 125000003367 polycyclic group Chemical group 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 238000007650 screen-printing Methods 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000005507 spraying Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66545—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28123—Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
- H01L21/28141—Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/6656—Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66613—Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
- H01L29/66621—Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66568—Lateral single gate silicon transistors
- H01L29/66659—Lateral single gate silicon transistors with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/6681—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
Definitions
- the present invention relates to the field of semiconductor integrated circuit fabrication, and more particularly to a novel MOSFET having a symmetrical structure fabricated by a gate-last process and a method of fabricating the same. Background technique
- MOSFETs MOSFETs
- insulating isolation tends to degrade.
- conventional doped polysilicon gates do not effectively control the gate work function to adjust the threshold voltage.
- a high-k material is used as a gate insulating layer in the prior art and a metal material is used as a gate electrode and a metal nitride to adjust a work function.
- a manufacturing method of the gate stack structure of the high-k-metal gate is a so-called back gate process, that is, a dummy gate of a material such as polysilicon is deposited and etched on the substrate first, and then deposited.
- An interlayer dielectric layer (ILD) of a low-k dielectric covers the entire device, an ILD is etched to form a gate trench, and a gate insulating layer of a high-k material and a gate conductive layer of a metal are sequentially deposited in the gate trench.
- ILD interlayer dielectric layer
- the material of the offset sidewall is selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, high-k materials, and combinations thereof. '
- the drain area also includes an elevated drain area.
- the offset sidewall and/or the gate stack structure are separated or connected in a ring shape.
- the present invention also provides a method of fabricating a semiconductor device, comprising: forming a trench in a substrate; forming a first offset sidewall on a side of the trench; forming a dummy gate on the side of the first offset sidewall; Two offset sidewalls; performing ion implantation and subsequently annealing using the first offset sidewall, the dummy gate, and the second offset sidewall as a mask to form a source region and a drain region, wherein the source region is symmetrically distributed with respect to the drain region
- the dummy gate is removed, a gate trench is formed, and a gate stack structure is formed in the gate trench, wherein the gate stack structure is symmetrically distributed with respect to the drain region.
- the surface of the substrate has a source-drain implant region, and the conductivity type of the source-drain implant region is different from the conductivity type of the substrate.
- the first offset sidewall is the same material as the second offset sidewall and is different from the dummy gate material.
- the first offset sidewall spacer and/or the second offset sidewall spacer material are selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, high-k materials, and combinations thereof, and the dummy gate material is selected from the group consisting of polysilicon, amorphous silicon, Microcrystalline silicon, amorphous carbon, silicon nitride, silicon oxide, silicon oxynitride, and combinations thereof.
- the method further includes: forming an insulating dielectric layer in the trench; etching the insulating dielectric layer to form a drain trench until the drain region is exposed; forming an elevated drain region in the drain trench, or depositing a filler metal , so that the lifting drain or metal is flush with the top of the dummy gate.
- the method further comprises: planarizing or etching so that the top of the source region and/or the drain region is lower than the dummy gate top.
- the method further comprises: forming on the source region and the drain region Metal layer; annealing causes the metal layer to react with the source and drain regions to form a metal silicide, located on the source and drain regions; and removing the unreacted metal layer.
- a fine dummy gate line is formed by the double sidewall structure of the offset sidewall spacer and the gate spacer, and the symmetrical structure of the source-drain-source is used to improve the device processing. Accuracy improves overall device reliability and improves performance.
- 1 to 12 are cross-sectional views showing respective steps of a method of fabricating a semiconductor device in accordance with one embodiment of the present invention
- 13 to 16 are cross-sectional views showing respective steps of a method of fabricating a semiconductor device in accordance with another embodiment of the present invention. detailed description
- a source-drain implant region (well region) is formed in a substrate, and a photoresist is applied and patterned to expose a portion of the source-drain implant region corresponding to the gate region and the drain region.
- a substrate 1 made of, for example, bulk Si, bulk Ge, SOL GeOI, GaAs, SiGe, GeSn, InP, InSb, GaN, etc., and preferably bulk Si (for example, single crystal Si wafer) or SOI to be compatible with existing CMOS Process compatible.
- the substrate 1 has a lightly doped first conductivity type, such as p -.
- Source-drain ion implantation is performed on the substrate 1 such that a portion of the substrate 1 near the surface constitutes a source leak.
- the entry region 1A has a second conductivity type different from the first conductivity type, and has a higher doping concentration, for example, n+.
- the source-drain implant region 1A shown in FIG. 1 is located near the top of the entire substrate 1, in practice its periphery may be surrounded by an insulating medium such as shallow trench isolation (STI) to form a well region, that is, a P-line.
- STI shallow trench isolation
- the source-drain implant region (well region, active region) is formed by implantation
- the epitaxial source/drain region may be formed in an epitaxial manner, and in-situ doping may be performed while epitaxially having n+. Conductive type.
- the source and drain implant regions are etched using the photoresist pattern as a mask to form trenches until the substrate is exposed.
- TMAH wet etching may be used, or a fluorocarbon plasma plasma etching may be used, and the source and drain implantation of the photoresist pattern PR may be vertically etched.
- the gate and drain trenches 1 B are formed in the region 1A.
- the gate and drain trenches 1 B have a partial overetch during etching, that is, the bottom surface of the trench 1 B may be slightly lower than the source and drain implant regions (well region, active region).
- the bottom surface of 1A for example, l ⁇ 5nm.
- the width of the trench should be greater than or equal to the sum of the gate width and the drain width, for example, 50 to 500 nm.
- the bottom and sides of the dielectric layer are then anisotropically etched using conventional photolithography/etching such that the underlying dielectric layer is completely removed and the substrate 1 is exposed while the side dielectric layer remains
- the sidewalls of the gate and drain trenches 1B thus constitute the first offset spacers 2.
- the first offset spacer 2 will later serve as an isolation sidewall between the source and the source and is used to define the distribution of the gates.
- the thickness of the first offset side wall 2 is relatively Thin to precisely control the device lines, for example only l ⁇ 10nm.
- the first offset spacer 2 shown in FIG. 3 is two left and right, and in fact, in the top view, in addition to the two symmetrically distributed, it may be a (circular) ring distributed on the inner wall of the trench 1B. .
- a dummy gate 3 and a second offset side wall 4 are sequentially formed on the side of the first offset spacer 2. Similar to the formation of the first offset spacer 2, that is, the first deposition and the subsequent etching, the dummy gate 3 is formed on the side (specifically, the inner side) of the first offset spacer 2 (because it is substantially used as a sidewall structure defining a gate shape, also referred to as a gate spacer; the material thereof is, for example, polysilicon, amorphous silicon, microcrystalline silicon, amorphous carbon, silicon nitride, silicon oxide, silicon oxynitride, etc., and combinations thereof And the material of the dummy gate 3 is different from the material of the first offset spacer 2 in order to have a higher etching selectivity between the two.
- the dummy gate 3 when the first offset sidewall 2 is silicon oxide, the dummy gate 3 may be silicon nitride.
- the width of the dummy gate 3 is set according to the width of the device gate, for example, 10 to 50 nm.
- the dummy grid 3 is shown in FIG. 4 as two structures symmetrical on the left and right sides, and may also be substantially in the top view, which is distributed inside the (circular) annular first offset side wall (circle) ring.
- the annular structure, that is, the dummy gate 3 may be a (circular) ring shape.
- first offset sidewall 2, the dummy gate 3, and the second offset spacer 4 do not completely fill the gate and drain trenches 1 B , and the corresponding regions of the dummy gate 3 are used to form The gate, and the exposed substrate 1 area will be used to form the drain region. Thereafter, ion implantation is performed again, which may be vertical multiple ion implantation to form a lightly doped source and drain region (LDD structure) and heavily doped source and drain regions, or oblique ion implantation to form a halo source. Leak-doped regions (none of which are shown above).
- LDD structure lightly doped source and drain region
- oblique ion implantation to form a halo source. Leak-doped regions (none of which are shown above).
- the driving annealing is performed so that the impurities in the source/drain implantation region 1A are diffused in the longitudinal direction and the lateral direction, thereby forming the source region 1 S and the drain region 1D, respectively, in the substrate.
- the substrate 1 between the drain region 1 D and the source region 1 S constitutes a channel region 1 C, which may be a plurality of separate or connected (circular) rings.
- the dielectric layer 5 is filled in the trench 1B, and the insulating dielectric layer 5 is planarized by CMP, etch back, etc. until the first offset spacer 2, the dummy gate 3, and the second offset spacer are exposed. 4.
- the material of the insulating dielectric layer 5 is preferably the same as the first offset sidewall 2 and/or the second offset sidewall 4 The materials are the same, that is, silicon oxide, silicon nitride, silicon oxynitride, and combinations thereof, and are different from the material of the dummy gate 3.
- the insulating dielectric layer 5 is a silicon oxide prepared by a medium temperature LPCVD or a low temperature PECVD method using TEOS as a reactant.
- the insulating dielectric layer 5 may also be doped silicon oxide, such as BSG, PSG, BPSG, F-doped glass, C-doped glass, etc., and may also be other low-k materials, which may be formed by spin coating, spraying, or the like. Screen printing and more.
- doped silicon oxide such as BSG, PSG, BPSG, F-doped glass, C-doped glass, etc.
- other low-k materials which may be formed by spin coating, spraying, or the like. Screen printing and more.
- the insulating dielectric layer 5 is etched to form the drain trench 5A until the drain region 1D is exposed.
- the insulating dielectric layer 5 for silicon oxide may be etched by HF-based wet etching, or fluorocarbon-based plasma dry etching, such as CF 4 , CH 3 F, CHF 3 , CH 2 F 2 , C 3 F 6 , C 4 F 8 and the like, and an etching gas having a relatively large fluorocarbon is preferable.
- the width of the drain trench 5A is, for example, 20 to 100
- the dummy gate 3 is removed, and the gate trench 3A is formed until the trench region 1C in the substrate 1 is exposed.
- the dummy gate 3 of silicon nitride, it can be etched and removed by hot phosphoric acid or strong oxidizing agent + strong acid wet etching solution (such as sulfuric acid + hydrogen peroxide), or fluorocarbon-based plasma dry etching.
- Other materials of the dummy gate 3 can be plasma dry etching, the etching gas can be rare gas (He, Ne, Ar, Kr, Xe), etc., and can also include oxygen, chlorine, bromine vapor, etc. Rate of gas.
- the width of the gate trench 3A is equal to the width of the dummy gate 3 (i.e., the gate spacer), which is the same as the gate width of the final device, for example, 10 to 50 nm.
- a gate insulating layer 6 of a high-k material and a gate conductive layer 7 of a metal material are sequentially deposited in the gate trench 3 A to form a gate stacked structure.
- the high-k material of the gate insulating layer 6 includes, but is not limited to, nitrides (eg, SiN, AlN, TiN), metal oxides (mainly sub-group and lanthanide metal element oxides, such as A1 2 0 3 , Ta 2 0 5 , Ti0 2 , ZnO, Zr0 2 , Hf0 2 , Ce0 2 , Y 2 0 3 , La 2 0 3 ), perovskite phase oxides (eg PbZr x Ti 1-x 0 3 ( PZT ) , Ba x Sr 1 -x Ti0 3 ( BST ) ).
- a metal silicide 8 is formed on the source region 1S and the drain region 1D (elevation drain region 1RD) for reducing the contact resistance.
- a thin metal layer (not shown) is formed on the source region 1 S and the drain region 1D by evaporation, sputtering, or the like, and the material thereof includes Ni, Pt, Co, Ti, and a combination thereof, and has a thickness of, for example, 1 to 5 nm. Annealing at 450 ⁇ 850 °C for 1 s ⁇ 2min, the thin metal layer reacts with Si in the source and drain regions to form a metal silicide 8 having a thickness of, for example, l ⁇ 10 nm. A thin layer of unreacted metal is then stripped.
- the metal silicide 8 may be a single metal silicide or a multi-metal silicide.
- k materials eg amorphous carbon nitride film, polycrystalline boron nitride film, fluorosilicate glass, BSG, PSG, BPSG
- porous low-k materials eg, silicosane (SSQ)-based porous low-k materials, porous dioxide Silicon, porous SiOCH, C-doped silica, F-doped amorphous carbon, porous diamond, porous organic polymer.
- the ILD 9 is etched until the metal silicide 8 is exposed to form a source/drain contact hole (not shown), and the source/drain contact hole is filled with a metal/metal nitride to form a source/drain contact plug 10.
- the final device structure formed in accordance with the first embodiment of the present invention is as shown in FIG. 12, including the substrate 1, the source region 1 S and the drain region 1D in the substrate 1, the gate stack between the source region IS and the drain region ID.
- Structure 6/7, offset spacer 2/4 located around the gate stack structure characterized in that the source region 1 S and the gate stack structure 6/7 are symmetrically distributed with respect to the drain region 1 D.
- the source region 1 S and the drain region 1 D further include a metal silicide 8 and a source/drain contact plug 10 which is in contact with and electrically connected to the metal silicide.
- the top of source region 1 S and/or drain region 1 D is flush with the top of gate stack structure 6/7.
- the materials, geometries, and dimensions of the remaining components are detailed in the description of the manufacturing method and will not be described here.
- FIGS. 13 to 16 are cross-sectional views showing respective steps of a method of fabricating a semiconductor device in accordance with another embodiment of the present invention.
- the same parts of the second embodiment and the embodiment have been described in FIGS. 1 to 8, that is, the second embodiment is the same as the first embodiment before the step of forming the lifted drain region 1RD, and thus is no longer Explain the same part.
- Different portions of the second embodiment will be mainly described below with reference to FIGS. 13 to 16.
- each standard The materials and manufacturing methods used for the same components are the same as those of the first embodiment, except for the order of the manufacturing processes and the relative positional relationship.
- the CMP is planarized or etched such that the top surfaces of the source region 1 S and the drain region 1D (including the lift drain region 1 RD ) are lower than the top surface of the dummy gate 3.
- the source region 1 S of the Si material, the drain region ID, and the like can be etched by using the TMAH wet etching solution.
- the etching liquid does not substantially etch the offset sidewall spacers of silicon oxide, silicon nitride, silicon oxynitride or the like. 4.
- a metal silicide 8 is formed on the source region 1 S and the drain region ID.
- ILD 9 is formed across the device. The CMP then planarizes the ILD 9 until the dummy gate 3 is exposed.
- the dummy gate 3 is removed leaving a gate trench (not shown).
- a gate insulating layer 6 and a gate conductive layer 7 are deposited in the gate trench.
- the CMP planarizes the layers until the ILD 9 is exposed.
- the ILD 9 is etched to form a source/drain contact hole (not shown).
- a metal/metal nitride is deposited in the source/drain contact hole to form a source/drain contact plug 10.
- the final device structure formed in accordance with the second embodiment of the present invention is as shown in FIG. 16, including the substrate 1, the source region 1 S and the drain region 1D in the substrate 1, the gate stack between the source region IS and the drain region ID.
- Structure 6/7, offset spacer 2/4 located around the gate stack structure characterized in that the source region 1 S and the gate stack structure 6/7 are symmetrically distributed with respect to the drain region 1 D.
- the source region 1 S and the drain region 1 D further include a metal silicide 8 and a source/drain contact plug 10 which is in contact with and electrically connected to the metal silicide.
- the source region 1 S and/or drain region 1 D top is lower than the top of the gate stack structure 6/7.
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
A semiconductor device includes a substrate (1), a source region (1S) and a drain region (1D) in the substrate (1), a gate stack structure (6/7) between the source region (1S) and the drain region (1D) on the substrate (1), and offset spacers (2/4) surrounding the gate stack structure (6/7), and is characterized in that the source region (1S) and the gate stack structure (6/7) are symmetrically disposed about the drain region (1D). A method for manufacturing the semiconductor device, by using a double spacer structure of the offset spacers (2/4) and the gate sidewall spacer (3) to form a fine dummy gate line and using a source (1S) - drain (1D) - source (1S) symmetrical structure to improve processing accuracy of the device, increases reliability of the device in whole and improves performance.
Description
半导体器件及其制造方法 优先权要求 Semiconductor device and method of manufacturing the same
本申请要求了 2012年 10月 16日提交的、 申请号为 201210393780.1、 发明名称为 "半导体器件及其制造方法" 的中国专利申请的优先权, 其全部内容通过引用结合在本申请中。 技术领域 The present application claims priority to Chinese Patent Application No. 20121039378, filed on Jan. Technical field
本发明涉及半导体集成电路制造领域, 更具体地, 涉及一种后栅 工艺制造的具有对称结构的新型 MOSFET及其制造方法。 背景技术 The present invention relates to the field of semiconductor integrated circuit fabrication, and more particularly to a novel MOSFET having a symmetrical structure fabricated by a gate-last process and a method of fabricating the same. Background technique
随着器件尺寸持续缩减, MOSFET中栅极绝缘层日益减薄, 其绝 缘隔离效果趋向退化。 此外, 传统的掺杂多晶硅栅极无法有效精确控 制栅极功函数来调整阈值电压。 为此, 现有技术中采用了高 k材料作为 栅极绝缘层并且采用金属材料作为栅极、 以及金属氮化物来调节功函 数。 As device dimensions continue to shrink, the gate insulating layer in MOSFETs is increasingly thinner and their insulating isolation tends to degrade. In addition, conventional doped polysilicon gates do not effectively control the gate work function to adjust the threshold voltage. For this reason, a high-k material is used as a gate insulating layer in the prior art and a metal material is used as a gate electrode and a metal nitride to adjust a work function.
这种高 k-金属栅 (HK-MG ) 的栅极堆叠结构的一种制造方法是所 谓后栅工艺, 也即先在村底上沉积并刻蚀形成多晶硅等材质的假栅极, 然后沉积低 k介质的层间介质层(ILD )覆盖整个器件, 刻蚀 ILD形成栅 极沟槽, 在栅极沟槽中再依次沉积高 k材料的栅极绝缘层以及金属的栅 极导电层。 A manufacturing method of the gate stack structure of the high-k-metal gate (HK-MG) is a so-called back gate process, that is, a dummy gate of a material such as polysilicon is deposited and etched on the substrate first, and then deposited. An interlayer dielectric layer (ILD) of a low-k dielectric covers the entire device, an ILD is etched to form a gate trench, and a gate insulating layer of a high-k material and a gate conductive layer of a metal are sequentially deposited in the gate trench.
然而, 由于器件特征尺寸已降至 45nm乃至 22nm以下, 受限于光刻 精度, 沉积 /刻蚀假栅极以及刻蚀栅极沟槽的加工精度无法有效提高 , 除了难以形成小尺寸假栅极线条之外, 还存在线条失真、 歪曲等问题, 使得最终形成的金属栅极线条可能弯曲甚至断裂, 由此使得器件可靠 性严重下降。 发明内容 However, since the feature size of the device has been reduced to 45 nm or less, it is limited by lithography precision, and the processing precision of depositing/etching the dummy gate and etching the gate trench cannot be effectively improved, except that it is difficult to form a small-sized dummy gate. In addition to the lines, there are also problems such as line distortion, distortion, etc., so that the finally formed metal gate lines may be bent or even broken, thereby seriously degrading device reliability. Summary of the invention
有鉴于此, 本发明的目的在于克服上述难题, 突破光刻精度对于 栅极图案化的限制, 形成精确的、 严格对称的 MOSFET器件结构, 提高 器件的可靠性。
实现本发明的上述目的, 是通过提供一种半导体器件, 包括衬底、 衬底中的源区和漏区、 源区与漏区之间的村底上的栅极堆叠结构、 位 于栅极堆叠结构周围的偏移侧墙, 其特征在于: 源区以及栅极堆叠结 构关于漏区对称分布。 In view of the above, the object of the present invention is to overcome the above problems, break the limitation of lithography precision on gate patterning, and form an accurate and strictly symmetrical MOSFET device structure to improve the reliability of the device. SUMMARY OF THE INVENTION The above object of the present invention is achieved by providing a semiconductor device including a substrate, source and drain regions in a substrate, a gate stack structure on a substrate between a source region and a drain region, and a gate stack. An offset sidewall spacer around the structure, characterized in that: the source region and the gate stack structure are symmetrically distributed with respect to the drain region.
其中, 源区和 /或漏区的顶部与栅极堆叠结构的顶部齐平, 或者源 区和 /或漏区的顶部低于栅极堆叠结构的顶部。 Wherein the top of the source and/or drain regions are flush with the top of the gate stack structure, or the top of the source and/or drain regions is lower than the top of the gate stack structure.
其中, 源区与漏区上还包括金属硅化物, 以及与金属硅化物接触 并电连接的源漏接触塞。 Wherein, the source region and the drain region further comprise a metal silicide, and a source-drain contact plug in contact with and electrically connected to the metal silicide.
其中, 偏移侧墙的材质选自氧化硅、 氮化硅、 氮氧化硅、 高 k材料 及其组合。 ' The material of the offset sidewall is selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, high-k materials, and combinations thereof. '
其中, 漏区上还包括提升漏区。 Among them, the drain area also includes an elevated drain area.
其中, 偏移侧墙和 /或栅极堆叠结构为分离的, 或者连接为环状。 本发明还提供了一种半导体器件制造方法, 包括: 在衬底中形成 沟槽; 在沟槽侧面形成第一偏移侧墙; 在第一偏移侧墙的侧面依次形 成假栅极以及第二偏移侧墙; 以第一偏移侧墙、 假栅极、 第二偏移侧 墙为掩模, 执行离子注入并且随后退火, 形成源区和漏区, 其中源区 关于漏区对称分布; 去除假栅极, 形成栅极沟槽, 在栅极沟槽中填充 形成栅极堆叠结构, 其中栅极堆叠结构关于漏区对称分布。 Wherein, the offset sidewall and/or the gate stack structure are separated or connected in a ring shape. The present invention also provides a method of fabricating a semiconductor device, comprising: forming a trench in a substrate; forming a first offset sidewall on a side of the trench; forming a dummy gate on the side of the first offset sidewall; Two offset sidewalls; performing ion implantation and subsequently annealing using the first offset sidewall, the dummy gate, and the second offset sidewall as a mask to form a source region and a drain region, wherein the source region is symmetrically distributed with respect to the drain region The dummy gate is removed, a gate trench is formed, and a gate stack structure is formed in the gate trench, wherein the gate stack structure is symmetrically distributed with respect to the drain region.
其中, 衬底的表面具有源漏注入区, 源漏注入区的导电类型与衬 底的导电类型不同。 Wherein, the surface of the substrate has a source-drain implant region, and the conductivity type of the source-drain implant region is different from the conductivity type of the substrate.
其中, 第一偏移侧墙与第二偏移侧墙材质相同, 并且与假栅极材 质不同。 The first offset sidewall is the same material as the second offset sidewall and is different from the dummy gate material.
其中, 第一偏移侧墙和 /或第二偏移侧墙材质选自氧化硅、 氮化硅、 氮氧化硅、 高 k材料及其组合, 假栅极材质选自多晶硅、 非晶硅、 微晶 硅、 非晶碳、 氮化硅、 氧化硅、 氮氧化硅及其组合。 The first offset sidewall spacer and/or the second offset sidewall spacer material are selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, high-k materials, and combinations thereof, and the dummy gate material is selected from the group consisting of polysilicon, amorphous silicon, Microcrystalline silicon, amorphous carbon, silicon nitride, silicon oxide, silicon oxynitride, and combinations thereof.
其中, 形成漏区之后进一步包括: 在沟槽中形成绝缘介质层; 刻 蚀绝缘介质层形成漏区沟槽, 直至暴露漏区; 在漏区沟槽中外延形成 提升漏区, 或者沉积填充金属, 使得提升漏区或者金属与假栅极顶部 齐平。 After forming the drain region, the method further includes: forming an insulating dielectric layer in the trench; etching the insulating dielectric layer to form a drain trench until the drain region is exposed; forming an elevated drain region in the drain trench, or depositing a filler metal , so that the lifting drain or metal is flush with the top of the dummy gate.
其中, 形成提升源漏或者填充金属之后进一步包括: 平坦化或者 刻蚀使得源区和 /或漏区的顶部低于假栅极顶部。 Wherein, after forming the source/drain or filling the metal, the method further comprises: planarizing or etching so that the top of the source region and/or the drain region is lower than the dummy gate top.
其中, 形成栅极堆叠结构之后进一步包括: 在源区和漏区上形成
金属层; 退火使得金属层与源区和漏区反应形成金属硅化物, 位于源 区和漏区上; 去除未反应金属层。 Wherein, after forming the gate stack structure, the method further comprises: forming on the source region and the drain region Metal layer; annealing causes the metal layer to react with the source and drain regions to form a metal silicide, located on the source and drain regions; and removing the unreacted metal layer.
其中, 形成金属硅化物之后进一步包括: 形成层间介质层; 刻蚀 层间介质层形成源漏接触孔, 直至暴露源区和漏区上的金属硅化物; 在源漏接触孔中沉积金属 /金属氮化物, 形成源漏接触塞。 The forming the metal silicide further includes: forming an interlayer dielectric layer; etching the interlayer dielectric layer to form a source/drain contact hole until the metal silicide on the source and drain regions is exposed; depositing metal in the source/drain contact hole/ Metal nitride, forming source and drain contact plugs.
其中, 第一偏移侧墙、 第二偏移侧墙、 假栅极、 栅极堆叠结构为 分离的, 或者各自分别连接为环状。 The first offset sidewall, the second offset sidewall, the dummy gate, and the gate stack structure are separated, or are respectively connected in a ring shape.
依照本发明的半导体器件制造方法, 利用偏移侧墙和栅极侧墙的 双重侧墙结构形成精细的假栅极线条, 并利用源极-漏极-源极的对称结 构提高了器件加工的精度, 整体上提高了器件可靠性, 改进了性能。 附图说明 According to the semiconductor device manufacturing method of the present invention, a fine dummy gate line is formed by the double sidewall structure of the offset sidewall spacer and the gate spacer, and the symmetrical structure of the source-drain-source is used to improve the device processing. Accuracy improves overall device reliability and improves performance. DRAWINGS
以下参照附图来详细说明本发明的技术方案, 其中: The technical solution of the present invention will be described in detail below with reference to the accompanying drawings, in which:
图 1至图 12为根据本发明一个实施例的半导体器件制造方法各个 步骤的剖视图; 1 to 12 are cross-sectional views showing respective steps of a method of fabricating a semiconductor device in accordance with one embodiment of the present invention;
图 13至图 16为根据本发明另一实施例的半导体器件制造方法的各 步骤的剖视图。 具体实施方式 13 to 16 are cross-sectional views showing respective steps of a method of fabricating a semiconductor device in accordance with another embodiment of the present invention. detailed description
以下参照附图并结合示意性的实施例来详细说明本发明技术方案 的特征及其技术效果。 需要指出的是, 类似的附图标记表示类似的结 构, 本申请中所用的术语 "第一"、 "第二" 、 "上" 、 "下" 、 "厚" 、 "薄" 等等可用于修饰各种器件结构。 这些修饰除非特别说明并非暗 示所修饰器件结构的空间、 次序或层级关系。 Features of the technical solution of the present invention and technical effects thereof will be described in detail below with reference to the accompanying drawings in conjunction with the exemplary embodiments. It should be noted that like reference numerals indicate similar structures, and the terms "first", "second", "upper", "lower", "thick", "thin", etc. as used in this application may be used. Modification of various device structures. These modifications are not intended to imply a spatial, order, or hierarchical relationship to the structure of the device being modified.
图 1至图 12为根据本发明一个实施例的半导体器件制造方法各个 步骤的剖视图。 1 to 12 are cross-sectional views showing respective steps of a method of fabricating a semiconductor device in accordance with one embodiment of the present invention.
参照图 1 , 在衬底中形成源漏注入区 (阱区) , 涂覆光刻胶并图案 化, 露出源漏注入区的一部分, 对应于栅极区和漏极区。 提供村底 1 , 其材质例如是体 Si、体 Ge、 SOL GeOI、 GaAs、 SiGe、 GeSn、 InP、 InSb、 GaN等等, 并且优选体 Si (例如单晶 Si晶片)或者 SOI以便与现有 CMOS 工艺兼容。 优选地, 衬底 1具有轻掺杂的第一导电类型, 例如 p -。 对衬 底 1进行源漏离子注入, 使得衬底 1靠近表面的一部分区域构成源漏注
入区 1A, 具有不同于第一导电类型的第二导电类型, 并且其掺杂浓度 更高, 例如为 n+。 虽然图 1中所示的源漏注入区 1A位于整个衬底 1的顶 部附近, 但是实际上其周边可以被例如浅沟槽隔离 (STI ) 的绝缘介质 环绕而构成阱区, 也即 P-衬底 1中的 n+阱区, 该阱区对应于器件的有源 区。 在衬底 1 (源漏注入区 1 A ) 顶部涂覆光刻胶 PR, 并且曝光 /显影使 其图案化, 露出了位于源漏注入区 (阱区或者有源区) 中部的一部分, 该中部将对应于器件的栅极区 1 G和漏极区 1 D, 被光刻胶 PR覆盖的源漏 注入区部分将对应于器件的源极区 1 S。 虽然图中源极区 1 S位于栅极区 1 G和漏极区 1 D的两侧, 但是在平视图 (未示出) 中源极区 1 S实际上可 以是环绕包围了栅极区 1G和漏极区 1D。 各个部分的宽度、 厚度依照器 件版图设计需要而设定, 在此不再赘述。 此外, 虽然源漏注入区 (阱 区、 有源区) 是注入形成的, 但是实际上也可以采取外延的方式形成 外延源漏区, 并且可以在外延的同时进行原位掺杂而具有 n+的导电类 型。 Referring to FIG. 1, a source-drain implant region (well region) is formed in a substrate, and a photoresist is applied and patterned to expose a portion of the source-drain implant region corresponding to the gate region and the drain region. Providing a substrate 1 made of, for example, bulk Si, bulk Ge, SOL GeOI, GaAs, SiGe, GeSn, InP, InSb, GaN, etc., and preferably bulk Si (for example, single crystal Si wafer) or SOI to be compatible with existing CMOS Process compatible. Preferably, the substrate 1 has a lightly doped first conductivity type, such as p -. Source-drain ion implantation is performed on the substrate 1 such that a portion of the substrate 1 near the surface constitutes a source leak. The entry region 1A has a second conductivity type different from the first conductivity type, and has a higher doping concentration, for example, n+. Although the source-drain implant region 1A shown in FIG. 1 is located near the top of the entire substrate 1, in practice its periphery may be surrounded by an insulating medium such as shallow trench isolation (STI) to form a well region, that is, a P-line. The n+ well region in the bottom 1, which corresponds to the active region of the device. A photoresist PR is coated on top of the substrate 1 (source/drain implantation region 1 A ), and is exposed/developed to be patterned to expose a portion located in the middle of the source/drain implantation region (well region or active region), the middle portion The source-drain implant region portion covered by the photoresist PR corresponding to the gate region 1 G and the drain region 1 D of the device will correspond to the source region 1 S of the device. Although the source region 1 S is located on both sides of the gate region 1 G and the drain region 1 D, the source region 1 S may actually surround the gate region 1G in a plan view (not shown). And drain region 1D. The width and thickness of each part are set according to the design requirements of the device layout, and will not be described here. In addition, although the source-drain implant region (well region, active region) is formed by implantation, the epitaxial source/drain region may be formed in an epitaxial manner, and in-situ doping may be performed while epitaxially having n+. Conductive type.
参照图 2 , 以光刻胶图案为掩模, 刻蚀源漏注入区, 形成沟槽, 直 至暴露衬底。 对于 Si材质的衬底 1以及源漏注入区 1A而言, 可以采用 TMAH湿法腐蚀, 也可以采用碳氟基等离子体千法刻蚀, 垂直刻蚀了光 刻胶图案 PR暴露的源漏注入区 1A部分, 直至暴露了 P-型的衬底 1 , 形成 了栅极和漏极沟槽 1 B。 其中, 图 2中所示, 栅极和漏极沟槽 1 B刻蚀过程 中具有部分过刻蚀, 也即沟槽 1 B的底面可以略低于源漏注入区(阱区、 有源区) 1A的底面, 例如低 l ~ 5nm。 沟槽的宽度应该是大于等于栅极 宽度与漏极宽度之和, 例如为 50 ~ 500nm。 Referring to FIG. 2, the source and drain implant regions are etched using the photoresist pattern as a mask to form trenches until the substrate is exposed. For the Si material substrate 1 and the source/drain implantation region 1A, TMAH wet etching may be used, or a fluorocarbon plasma plasma etching may be used, and the source and drain implantation of the photoresist pattern PR may be vertically etched. In the region 1A, until the P-type substrate 1 is exposed, the gate and drain trenches 1 B are formed. As shown in FIG. 2, the gate and drain trenches 1 B have a partial overetch during etching, that is, the bottom surface of the trench 1 B may be slightly lower than the source and drain implant regions (well region, active region). The bottom surface of 1A, for example, l ~ 5nm. The width of the trench should be greater than or equal to the sum of the gate width and the drain width, for example, 50 to 500 nm.
参照图 3, 在栅极和漏极沟槽 1B的侧面形成第一偏移侧墙 2。 通过 LPCVD PECVD、 HDPCVD、 热氧化(例如快速热氧化 RTO ) 等常规 盾例如为氧化硅、 氮氧化硅、 氮化硅, 甚至可以是高 k材料。 处于成本 以及工艺简易性考虑, 优选采用氧化硅或者氮化硅。 在本发明的一个 实施例中, 绝缘介质层为氧化硅。 之后采用常规的光刻 /刻蚀对绝缘介 质层的底部和侧部进行各向异性的刻蚀, 使得底部的绝缘介质层被完 全去除并且暴露衬底 1 , 而侧部的绝缘介质层保留在栅极和漏极沟槽 1B 的侧壁从而构成第一偏移侧墙 2。 该第一偏移侧墙 2稍后将作为与源极 之间的隔离侧墙, 并且用于限定栅极的分布。 第一偏移侧墙 2的厚度较
薄以便精确控制器件线条, 例如仅为 l ~ 10nm。 图 3中所示第一偏移侧 墙 2为左右两个, 实际上在顶视图中其除了间隔对称分布的两个之外, 还可以是一个分布在沟槽 1B内壁上的 (圆) 环。 Referring to FIG. 3, a first offset spacer 2 is formed on the sides of the gate and drain trenches 1B. Conventional shields such as silicon oxide, silicon oxynitride, silicon nitride, or even high-k materials may be used by LPCVD PECVD, HDPCVD, thermal oxidation (e.g., rapid thermal oxidation of RTO). Silicon oxide or silicon nitride is preferably used in view of cost and process simplicity. In one embodiment of the invention, the dielectric layer is silicon oxide. The bottom and sides of the dielectric layer are then anisotropically etched using conventional photolithography/etching such that the underlying dielectric layer is completely removed and the substrate 1 is exposed while the side dielectric layer remains The sidewalls of the gate and drain trenches 1B thus constitute the first offset spacers 2. The first offset spacer 2 will later serve as an isolation sidewall between the source and the source and is used to define the distribution of the gates. The thickness of the first offset side wall 2 is relatively Thin to precisely control the device lines, for example only l ~ 10nm. The first offset spacer 2 shown in FIG. 3 is two left and right, and in fact, in the top view, in addition to the two symmetrically distributed, it may be a (circular) ring distributed on the inner wall of the trench 1B. .
参照图 4 , 在第一偏移侧墙 2的侧面依次形成假栅极 3和第二偏移侧 墙 4。 与第一偏移侧墙 2的形成类似, 也即先沉积后刻蚀, 在第一偏移 侧墙 2的侧面 (具体为内侧面) 形成了假栅极 3 (因其实质上是用作限 定栅极形状的侧墙结构, 也称作栅极侧墙) , 其材质例如为多晶硅、 非晶硅、 微晶硅、 非晶碳、 氮化硅、 氧化硅、 氮氧化硅等及其组合, 并且假栅极 3的材料与第一偏移侧墙 2的材质不同以便使得两者之间具 有较高的刻蚀选择性。 具体地, 在本发明一个实施例中, 第一偏移侧 墙 2为氧化硅时, 假栅极 3可以为氮化硅。 假栅极 3的宽度依照器件栅极 宽度需要而设定, 例如为 10 ~ 50nm。 假栅极 3在图 4中显示为左右侧对 称的两个结构, 实质上也可以在顶视图中是一个分布在 (圓) 环状的 第一偏移侧墙 (圆)环内侧的同样是环状的结构, 也即假栅极 3可以是 (圆) 环状。 之后, 类似地, 在假栅极 3内侧再形成第二偏移侧墙 4 , 其材质可以与第一偏移侧墙 2相同并且与假栅极 3材质不同, 其厚度也 可以是 l ~ 10nm。 在本发明一个实施例中, 第一和第二偏移侧墙的材质 是氧化硅, 而假栅极 3材质是氮化硅。 同理地, 第二偏移侧墙 4不限于 图 4中所示左右对称的分离结构, 而是可以为 (圆) 环状。 值得注意的 是, 第一偏移侧墙 2、 假栅极 3、 第二偏移侧墙 4并未完全填充栅极和漏 极沟槽 1 B , 假栅极 3对应的区域将用于形成栅极, 而暴露的衬底 1区域 将用于形成漏区。 此后, 再次执行离子注入, 可以是垂直的多次离子 注入以形成轻掺杂源漏区 (LDD结构) 以及重掺杂源漏区, 也可以是 倾斜的离子注入以形成暈状 (Halo ) 源漏掺杂区 (以上均未示出) 。 Referring to Fig. 4, a dummy gate 3 and a second offset side wall 4 are sequentially formed on the side of the first offset spacer 2. Similar to the formation of the first offset spacer 2, that is, the first deposition and the subsequent etching, the dummy gate 3 is formed on the side (specifically, the inner side) of the first offset spacer 2 (because it is substantially used as a sidewall structure defining a gate shape, also referred to as a gate spacer; the material thereof is, for example, polysilicon, amorphous silicon, microcrystalline silicon, amorphous carbon, silicon nitride, silicon oxide, silicon oxynitride, etc., and combinations thereof And the material of the dummy gate 3 is different from the material of the first offset spacer 2 in order to have a higher etching selectivity between the two. Specifically, in one embodiment of the present invention, when the first offset sidewall 2 is silicon oxide, the dummy gate 3 may be silicon nitride. The width of the dummy gate 3 is set according to the width of the device gate, for example, 10 to 50 nm. The dummy grid 3 is shown in FIG. 4 as two structures symmetrical on the left and right sides, and may also be substantially in the top view, which is distributed inside the (circular) annular first offset side wall (circle) ring. The annular structure, that is, the dummy gate 3 may be a (circular) ring shape. Then, similarly, a second offset spacer 4 is formed on the inner side of the dummy gate 3, and the material thereof may be the same as the first offset sidewall 2 and different from the material of the dummy gate 3, and the thickness may also be 1 to 10 nm. . In one embodiment of the invention, the material of the first and second offset sidewalls is silicon oxide, and the material of the dummy gate 3 is silicon nitride. Similarly, the second offset side wall 4 is not limited to the left-right symmetric separation structure shown in Fig. 4, but may be a (circular) ring shape. It should be noted that the first offset sidewall 2, the dummy gate 3, and the second offset spacer 4 do not completely fill the gate and drain trenches 1 B , and the corresponding regions of the dummy gate 3 are used to form The gate, and the exposed substrate 1 area will be used to form the drain region. Thereafter, ion implantation is performed again, which may be vertical multiple ion implantation to form a lightly doped source and drain region (LDD structure) and heavily doped source and drain regions, or oblique ion implantation to form a halo source. Leak-doped regions (none of which are shown above).
参照图 5, 执行驱动退火, 使得源漏注入区 1A中的杂质纵向以及横 向扩散,从而在衬底中分别形成源区 1 S和漏区 1D。 图 5中所示的漏区 ID 内侧。 漏区 1 D与源区 1 S之间的衬底 1构成沟道区 1 C, 其可以是分离的 多个, 也可以是连接为 (圆) 环状。 Referring to Fig. 5, the driving annealing is performed so that the impurities in the source/drain implantation region 1A are diffused in the longitudinal direction and the lateral direction, thereby forming the source region 1 S and the drain region 1D, respectively, in the substrate. The inside of the drain ID shown in Figure 5. The substrate 1 between the drain region 1 D and the source region 1 S constitutes a channel region 1 C, which may be a plurality of separate or connected (circular) rings.
参照图 6, 在沟槽 1B中填充绝缘介质层 5, 并采用 CMP、 回刻等方 法平坦化绝缘介质层 5直至暴露第一偏移侧墙 2、 假栅极 3和第二偏移侧 墙 4。 绝缘介质层 5的材质优选地与第一偏移侧墙 2和 /或第二偏移侧墙 4
材质相同, 也即为氧化硅、 氮化硅、 氮氧化硅及其组合, 并且与假栅 极 3材质不同。 在本发明一个实施例中, 绝缘介质层 5是以 TEOS为反应 剂通过中温 LPCVD或者低温 PECVD法制备的氧化硅。 此外, 绝缘介质 层 5还可以是掺杂的氧化硅, 例如 BSG、 PSG、 BPSG、 掺 F玻璃、 掺 C 玻璃等, 此外还可以是其他低 k材质, 其形成方法可以是旋涂、 喷涂、 丝网印刷等等。 Referring to FIG. 6, the dielectric layer 5 is filled in the trench 1B, and the insulating dielectric layer 5 is planarized by CMP, etch back, etc. until the first offset spacer 2, the dummy gate 3, and the second offset spacer are exposed. 4. The material of the insulating dielectric layer 5 is preferably the same as the first offset sidewall 2 and/or the second offset sidewall 4 The materials are the same, that is, silicon oxide, silicon nitride, silicon oxynitride, and combinations thereof, and are different from the material of the dummy gate 3. In one embodiment of the present invention, the insulating dielectric layer 5 is a silicon oxide prepared by a medium temperature LPCVD or a low temperature PECVD method using TEOS as a reactant. In addition, the insulating dielectric layer 5 may also be doped silicon oxide, such as BSG, PSG, BPSG, F-doped glass, C-doped glass, etc., and may also be other low-k materials, which may be formed by spin coating, spraying, or the like. Screen printing and more.
参照图 7, 刻蚀绝缘介质层 5 , 形成漏极沟槽 5A, 直至露出漏区 1D。 针对氧化硅材质的绝缘介质层 5, 可以采用 HF基湿法腐蚀液刻蚀, 或者 碳氟基等离子体干法刻蚀, 例如 CF4、 CH3F、 CHF3、 CH2F2、 C3F6、 C4F8 等, 并且优选碳氟比较大的刻蚀气体。 漏极沟槽 5A的宽度例如是 20 ~ 100亂 Referring to Fig. 7, the insulating dielectric layer 5 is etched to form the drain trench 5A until the drain region 1D is exposed. The insulating dielectric layer 5 for silicon oxide may be etched by HF-based wet etching, or fluorocarbon-based plasma dry etching, such as CF 4 , CH 3 F, CHF 3 , CH 2 F 2 , C 3 F 6 , C 4 F 8 and the like, and an etching gas having a relatively large fluorocarbon is preferable. The width of the drain trench 5A is, for example, 20 to 100
参照图 8 ,在漏极沟槽 5 A中形成提升漏区 1 RD。通过 PEC VD、 MBE、 导体材料, 构成提升漏区 1RD。 提升漏区 1RD的材质例如是 Si, 或者 SiGe、 SiC等其他高迁移率材质以便提高沟道区应力, 进一步提高器件 性能。 之后, 采用 CMP等工艺平坦化提升漏区 1RD直至露出假栅极 3。 此外, 还可以在漏极沟槽 5A中沉积金属, 直接形成漏极接触塞 (也同 样标记为 1RD ) 。 Referring to Fig. 8, a lift drain region 1 RD is formed in the drain trench 5 A . The raised drain region 1RD is formed by PEC VD, MBE, and conductor material. Lifting the drain region The material of the 1RD is, for example, Si, or other high mobility materials such as SiGe and SiC to improve the stress in the channel region and further improve device performance. Thereafter, the drain region 1RD is planarized by a process such as CMP until the dummy gate 3 is exposed. In addition, a metal can be deposited in the drain trench 5A to directly form a drain contact plug (also labeled as 1RD).
参照图 9, 去除假栅极 3 , 形成栅极沟槽 3A, 直至露出衬底 1中的沟 道区 1C。 对于氮化硅材质的假栅极 3, 可以采用热磷酸、 或者强氧化剂 +强酸的湿法刻蚀液 (例如硫酸 +双氧水) 来刻蚀去除, 也可以采用碳 氟基等离子体干法刻蚀。 其他材质的假栅极 3 , 可以采用等离子体干法 刻蚀, 刻蚀气体可以是稀有气体 (He、 Ne、 Ar、 Kr、 Xe ) 等, 还可以 包括氧气、 氯气、 溴蒸汽等调节刻蚀速率的气体。 栅极沟槽 3A的宽度 等于假栅极 3 (也即栅极侧墙) 的宽度, 与最终器件的栅极宽度相同, 例如 10 ~ 50nm。 Referring to Fig. 9, the dummy gate 3 is removed, and the gate trench 3A is formed until the trench region 1C in the substrate 1 is exposed. For the dummy gate 3 of silicon nitride, it can be etched and removed by hot phosphoric acid or strong oxidizing agent + strong acid wet etching solution (such as sulfuric acid + hydrogen peroxide), or fluorocarbon-based plasma dry etching. . Other materials of the dummy gate 3 can be plasma dry etching, the etching gas can be rare gas (He, Ne, Ar, Kr, Xe), etc., and can also include oxygen, chlorine, bromine vapor, etc. Rate of gas. The width of the gate trench 3A is equal to the width of the dummy gate 3 (i.e., the gate spacer), which is the same as the gate width of the final device, for example, 10 to 50 nm.
参照图 10 , 在栅极沟槽 3 A中依次沉积高 k材料的栅极绝缘层 6和金 属材料的栅极导电层 7, 形成栅极堆叠结构。 栅极绝缘层 6的高 k材料包 括但不限于氮化物(例如 SiN、 A1N、 TiN ) 、 金属氧化物(主要为副族 和镧系金属元素氧化物, 例如 A1203、 Ta205、 Ti02、 ZnO、 Zr02、 Hf02、 Ce02、 Y203、 La203 ) 、 钙钛矿相氧化物 (例如 PbZrxTi1-x03 ( PZT ) 、 BaxSr1-xTi03 ( BST ) ) 。 栅极导电层 7的材质例如是 Cu、 Al、 Ti、 Ta、
W、 Mo等及其组合。 栅极绝缘层 6与栅极导电层 7之间还优选地具有功 函数调节层 /扩散阻挡层 (未示出) , 用于调节器件栅极功函数进而控 制阔值电压, 并且可以防止栅极的金属元素扩散进入沟道区而降低器 件性能, 其材料可以是 TiN、 TaN等氮化物及其组合。 随后 CMP平坦化 各个层直至露出栅极导电层 7。 Referring to FIG. 10, a gate insulating layer 6 of a high-k material and a gate conductive layer 7 of a metal material are sequentially deposited in the gate trench 3 A to form a gate stacked structure. The high-k material of the gate insulating layer 6 includes, but is not limited to, nitrides (eg, SiN, AlN, TiN), metal oxides (mainly sub-group and lanthanide metal element oxides, such as A1 2 0 3 , Ta 2 0 5 , Ti0 2 , ZnO, Zr0 2 , Hf0 2 , Ce0 2 , Y 2 0 3 , La 2 0 3 ), perovskite phase oxides (eg PbZr x Ti 1-x 0 3 ( PZT ) , Ba x Sr 1 -x Ti0 3 ( BST ) ). The material of the gate conductive layer 7 is, for example, Cu, Al, Ti, Ta, W, Mo, etc. and combinations thereof. Also preferably between the gate insulating layer 6 and the gate conductive layer 7 is a work function adjusting layer/diffusion barrier layer (not shown) for adjusting the device gate work function to control the threshold voltage, and preventing the gate The metal element diffuses into the channel region to reduce device performance, and the material thereof may be a nitride such as TiN, TaN, or the like. The CMP then planarizes the various layers until the gate conductive layer 7 is exposed.
参照图 1 1, 在源区 1S和漏区 1D (提升漏区 1RD ) 上形成金属硅化 物 8 , 用于降低接触电阻。 在源区 1 S和漏区 1D上通过蒸发、 溅射等方式 形成金属薄层(未示出) , 其材质包括 Ni、 Pt、 Co、 Ti及其组合, 厚度 例如 l ~ 5nm。 在 450 ~ 850°C下退火 l s ~ 2min, 使得金属薄层与源漏区 中的 Si反应形成金属硅化物 8 , 其厚度例如 l ~ 10nm。 随后剥离未反应 的金属薄层。 金属硅化物 8可以是单金属硅化物, 也可以是多元金属硅 化物。 Referring to Fig. 1, a metal silicide 8 is formed on the source region 1S and the drain region 1D (elevation drain region 1RD) for reducing the contact resistance. A thin metal layer (not shown) is formed on the source region 1 S and the drain region 1D by evaporation, sputtering, or the like, and the material thereof includes Ni, Pt, Co, Ti, and a combination thereof, and has a thickness of, for example, 1 to 5 nm. Annealing at 450 ~ 850 °C for 1 s ~ 2min, the thin metal layer reacts with Si in the source and drain regions to form a metal silicide 8 having a thickness of, for example, l ~ 10 nm. A thin layer of unreacted metal is then stripped. The metal silicide 8 may be a single metal silicide or a multi-metal silicide.
参照图 12, 在整个器件上形成层间介质层 (ILD ) 9, ILD9材质例 如是低 k材料, 包括但不限于有机低 k材料 (例如含芳基或者多元环的 有机聚合物) 、 无机低 k材料 (例如无定形碳氮薄膜、 多晶硼氮薄膜、 氟硅玻璃、 BSG、 PSG、 BPSG )、 多孔低 k材料(例如二硅三氧烷( SSQ ) 基多孔低 k材料、 多孔二氧化硅、 多孔 SiOCH、 掺 C二氧化硅、 掺 F多孔 无定形碳、 多孔金刚石、 多孔有机聚合物) 。 刻蚀 ILD 9直至暴露金属 硅化物 8, 形成源漏接触孔(未示出) , 在源漏接触孔中填充金属 /金属 氮化物形成了源漏接触塞 10。 Referring to FIG. 12, an interlayer dielectric layer (ILD) 9 is formed on the entire device, and the ILD9 material is, for example, a low-k material including, but not limited to, an organic low-k material (for example, an organic polymer containing an aryl group or a polycyclic ring), and an inorganic low. k materials (eg amorphous carbon nitride film, polycrystalline boron nitride film, fluorosilicate glass, BSG, PSG, BPSG), porous low-k materials (eg, silicosane (SSQ)-based porous low-k materials, porous dioxide Silicon, porous SiOCH, C-doped silica, F-doped amorphous carbon, porous diamond, porous organic polymer). The ILD 9 is etched until the metal silicide 8 is exposed to form a source/drain contact hole (not shown), and the source/drain contact hole is filled with a metal/metal nitride to form a source/drain contact plug 10.
依照本发明第一实施例形成的最终器件结构如图 12所示, 包括衬 底 1、 衬底 1中的源区 1 S和漏区 1D、 源区 I S与漏区 I D之间的栅极堆叠结 构 6/7、 位于栅极堆叠结构周围的偏移侧墙 2/4, 其特征在于: 源区 1 S以 及栅极堆叠结构 6/7关于漏区 1 D对称分布。源区 1 S与漏区 1 D上还包括金 属硅化物 8, 以及与金属硅化物接触并电连接的源漏接触塞 10。特别地, 源区 1 S和 /或漏区 1 D顶部与栅极堆叠结构 6/7顶部齐平。其余各个部件的 材质和几何形状、 尺寸在制造方法描述中已详述, 在此不再赘述。 The final device structure formed in accordance with the first embodiment of the present invention is as shown in FIG. 12, including the substrate 1, the source region 1 S and the drain region 1D in the substrate 1, the gate stack between the source region IS and the drain region ID. Structure 6/7, offset spacer 2/4 located around the gate stack structure, characterized in that the source region 1 S and the gate stack structure 6/7 are symmetrically distributed with respect to the drain region 1 D. The source region 1 S and the drain region 1 D further include a metal silicide 8 and a source/drain contact plug 10 which is in contact with and electrically connected to the metal silicide. In particular, the top of source region 1 S and/or drain region 1 D is flush with the top of gate stack structure 6/7. The materials, geometries, and dimensions of the remaining components are detailed in the description of the manufacturing method and will not be described here.
图 13至图 16为根据本发明另一实施例的半导体器件制造方法的各 步骤的剖视图。 其中, 该第二实施例与实施例的相同部分在图 1至图 8 中已描述,也即第二实施例在形成提升漏区 1RD该步骤之前与第一实施 例是相同的, 因此不再赘述该相同部分。 以下参照图 13至图 16着重描 述第二实施例的不同部分。 并且特别地, 以下如未特别说明, 各个标
记相同的部件所采用的材料和制造方法与第一实施例相同, 区别仅在 于制造工艺的先后顺序以及相对位置关系。 13 to 16 are cross-sectional views showing respective steps of a method of fabricating a semiconductor device in accordance with another embodiment of the present invention. Wherein, the same parts of the second embodiment and the embodiment have been described in FIGS. 1 to 8, that is, the second embodiment is the same as the first embodiment before the step of forming the lifted drain region 1RD, and thus is no longer Explain the same part. Different portions of the second embodiment will be mainly described below with reference to FIGS. 13 to 16. And in particular, unless otherwise specified, each standard The materials and manufacturing methods used for the same components are the same as those of the first embodiment, except for the order of the manufacturing processes and the relative positional relationship.
参照图 13 , CMP平坦化或者回刻蚀, 使得源区 1 S和漏区 1D (包括 提升漏区 1 RD ) 顶面低于假栅极 3的顶面。 可以采用 TMAH湿法刻蚀液 刻蚀 Si材质的源区 1 S、 漏区 ID等, 该刻蚀液基本不刻蚀氧化硅、 氮化 硅、 氮氧化硅等材质的偏移侧墙 2/4、 假栅极 3、 绝缘介质层 5。 Referring to FIG. 13, the CMP is planarized or etched such that the top surfaces of the source region 1 S and the drain region 1D (including the lift drain region 1 RD ) are lower than the top surface of the dummy gate 3. The source region 1 S of the Si material, the drain region ID, and the like can be etched by using the TMAH wet etching solution. The etching liquid does not substantially etch the offset sidewall spacers of silicon oxide, silicon nitride, silicon oxynitride or the like. 4. The dummy gate 3 and the insulating dielectric layer 5.
参照图 14, 在源区 1 S、 漏区 ID上形成金属硅化物 8。 在整个器件上 形成 ILD 9。 随后 CMP平坦化 ILD 9直至暴露假栅极 3。 Referring to Fig. 14, a metal silicide 8 is formed on the source region 1 S and the drain region ID. ILD 9 is formed across the device. The CMP then planarizes the ILD 9 until the dummy gate 3 is exposed.
参照图 15 , 去除假栅极 3 , 留下栅极沟槽 (未示出) 。 在栅极沟槽 中沉积填充栅极绝缘层 6、 栅极导电层 7。 CMP平坦化各层直至暴露 ILD 9。 Referring to Figure 15, the dummy gate 3 is removed leaving a gate trench (not shown). A gate insulating layer 6 and a gate conductive layer 7 are deposited in the gate trench. The CMP planarizes the layers until the ILD 9 is exposed.
参照图 16 , 刻蚀 ILD9 形成源漏接触孔(未示出) 。 在源漏接触孔 中沉积金属 /金属氮化物形成源漏接触塞 10。 Referring to Fig. 16, the ILD 9 is etched to form a source/drain contact hole (not shown). A metal/metal nitride is deposited in the source/drain contact hole to form a source/drain contact plug 10.
依照本发明第二实施例形成的最终器件结构如图 16所示, 包括衬 底 1、 衬底 1中的源区 1 S和漏区 1D、 源区 I S与漏区 I D之间的栅极堆叠结 构 6/7、 位于栅极堆叠结构周围的偏移側墙 2/4, 其特征在于: 源区 1 S以 及栅极堆叠结构 6/7关于漏区 1 D对称分布。源区 1 S与漏区 1 D上还包括金 属硅化物 8, 以及与金属硅化物接触并电连接的源漏接触塞 10。特别地, 源区 1 S和 /或漏区 1 D顶部低于栅极堆叠结构 6/7顶部。其余各个部件的材 质和几何形状、 尺寸在制造方法描述中已详述, 在此不再赘述。 The final device structure formed in accordance with the second embodiment of the present invention is as shown in FIG. 16, including the substrate 1, the source region 1 S and the drain region 1D in the substrate 1, the gate stack between the source region IS and the drain region ID. Structure 6/7, offset spacer 2/4 located around the gate stack structure, characterized in that the source region 1 S and the gate stack structure 6/7 are symmetrically distributed with respect to the drain region 1 D. The source region 1 S and the drain region 1 D further include a metal silicide 8 and a source/drain contact plug 10 which is in contact with and electrically connected to the metal silicide. In particular, the source region 1 S and/or drain region 1 D top is lower than the top of the gate stack structure 6/7. The materials and geometries and dimensions of the remaining components are detailed in the description of the manufacturing method and will not be described here.
依照本发明的半导体器件制造方法, 利用偏移侧墙和栅极侧墙的 双重侧墙结构形成精细的假栅极线条, 并利用源极-漏极-源极的对称结 构提高了器件加工的精度, 整体上提高了器件可靠性, 改进了性能。 According to the semiconductor device manufacturing method of the present invention, a fine dummy gate line is formed by the double sidewall structure of the offset sidewall spacer and the gate spacer, and the symmetrical structure of the source-drain-source is used to improve the device processing. Accuracy improves overall device reliability and improves performance.
尽管已参照一个或多个示例性实施例说明本发明, 本领域技术人 员可以知晓无需脱离本发明范围而对形成器件结构的方法做出各种合 适的改变和等价方式。 此外, 由所公开的教导可做出许多可能适于特 定情形或材料的修改而不脱离本发明范围。 因此, 本发明的目的不在 于限定在作为用于实现本发明的最佳实施方式而公开的特定实施例, 而所公开的器件结构及其制造方法将包括落入本发明范围内的所有实 施例。
While the invention has been described with respect to the embodiments of the embodiments of the present invention, various modifications and equivalents of the methods of forming the device structure may be made without departing from the scope of the invention. In addition, many modifications may be made to the specific circumstances or materials without departing from the scope of the invention. Therefore, the invention is not intended to be limited to the specific embodiments disclosed as the preferred embodiments of the invention, and the disclosed device structure and method of manufacture thereof will include all embodiments falling within the scope of the invention. .
Claims
1. 一种半导体器件, 包括衬底、 衬底中的源区和漏区、 源区与漏 区之间的衬底上的栅极堆叠结构、 位于栅极堆叠结构周围的偏移侧墙, 其特征在于: 源区以及栅极堆叠结构关于漏区对称分布。 A semiconductor device comprising a substrate, a source region and a drain region in the substrate, a gate stack structure on the substrate between the source region and the drain region, and an offset sidewall spacer located around the gate stack structure, It is characterized in that: the source region and the gate stack structure are symmetrically distributed with respect to the drain region.
2. 如权利要求 1的半导体器件, 其中, 源区和 /或漏区的顶部与栅 极堆叠结构的顶部齐平, 或者源区和 /或漏区的顶部低于栅极堆叠结构 的顶部。 2. The semiconductor device according to claim 1, wherein the top of the source region and/or the drain region is flush with the top of the gate stack structure, or the top of the source region and/or the drain region is lower than the top of the gate stack structure.
3. 如权利要求 1的半导体器件, 其中, 源区与漏区上还包括金属 硅化物, 以及与金属硅化物接触并电连接的源漏接触塞。 3. The semiconductor device according to claim 1, wherein the source region and the drain region further comprise a metal silicide, and a source/drain contact plug in contact with and electrically connected to the metal silicide.
4. 如权利要求 1的半导体器件, 其中, 偏移侧墙的材质选自氧化 硅、 氮化硅、 氮氧化硅、 高 k材料及其组合。 4. The semiconductor device according to claim 1, wherein the material of the offset spacer is selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, high k materials, and combinations thereof.
5. 如权利要求 1的半导体器件, 其中, 漏区上还包括提升漏区。 5. The semiconductor device according to claim 1, wherein the drain region further comprises an elevated drain region.
6. 如权利要求 1的半导体器件, 其中, 偏移侧墙和 /或栅极堆叠结 构为分离的, 或者连接为环状。 The semiconductor device according to claim 1, wherein the offset spacers and/or the gate stack structure are separated or connected in a ring shape.
7. 一种半导体器件制造方法, 包括: 7. A method of fabricating a semiconductor device, comprising:
在衬底中形成沟槽; Forming a trench in the substrate;
在沟槽侧面形成第一偏移侧墙; Forming a first offset sidewall on a side of the trench;
在第一偏移侧墙的侧面依次形成假栅极以及第二偏移侧墙; 以第一偏移侧墙、 假栅极、 第二偏移侧墙为掩模, 执行离子注入 并且随后退火, 形成源区和漏区, 其中源区关于漏区对称分布; Forming a dummy gate and a second offset sidewall on the side of the first offset sidewall; performing ion implantation and subsequently annealing using the first offset sidewall, the dummy gate, and the second offset sidewall as a mask Forming a source region and a drain region, wherein the source region is symmetrically distributed with respect to the drain region;
去除假栅极, 形成栅极沟槽, 在栅极沟槽中填充形成栅极堆叠结 构, 其中栅极堆叠结构关于漏区对称分布。 The dummy gate is removed, a gate trench is formed, and a gate stack structure is formed in the gate trench, wherein the gate stack structure is symmetrically distributed with respect to the drain region.
8. 如权利要求 7的半导体器件制造方法, 其中, 衬底的表面具有 源漏注入区, 源漏注入区的导电类型与衬底的导电类型不同。 The method of fabricating a semiconductor device according to claim 7, wherein the surface of the substrate has a source/drain implant region, and the source-drain implant region has a conductivity type different from that of the substrate.
9. 如权利要求 7的半导体器件制造方法, 其中, 第一偏移侧墙与 第二偏移侧墻材质相同, 并且与假栅极材质不同。 9. The method of fabricating a semiconductor device according to claim 7, wherein the first offset spacer is of the same material as the second offset spacer and is different from the dummy gate material.
10. 如权利要求 9的半导体器件制造方法, 其中, 第一偏移侧墙和 /或第二偏移侧墙材质选自氧化硅、 氮化硅、 氮氧化硅、 高 k材料及其组 合, 假栅极材质选自多晶硅、 非晶硅、 微晶硅、 非晶碳、 氮化硅、 氧 化硅、 氮氧化硅及其组合。 10. The method of fabricating a semiconductor device according to claim 9, wherein the first offset spacer and/or the second offset sidewall material are selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, high-k materials, and combinations thereof. The dummy gate material is selected from the group consisting of polysilicon, amorphous silicon, microcrystalline silicon, amorphous carbon, silicon nitride, silicon oxide, silicon oxynitride, and combinations thereof.
1 1. 如权利要求 7的半导体器件制造方法, 其中, 形成漏区之后进
一步包括: 在沟槽中形成绝缘介质层; 刻蚀绝缘介质层形成漏区沟槽, 直至暴露漏区; 在漏区沟槽中外延形成提升漏区, 或者沉积填充金属, 使得提升漏区或者金属与假栅极顶部齐平。 1 . The method of fabricating a semiconductor device according to claim 7, wherein the formation of the drain region is performed The step includes: forming an insulating dielectric layer in the trench; etching the insulating dielectric layer to form a drain trench until the drain region is exposed; forming an elevated drain region in the drain trench, or depositing a filler metal to lift the drain region or The metal is flush with the top of the dummy grid.
12. 如权利要求 1 1的半导体器件制造方法, 其中, 形成提升源漏 或者填充金属之后进一步包括: 平坦化或者刻蚀使得源区和 /或漏区的 顶部低于假栅极顶部。 12. The method of fabricating a semiconductor device according to claim 11, wherein after forming the lift source drain or the fill metal, further comprising: planarizing or etching such that a top of the source region and/or the drain region is lower than the dummy gate top.
1 3. 如权利要求 7的半导体器件制造方法, 其中, 形成栅极堆叠结 构之后进一步包括: 在源区和漏区上形成金属层; 退火使得金属层与 源区和漏区反应形成金属硅化物, 位于源区和漏区上; 去除未反应金 属层。 The method of fabricating a semiconductor device according to claim 7, wherein after the forming the gate stack structure, further comprising: forming a metal layer on the source region and the drain region; annealing to cause the metal layer to react with the source region and the drain region to form a metal silicide , located on the source and drain regions; remove unreacted metal layers.
14. 如权利要求 13的半导体器件制造方法, 其中, 形成金属硅化 物之后迸一步包括: 形成层间介质层; 刻蚀层间介质层形成源漏接触 孔, 直至暴露源区和漏区上的金属硅化物; 在源漏接触孔中沉积金属 / 金属氮化物, 形成源漏接触塞。 14. The method of fabricating a semiconductor device according to claim 13, wherein the forming the metal silicide further comprises: forming an interlayer dielectric layer; etching the interlayer dielectric layer to form a source/drain contact hole until the source and drain regions are exposed; Metal silicide; depositing metal/metal nitride in the source-drain contact hole to form a source-drain contact plug.
1 5. 如权利要求 7的半导体器件制造方法, 其中, 第一偏移侧墙、 第二偏移侧墙、 假栅极、 栅极堆叠结构为分离的, 或者各自分别连接 为环状。
The method of fabricating a semiconductor device according to claim 7, wherein the first offset spacer, the second offset spacer, the dummy gate, and the gate stack are separated, or are each connected in a ring shape.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
CN201210393780.1A CN103730498B (en) | 2012-10-16 | 2012-10-16 | Semiconductor device and method for manufacturing the same |
CN201210393780.1 | 2012-10-16 |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2014059563A1 true WO2014059563A1 (en) | 2014-04-24 |
Family
ID=50454511
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/CN2012/001538 WO2014059563A1 (en) | 2012-10-16 | 2012-11-13 | Semiconductor device and manufacturing method thereof |
Country Status (2)
Country | Link |
---|---|
CN (1) | CN103730498B (en) |
WO (1) | WO2014059563A1 (en) |
Families Citing this family (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN111244160B (en) * | 2020-01-17 | 2022-11-01 | 中国科学院微电子研究所 | MOS device with annular channel region and preparation method thereof |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4142197A (en) * | 1977-04-14 | 1979-02-27 | Rca Corp. | Drain extensions for closed COS/MOS logic devices |
CN101452936A (en) * | 2007-12-06 | 2009-06-10 | 上海华虹Nec电子有限公司 | Single source multiple leakage MOS device |
CN102034831A (en) * | 2009-09-28 | 2011-04-27 | 中芯国际集成电路制造(上海)有限公司 | Circle stacking grid fin type field-effect transistor memory device and forming method thereof |
CN102446912A (en) * | 2010-10-13 | 2012-05-09 | 上海华虹Nec电子有限公司 | Metal oxide semiconductor transistor ESD (Electrostatic Discharge) protection structure and making method thereof |
US20120139062A1 (en) * | 2010-12-02 | 2012-06-07 | International Business Machines Corporation | Self-aligned contact combined with a replacement metal gate/high-k gate dielectric |
Family Cites Families (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH07131007A (en) * | 1993-11-02 | 1995-05-19 | Tadahiro Omi | Semiconductor device |
KR100953336B1 (en) * | 2007-12-24 | 2010-04-20 | 주식회사 동부하이텍 | A Semiconductor Device and Method For Fabricating the Same |
-
2012
- 2012-10-16 CN CN201210393780.1A patent/CN103730498B/en active Active
- 2012-11-13 WO PCT/CN2012/001538 patent/WO2014059563A1/en active Application Filing
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4142197A (en) * | 1977-04-14 | 1979-02-27 | Rca Corp. | Drain extensions for closed COS/MOS logic devices |
CN101452936A (en) * | 2007-12-06 | 2009-06-10 | 上海华虹Nec电子有限公司 | Single source multiple leakage MOS device |
CN102034831A (en) * | 2009-09-28 | 2011-04-27 | 中芯国际集成电路制造(上海)有限公司 | Circle stacking grid fin type field-effect transistor memory device and forming method thereof |
CN102446912A (en) * | 2010-10-13 | 2012-05-09 | 上海华虹Nec电子有限公司 | Metal oxide semiconductor transistor ESD (Electrostatic Discharge) protection structure and making method thereof |
US20120139062A1 (en) * | 2010-12-02 | 2012-06-07 | International Business Machines Corporation | Self-aligned contact combined with a replacement metal gate/high-k gate dielectric |
Also Published As
Publication number | Publication date |
---|---|
CN103730498B (en) | 2017-12-12 |
CN103730498A (en) | 2014-04-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11127740B2 (en) | Method of manufacturing a semiconductor device with separated merged source/drain structure | |
US10224407B2 (en) | High voltage field effect transistor with laterally extended gate dielectric and method of making thereof | |
US9614050B2 (en) | Method for manufacturing semiconductor devices | |
KR101815527B1 (en) | Semiconductor device and method for manufacturing the same | |
US8836031B2 (en) | Electrical isolation structures for ultra-thin semiconductor-on-insulator devices | |
KR20180068844A (en) | Semiconductor device and method of manufacturing the same | |
US20060172497A1 (en) | Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication | |
US8586426B2 (en) | Method of forming isolation structures for SOI devices with ultrathin SOI and ultrathin box | |
WO2014079234A1 (en) | Semiconductor device and manufacturing method therefor | |
KR101946765B1 (en) | Semiconductor device and manufacturing method thereof | |
CN103035712B (en) | Semiconductor device and method for manufacturing the same | |
WO2013166632A1 (en) | Semiconductor component and manufacturing method therefor | |
WO2014071653A1 (en) | Semiconductor device and manufacturing method therefor | |
US20170018460A1 (en) | Semiconductor structure with self-aligned spacers and method of fabricating the same | |
WO2014015536A1 (en) | Method of fabricating semiconductor device | |
TW202042292A (en) | Semiconductor device and method for making the same | |
US12068369B2 (en) | Semiconductor devices and method of manufacturing the same | |
WO2019007335A1 (en) | Semiconductor device and method for manufacturing same | |
WO2014008691A1 (en) | Method for manufacturing semiconductor component | |
CN105762187B (en) | Semiconductor device and method for manufacturing the same | |
WO2014059563A1 (en) | Semiconductor device and manufacturing method thereof | |
JP4564467B2 (en) | MIS type transistor and manufacturing method thereof | |
WO2014059562A1 (en) | Semiconductor device manufacturing method | |
KR20080008535A (en) | Fabricating method of semiconductor device |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 12886531 Country of ref document: EP Kind code of ref document: A1 |
|
NENP | Non-entry into the national phase |
Ref country code: DE |
|
122 | Ep: pct application non-entry in european phase |
Ref document number: 12886531 Country of ref document: EP Kind code of ref document: A1 |