WO2013106777A1 - Systèmes et procédés de traitement de substrats - Google Patents

Systèmes et procédés de traitement de substrats Download PDF

Info

Publication number
WO2013106777A1
WO2013106777A1 PCT/US2013/021319 US2013021319W WO2013106777A1 WO 2013106777 A1 WO2013106777 A1 WO 2013106777A1 US 2013021319 W US2013021319 W US 2013021319W WO 2013106777 A1 WO2013106777 A1 WO 2013106777A1
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
grind
grinding
thickness
work chuck
Prior art date
Application number
PCT/US2013/021319
Other languages
English (en)
Inventor
William J. Kalenian
Thomas A. Walsh
Michael R. Vogtmann
Benjamin C. Smedley
Larry A. Spiegel
Thomas E. BRAKE
Original Assignee
Strasbaugh
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/656,514 external-priority patent/US8968052B2/en
Application filed by Strasbaugh filed Critical Strasbaugh
Publication of WO2013106777A1 publication Critical patent/WO2013106777A1/fr

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B49/00Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation
    • B24B49/02Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent
    • B24B49/04Measuring or gauging equipment for controlling the feed movement of the grinding tool or work; Arrangements of indicating or measuring equipment, e.g. for indicating the start of the grinding operation according to the instantaneous size and required size of the workpiece acted upon, the measuring or gauging being continuous or intermittent involving measurement of the workpiece at the place of grinding during grinding operation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B7/00Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor
    • B24B7/20Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground
    • B24B7/22Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain
    • B24B7/228Machines or devices designed for grinding plane surfaces on work, including polishing plane glass surfaces; Accessories therefor characterised by a special design with respect to properties of the material of non-metallic articles to be ground for grinding inorganic material, e.g. stone, ceramics, porcelain for grinding thin, brittle parts, e.g. semiconductors, wafers

Definitions

  • the present invention relates generally to wafer processing, and more specifically to wafer grinding.
  • Backside wafer grinding is often accomplished using a grinding wheel that is applied to the backside of the wafer. Pressure is applied while grinding in attempts to achieve desired thicknesses.
  • the stacked wafer comprises a first wafer secured with a carrier-wafer, wherein the first wafer is secured with the carrier-wafer such that a surface of the first wafer is exposed to be ground; initiating a grinding of the first wafer while supported by the carrier-wafer; activating one or more sensors relative to the first wafer while grinding the first wafer;
  • Other embodiments provide methods of grinding a wafer comprising:
  • the work chuck is secured with a rotary indexer and the stacked wafer comprises a first wafer to be ground; moving the rotary indexer to move the work chuck to position the stacked wafer proximate a first probe; rotating the work chuck to rotate the stacked wafer while coordinating movement of the rotary indexer moving the work chuck and stacked wafer relative to the first probe while the first probe is activated; obtaining a mapping of a surface shape of a surface of a carrier- wafer; and modifying a grinding of the first wafer to be ground in accordance with the mapping of the surface of the carrier-wafer, wherein the carrier- wafer is configured to support the first wafer while the first wafer is being ground.
  • FIG. 1 depicts a simplified, partially transparent, perspective view of a grind system or module, in accordance with some embodiments.
  • FIG. 2 depicts a simplified block diagram, overhead plane view of a grind module, in accordance with some embodiments.
  • FIG. 3 shows an overhead view of a grind system, in accordance with some embodiments.
  • FIG. 4 shows a simplified flow diagram of an example process that can be used to perform back grinding of wafers, in accordance with some embodiments.
  • FIG. 5 shows a simplified flow diagram of a process, in accordance with some embodiments, for use in grinding stacked semiconductor wafers.
  • FIG. 6 shows a simplified flow diagram of a process, in accordance with some embodiments, in providing grinding of prime substrate wafers.
  • FIG. 7 shows another example of a process used to back grind hard substrates, such as hard substrate wafers, in accordance with some embodiments.
  • FIG. 8 depicts a simplified overhead view of a tool platform according to some embodiments.
  • FIG. 9 shows an example of a process in grinding and polishing a substrate, in accordance with some embodiments.
  • FIG. 10 shows a simplified flow diagram of a process of grinding a wafer, in accordance with some embodiments.
  • FIG. 11 shows a simplified flow diagram of a method of grinding a wafer, in accordance with some embodiments.
  • Some present embodiments provide for grinding of work products, including but not limited to wafer backgrinding (e.g., semiconductor wafer backgrinding).
  • wafer backgrinding e.g., semiconductor wafer backgrinding
  • silicon wafer grinding for semiconductors and/or other relatively hard materials wafer grinding including for example grinding for Light-Emitting Diode (LED) manufacture.
  • the grinding systems and/or processes can include, be implemented and/or cooperated with other systems and/or apparatuses, such as robotics, front-end modules, automation machines, thin wafer handling, in situ and ex situ wafer thickness monitoring, grind force measurement, servicing access for grinder components (like grind wheels), and other such systems and/or automations.
  • Some embodiments provide systems and methods of wafer grinding that comprise several sub-systems and improvements over the prior systems and methods. Many of these sub-systems provide inventive features and processes, and the methods and/or processes of using each, the cooperation between two or more and the entire system provides methods to achieve levels of ground wafer quality not achievable by means of other equipment or methods.
  • some embodiments provide integrated grind engines, which may be partially manually or fully-automated platforms. Additionally, embodiments provide methods of grinding substrates in accordance with the integrated grind engines.
  • the grind engines can be used to grind various types of substrates, such as silicon, stacked silicon wafers that can be processed for example with through silicon via (TSV), back side illumination (BSI) and/or other applications, and "hard” materials such as, but not limited to, sapphire, silicon carbide, Aluminum-Titanium Carbide (AlTiC), silicon nitride used for LED applications, giant magnetoresistive (GMR) hard disk drive
  • TSV through silicon via
  • BSI back side illumination
  • hard such as, but not limited to, sapphire, silicon carbide, Aluminum-Titanium Carbide (AlTiC), silicon nitride used for LED applications, giant magnetoresistive (GMR) hard disk drive
  • FIG. 1 depicts a simplified, partially transparent, perspective view of a grind system or module 110 according to some embodiments.
  • the grind module 110 includes a grind engine 112.
  • the grind module further includes the grind engine integrated into a platform 114 that includes a frame 116, one or more electrical systems, and one or more controls system.
  • Some embodiments further include fluid handling equipment, walls, venting air system, covers or skins, doors, windows, facilities and/or communication connections, stress-relieving devices (e.g., a polishing device), and other components.
  • the grind engine 112 includes a grind spindle, work chuck or chucks 120, spindles, chucks to secure objects and rotary indexer 122 coupled to an indexing mechanism. Some embodiments further include one or more measurement probes, such as one or more probes to monitor thickness of a substrate being ground. Additionally, some embodiments are equipped with other metrology to monitor vibrations, temperatures, forces and/or other relevant parameters that can be used in implementing and/or controlling grinding.
  • the grind spindle can in some embodiments, be implemented through a dual shaft air bearing grind spindle. For example, the grind spindle can be implemented using the spindle described in U.S. Patent No. 7,118,446, which is incorporated herein by reference. The grind spindle can be cooperated with a grind wheel or nested coarse and fine grind wheels used in grinding.
  • FIG. 2 depicts a simplified block diagram, overhead plane view of a grind module 210 according to some embodiments.
  • the grind module 210 is shown in a stand alone configuration without being cooperated with other components and/or systems.
  • the grind module 210 may be utilized generally in a manual mode, where an operator can hand-feed substrates (e.g., wafers) into and remove the substrates out of the module 210.
  • substrates e.g., wafers
  • the user further operates the grind module 210 through a computer user interface 212, which can include and/or display a graphical user interface 214 and corresponding computer, processor, memory and the like to allow the user to interact with the grind module 210 and control the grind process (e.g., by specifying a grind recipe, defining grind parameters, speed of rotations, forces, time of grind, and the like).
  • the grinding module 210 and/or engine can be implemented, in some instances, through the grinding module or system described in co-pending application serial no. 61/549,787, filed 10/21/2011, entitled SYSTEMS AND METHODS OF WAFER GRINDING, Attorney Docket No. 2969.016, which is incorporated herein by reference.
  • FIG. 3 shows an overhead view of a grind system 310, according to some embodiments.
  • the grind system 310 can include one or more grind modules 312-314 (e.g., similar to the grind module 210 of FIG. 2) that can be combined with other hardware, such as, but not limited to, robot handling equipment, cassette loaders, metrology, sensors, and other components or items.
  • the other hardware in cooperation with one or more controllers implementing control applications can provide some automation, and in many embodiments provide substantially fully-automated platforms.
  • the platform has one or more grind modules 312-314 and can include substantially any relevant number of grind modules, which can be cooperated and/or ganged together into an arrangement. Multiple grind modules can increase FEM utilization and conserves cleanroom floor space.
  • the compact design of the grind modules (such as the grind module 210 of FIG. 2) allows multiple grind modules to be conveniently packaged into a desired configuration, such as a linear row, in a "cluster" arrangement (e.g., "L" shape, partially circular, circular, or other arrangement) or substantially any relevant configuration.
  • Modular grinders can be operated in serial or parallel flows, which is typically not achievable using other existing grinder architectures having a single turret servicing multiple grind spindles. This can allow for more process flexibility, greater throughputs, more easily configurable for various processes (e.g., 1, 2, 3 or more modules), easier alignments (alignments of each module can be independent), and other such benefits.
  • Some embodiments include a wet wafer handling robot (" Wetbot") 316.
  • the grind modules 312-314 are fed substrates (e.g., wafers, stacked wafers, Hard
  • Substrates, etc. via one or more wet robots 316 that can reach into chambers of the grind modules.
  • the one or more wet robots 316 are configured to operate in damp environments and handle wet substrates.
  • An equipment front end module (EFEM) 318 may, in some embodiments, be integrated with the one or more grind modules and wet robots 316.
  • EFEM's are commonly used in the Semiconductor industry as a way to temporarily store and introduce material into a process tool. EFEM's are available from different vendors such as Genmark, Crossing Automation, and Rorze.
  • the EFEM in some embodiments, can include one or more cassette loadports 319, a dry robot 317 (to handle exclusively dry, clean substrates), a pre-aligner 320, one or more sensors (e.g., an optical character recognition device (“OCR”)), and/or other such components.
  • OCR optical character recognition device
  • Some embodiments include a pre-aligner 320 cooperated with the grind modules 312- 314 and/or incorporated into a grind module or engine.
  • the cassette loadport(s) provides a cassette I/O device that temporarily stores wafers before and after processing.
  • the cassettes may be open, Front Opening Unified Pod (FOUP), SMIF type, or other such cassettes commonly used by the Semiconductor industry.
  • FOUP Front Opening Unified Pod
  • the one or more dry robot (“Drybot”) 317 can be used to remove and replace wafers into relevant cassettes 319 before and after processing.
  • separate wet and dry robots 316-317 are not required and a single robot may be sufficient for both wet and dry wafer handling, such as with relatively low throughput.
  • some embodiments comprise separate wet and dry end effectors can be used by the same robot, such as a system similar to that described in U.S. Patent No.
  • the pre-aligner 320 can be used in some implementations to temporarily hold and locate the center of wafers so that the wafers may be accurately placed (e.g., placed precisely centered) on the grind chuck of one of the grind modules 312-314.
  • the pre-aligner may physically center the wafer on a stage for pick-up by the wet robot 316, or it may simply provide the wafer center location to a controller (e.g., a computer) that controls the wet robot.
  • the wet robot uses the information to pick-up and place the wafer in a correct location.
  • the pre-aligner and/or sensors associated with the pre-aligner may also be used to locate a reference on the wafer (e.g., locate a flat or notch on a wafer).
  • An optical character recognition device can be employed to read indentifying numbers, letters, bar code or other such identifying markings that are printed, formed or otherwise incorporated with a substrate to be ground.
  • the OCR is integrated into the pre-aligning device 320.
  • the information is used to track and verify wafer flow through the grind system 310 and/or a factory. It also can provide a means for a factory host to send recipe and/or metrology information needed to process the wafer down to the grind system 310 and/or grinding platform.
  • the grind system 310 further includes one or more spin rinse dryers (SRD) 324 or the like.
  • SRD spin rinse dryers
  • a wafer is typically wet with effluent used to cool the grinding process, and often from subsequent rinsing of the wafer.
  • the one or more SRDs 324 can be used to spin the wafer rapidly to remove the liquid from the wafer and dry the wafer.
  • a fluid may be applied to further wash the wafer.
  • one or more streams or flows of gas may be applied to the wafer while drying the wafer.
  • the wet robot 316 places the wet wafer into the SRD 324 for drying. After drying, the wafer can be put back into the cassette by the dry robot 317.
  • both the wet and dry robots can be positioned, in such implementations, to have access to the SRD 324 (or to at least one of the SRDs when multiple SRDs are employed).
  • One or more SRDs 324 may be integrated into the grind system 312, typically depending on desired throughput. For example, in some grinding processes the handling system may be able to keep up with processing, but a single SRD may not be sufficient. In such instances, an additional optional SRD may be added so as not to inhibit machine throughput.
  • Some embodiments can additionally or alternatively include polishing modules, etching modules and/or other relevant modules that can be cooperated with the grind system 310 and/or used in place of one or more of the grind modules 312- 314.
  • the grind modules 312-314 are configured to further provide for wafer polishing, etching, stress-relieving, and/or other such operations.
  • FIG. 4 shows a simplified flow diagram of an example process 410 that can be used to perform back grinding of finished semiconductor device wafers, for grinding used in the manufacture of prime silicon wafers and other such grinding or processing, in accordance with some embodiments.
  • the process can be used in grinding a substrate, such as a non- stacked semiconductor wafers.
  • the process can be used with two or more work chuck configurations (e.g., dual work chuck configurations), which can increase throughput.
  • One or more cassettes or FOUPs of wafers are loaded into a cassette I/O device mounted (step 412) to the EFEM of a grind system (e.g., grind system
  • one or more empty cassettes may also be loaded as output (or "receive") cassettes for some configurations.
  • An operator or factoiy host specifies a recipe to be used for grinding (step 414).
  • the recipe and or flow are defined prior to the cassette being cooperated with the EFEM. Additionally or alternatively, the recipe and/or flow are identified in response to an identification of the wafer, wafer type, cassette or some other identification, which may be performed by the EFEM or other identifier of the grind system. Further, the machine logic and/or programming can determine the flow path of the one or more wafers. Recipes are provided and/or developed that can maximize throughput and/or improve results. In some instances, recipes can be given identifiers (e.g., names), which can be used call upon by an operator manning the tool and/or the factoiy host.
  • identifiers e.g., names
  • the dry robot 317 or a controller detects the presence of the wafer and/or is instructed through the controller to retrieve a wafer (step 416).
  • the dry robot 317 uses a scanning device to scan the input and output cassette(s) for wafer presence.
  • the cassettes can be scanned by the cassette I/O device (for example, FOUP loadports may have integrated wafer scanning capability).
  • the dry robot 317 uses an end effector to pick up a wafer (step 418), for example, from a bottom-side from the input cassette and withdraw the wafer from the cassette and into the EFEM.
  • the wafer is moved to a position to be placed into the pre-aligner 320 (step 420).
  • the wafer is positioned in a predefined orientation, such as the dry robot rotating the wafer 180° resulting in the end effector on top of the wafer.
  • wafers stored in a cassette are stored with the device side up.
  • the wafers can be aligned with the device side up and then flipped and placed on the chuck of the prealigner with the device side down (where typically, in previous systems, a wafer is flipped prior to the prealigner).
  • the wafer is placed by the dry robot onto a chuck or other such structure of the pre-aligner 320 (step 422).
  • the pre-aligner 320 centers (or finds the center of) and may orient the wafer to a predefined angle (e.g., recipe or user defined angle) relative to the end effector pick direction (step 424).
  • a wafer ID if present, may also be read by the OCR during the pre-aligning process.
  • the grind module prepares for the wafer (step 426).
  • the top surface of the work chuck can be cleaned with a work chuck cleaning assembly of the grind system; a rotary indexer indexes the work chuck to the grind position and in some instances one or more probes or sensors (e.g., two contact probes) can reference the top of the work chuck; the rotary indexer indexes the work chuck to the load/unload position; the work chuck may be indexed to a desired orientation (e.g., recipe or user-defined orientation); and the grind chamber lid can be opened.
  • a desired orientation e.g., recipe or user-defined orientation
  • the wet robot 316 picks the wafer from the pre-aligner 320 using a wet wafer end effector (step 430).
  • the wet robot 316 places the wafer on top of the work chuck in the grind module (e.g., grind module 312) (step 432).
  • a vacuum is activated to induce a vacuum force that is pulled through the porous surface of the work chuck to seat and hold the wafer against the work chuck.
  • the wet robot end effector releases the wafer and retracts from the grind module.
  • the rotary indexer indexes the work chuck to the grind position (step 434).
  • the grinding may be implanted in multiple phases, such as a coarse grind and a fine grind. Additionally, an edge grind or other relevant grind may be implemented. Accordingly, the positioning may correspond to a coarse grind position.
  • the grind chamber lid is closed (step 436).
  • One or more probes or sensors are activated and/or positioned to sense the position and/or progress of the grinding (step 438).
  • one or more contact probes are positioned relative to the wafer and/or chuck, such as, one contacting on the wafer and another on the exposed area of the work chuck (e.g., a non-porous outer diameter portion of the work chuck).
  • the probe contacting the wafer is used to measure the thickness of the wafer, while the probe contacting the chuck is used to monitor the reference position of the work chuck.
  • one or more IR sensors or other types of sensors can be used to measure the thickness of the wafer, such as when the surface is not too rough.
  • the grind module extends the coarse wheel, rotates the work chuck and grind wheel, dispenses coolant onto the wafer, and feeds the grind wheel into the wafer (step 440). Since rapid impact of the grind wheel onto the wafer surface is typically detrimental to the wafer and wheel, a close-approach device/method can be implemented to approach the wafer rapidly, and warn the tool when the wheel is close to the wafer, then slow the approach for the last few microns.
  • the coarse grind proceeds using parameters defined in the chosen recipe. In some instances, the fast approach occurs from the up position down to a "hover height," which is about 50 ⁇ above the wafer, with the speeds during the fast approach being about 1 mm/sec.
  • the wheel approach can then be slowed, for example to about 5-10 ⁇ /sQC.
  • the system can, in some instances, first reference the chuck with the grind wheel, which can occur for example during an initial start up of the tool.
  • the tool can be loaded with a reference wafer and the grind wheel lowered until it touches, as evidenced by the load cell force measured on the wafer.
  • the positioning, distance of travel and/or other relevant parameters can be stored or recorded and used to determine hover height.
  • the system can then adjust the relevant hover height automatically to account for wheel wear and/or other factors (e.g., wafer height variations, etc.).
  • the location of the chuck and/or grind wheels e.g., diamond wheels
  • a wheel dressing assembly can be activated to improve the feed rate or reduce the grinding force by exposing new abrasive on the grind wheel. Alternately, feed rate may be decreased to maintain constant force.
  • the cycle is terminated upon reaching the target thickness amount and/or threshold specified in the recipe and typically associated with the coarse grinding (step 444). Again, one or more contact probes and/or the IR probe can be used while grinding to determine thickness of the wafer.
  • a probe can contact the wafer to calculate the thickness of the wafer, while a probe contacts the work chuck to monitor the reference position of the work chuck (e.g., to compensate for any change at the work chuck, such as due to thermal expansion or other such shift), which allows for compensation in grinding based on a determined thickness of the wafer and variation in the work chuck.
  • one or more IR sensors or other types of sensors can be used to calculate the thickness of the wafer, such as when the surface is not too rough.
  • the coarse wheel is raised to a safe vertical position, and the coarse wheel is retracted (step 446).
  • the rotary indexer indexes the work chuck to the fine grind position (return to step 434), when fine grinding is to be performed.
  • the grind module rotates the work chuck and grind wheel, dispenses coolant onto the wafer, and feeds the fine grind wheel into the wafer (step 440).
  • a close-approach device/method can be implemented to approach the wafer rapidly but warn the tool when the wheel is close to the wafer, then slow the approach for the last few microns.
  • the fine grind proceeds using parameters defined in the chosen recipe.
  • step 442 feedback from one or more sensors or probes (the contact wafer thickness measurement probes and/or IR sensor(s), grinding force, grind wheel in-feed rate, and the like) are monitored to determine the cutting efficiency (step 442).
  • the wheel dressing assembly might be activated to improve the feed rate or reduce the grinding force by exposing new abrasive on the grind wheel. Alternately, feed rate may be decreased to maintain constant force. The cycle is terminated upon reaching the target thickness amount specified in the recipe.
  • step 444 When final thickness or removal amount and/or threshold is achieved (step 444), the fine grind wheel is raised to a safe vertical position (step 446).
  • the rotary indexer may be indexed to position the work chuck in the edge grind position (step 450).
  • the grind module may, in some implementations, perform an edge grind process (step 452) as defined in the chosen recipe. Some processes may implement the edge grinding prior to one or both the coarse and fine grinding. The order of grind steps can be recipe configurable. Further, the edge grinding may be implemented similar to the edge grinding described in U.S. Patent No. 7,458,878, which is incorporated herein by reference. Additionally, the edge grinding may be implemented at least in part through cooperative movement of the wafer chuck, rotary indexer and/or feed of the grind wheel into contact with the wafer edge.
  • the grind wheel is raised to a safe vertical position (step 454).
  • the work chuck rotation speed may be increased while water is dispensed onto the surface of the wafer. This removes residual grind swarf from the wafer (step 456).
  • the rotary indexer is indexed to position the work chuck in the load/unload position (step 458).
  • the grind chamber lid is opened.
  • the wet robot 316 positions the end effector above the wafer to pick up the wafer from the work chuck (step 460).
  • the lower spindle turns off the vacuum, and in some instances may produce a reverse air force and inject a relatively small amount of air into the work chuck to assist the release of the wafer from the work chuck.
  • the wafer is transported by the wet robot to the Spin-Rinse-Dry station (SRD) 324.
  • SRD Spin-Rinse-Dry station
  • the SRD dries the wafer as defined in the chosen recipe (step 462).
  • the grind chamber lid closes to allow cleaning of the work chuck by clean water, brushes, stone, blade scraping and/or other relevant cleaning (step 464).
  • the dry robot 317 picks the wafer from the SRD using an end effector.
  • the cycle is repeated for any number of wafers in one or more cassettes (return to step 414).
  • the process may be implemented on multiple wafers in a parallel manner, utilizing the grind modules 312-314 to maximize throughput of the grind system.
  • Multiple grind modules 312-314 can, in at least some implementations, significantly increase the throughput of the tool.
  • the device wafer (which is the top wafer being ground) is the wafer of interest, while the bottom one or more wafers or other such substrate is a "carrier- wafer" used to provide a sturdy support for the device wafer, which is ground to a desired thickness, and often an extreme thinness of typically less than 50 microns.
  • the device wafer and the carrier wafer can be cooperated or bonded, such as with adhesives or without adhesives using Van der Waals forces. Both the device wafer and carrier wafer have thickness and uniformity variations from nominal. Therefore, it is critical to be able to discern the thickness of the device wafer discreetly from the carrier wafer during grinding to so that the process can be stopped at the correct thickness amount. Apparatuses and methods that have been developed to account for issues arising from stacked wafer configurations are described below.
  • the automated grinding platform is outfitted with additional sensors or metrology that can measure the thickness of the device wafer before and/or during grinding.
  • Infrared laser thickness sensors employing interferometrical methods can be used for this task.
  • This "sensor" can comprise an I light source and detector connected via fiber optic cable to a lens assembly mounted in a lens housing.
  • the lens housing is positioned just above or below the wafer to be measured, so that it may have an unhindered view of the wafer surface.
  • a controller and/or computer controls and deciphers the information from lens assembly, as read by the detector.
  • One or more IR sensors may be placed in the pre-align station 320, in a separate metrology station and/or in the grind module to calculate the thicknesses of incoming device wafer, carrier wafer and/or both.
  • the thickness information can be stored and utilized later when a grind module is grinding the device wafer. Since the grind module, in some embodiments, uses one or more contact probes to measure the thickness of the wafer stack during grinding, simple arithmetic can then be used to calculate the nominal thickness of the device wafer in real time (with the carrier wafer thickness being known).
  • one or more IR sensors can be integrated directly into the grind engine and can monitor the device wafer thickness in real time during grinding.
  • an IR sensors may not be able to accurately measure the thickness of the device wafer when the surface is too rough (such as during the coarse grind step).
  • the IR sensor typically can measure the device wafer thickness during the fine grind step. So the contact probe may be employed to monitor wafer stack thickness during the coarse grind while the IR sensor is used during the fine grind step to discreetly measure the device wafer thickness.
  • the lens of the IR sensor is spaced a short distance from the surface of the wafer (e.g., about 5-100 mm away, in some instances 20mm away).
  • the grind swarf generated during grinding can impede the line of sight between the lens and the wafer.
  • the lens housing can in some implementations be mounted in another tubular housing, closed on one end, and open on the other. The IR sensor lens "looks" down through the open end of the tubular housing, which is positioned such that it faces the surface of the wafer to be ground and measured.
  • the tubular housing is also connected to a pressurized fluid source, such as pressurized water or air, such that when the fluid is injected into the tubular housing between the lens and the open end, the fluid ejects from the open end.
  • a pressurized fluid source such as pressurized water or air
  • the pressurized fluid clears grind swarf out of the way, creating a clean line of sight for the IR sensor to view the surface of the wafer during grinding.
  • the ejecting fluid can be used to create a hydrodynamic bearing beneath the device so that it may float on the rotating wafer during grinding, rather than affixing it to a solid surface.
  • the advantage of using this hydrodynamic method is that the height of the device need not be adjusted to the height of the grind chuck.
  • the hydrodynamic bearing provides for uniform, consistent gap between device and wafer.
  • Some embodiments can account for a shape of the carrier wafer.
  • Carrier wafer shapes commonly vary from nominal, wafer to wafer.
  • the shape of the carrier wafer can impart undesirable shapes into the device wafer during grind. For instance, if the carrier wafer has a concave upper surface, the device wafer could be ground to convex shape, assuming the grind spindle has been aligned to grind perfectly flat.
  • some embodiments take into account the shape of the carrier wafer as measured by the grind system or another device and/or obtained from another source (e.g., third party supplier).
  • multiple IR sensors or one IR sensor mounted to a movable arm may be used at the pre-aligner or a separate station to pre-measure the shape of the carrier wafer by measuring it at multiple locations.
  • the pre-measure can, in some instances, additionally or alternatively measure a thickness of the carrier wafer.
  • the one or more IR sensors can be mounted in the grind chamber itself of the grind module for measuring the carrier wafer shape and/or shape and/or thickness of a wafer to be ground.
  • a combination of coordinated rotary indexer and/or chuck motion can enable detailed mapping of the wafer thickness and/or carrier wafer in the grind chamber using a single IR sensor mounted above the wafer at a desired position, such as corresponding to a center of the wafer.
  • the grind module can then use the carrier wafer shape information (e.g., mapping) in combination with grind spindle alignment hardware and computer algorithms to calculate and enact a new grind spindle or work chuck spindle position (e.g., pitch and yaw) that will reduce and/or nullify the affects of the carrier shape on the grinding of the device wafer grinding semiconductor wafers stacked on a carrier wafer and adaptive autoshape control.
  • mapping of the work chuck can be performed of the work chuck upon which the wafer and/or carrier- wafer are positioned.
  • This mapping of the work chuck can be used in cooperate with the mapping of the surface of the carrier-wafer upon which the wafer of interest is positioned during grinding.
  • the grind module can then cooperatively use the carrier wafer shape and work chuck shape in determining and/or modify the grinding and/or grind recipe, which can result in a modification of the grinding in accordance with the mapping.
  • the grind recipe can include grind spindle and/or work chuck spindle positioning (e.g., pitch and yaw) and/or amounts of grinding in relative locations along the surface of the device wafer.
  • mapping of the carrier wafer is obtained from a source (e.g., supplier of the carrier wafer) and/or mapped through a separate system or separate metrology (e.g., performed in a front end module).
  • FIG. 5 shows a simplified flow diagram of a process 510 according to some embodiments for use in grinding stacked semiconductor wafers.
  • an automated wafer grind system can be largely similar to the methods described above for non-stacked wafers, but have been augmented with the additional metrology and control as described below.
  • One or more cassettes or FOUPs of wafers are loaded into a cassette I/O device mounted to the EFEM of the grinding system (step 512).
  • One or more empty cassettes may also be loaded as output (or "receive") cassettes for some configurations.
  • An operator or factory host specifies a recipe to be used for grinding and a "flow" (or wafer path) through the grind system (step 514).
  • the dry robot 317 can use a scanning device to scan the input and output cassette(s) for wafer presence (step 516).
  • the cassettes can be scanned by the cassette I/O device (for example, FOUP loadports can have integrated wafer scanning capability).
  • the dry robot 317 uses an end effector to pick up a wafer, for example from the bottom-side, from the input cassette and withdraws the wafer from the cassette and moves the wafer into the EFEM (step 518).
  • the wafer is moved to a position, and may be repositions where the wafer is rotated 180° resulting in the end effector on top of the wafer (step 520).
  • the wafer is placed by the dry robot onto a chuck of the pre-aligner
  • Pre-aligner can center (or finds the center of) and may orient the wafer to a user-defined angle relative to the end effector pick direction (step 524).
  • the wafer ID if present, may also be read by the wafer, the OCR or otherwise identified during the pre-aligning process (step 526).
  • a carrier wafer thickness and/or shape are measured (step 528).
  • the earner wafer is measured at the pre-aligner 320, for example, using an IR wafer thickness sensor with wafers that are at least partially transparent to the selected IR frequency.
  • the measurement data is stored by a controller (e.g., a controlling computer) for use during the subsequent grinding process.
  • the dry or wet robot may place the wafer into a separate station for measuring the shape and thickness of the carrier wafer, which in at least some instances measures the entire wafer.
  • the carrier wafer may be measured in the grind module itself, as described in Step 12 below. Some embodiments download or access a memory storage that stores the carrier thickness and/or shape data to the grinder.
  • the carrier may be measured by a device outside the grind system and provided to the grind system, obtained from a third party or otherwise obtained.
  • the grind module prepares for the wafer (step 530).
  • the top surface of the work chuck can be cleaned with a work chuck cleaning assembly of the grind system; a rotary indexer indexes the work chuck to the grind position, and two contact probes reference the top of the work chuck; the rotary indexer indexes the work chuck to the load/unload position; the work chuck may be indexed to a desired orientation (e.g., recipe or user- defined orientation); and the grind chamber lid can be opened.
  • a desired orientation e.g., recipe or user- defined orientation
  • the wet robot 316 picks the wafer from the pre-aligner 320 or metrology station using an end effector (step 532).
  • the wet robot 316 places the wafer on top of the work chuck in a grind module 312-314 (step 534).
  • a vacuum can be pulled through the porous surface of the work chuck to seat and hold the wafer.
  • the wet robot end effector releases the wafer and retracts from the grind module.
  • the rotary indexer indexes to position the wafer beneath the IR wafer thickness sensor.
  • the IR sensor can, in some instances, be positioned such that it coincides with the center of the wafer.
  • the rotary indexer is moved while the sensor is active such that the wafer thickness is monitored to create a diametrical scan ("diameter scan") of the carrier wafer and/or wafer of interest.
  • the rotary indexer and work chuck rotation may be moved in a coordinated motion to create a polar or Cartesian (x and y axis type) map of the carrier wafer and/or wafer of interest.
  • the measurement data is stored by the controlling computer for use during the subsequent grinding process, which can cause modification to the grinding and/or grind recipe.
  • the grinding recipe may be modified in accordance with the mapping of the carrier wafer and/or the surface of the carrier wafer, and/or the grind spindle alignment, which may be defined within the grinding recipe may be adjusted in accordance with the mapping.
  • the mapping of the surface of the carrier wafer may alternatively or additionally be provided by a third party or measured in a separate device or separate portion of the grind system.
  • the grinding and/or grind recipe can be modified based on one or more of the mapping of the work chuck, the carrier wafer and/or thickness of the wafer to be ground.
  • the grind spindle pitch and yaw may be repositioned to produce desired post-grind device wafer shape (step 536).
  • the grind module comprises one or more grind spindle adjustment screws that cooperate with an upper grind spindle (e.g., three adjustment screws located at 120 degrees from one another). These adjustment screws provide for the ability to rigidly position the spindle, yet also align the grind spindle pitch and yaw to the wafer and/or chuck.
  • the rotary indexer indexes the work chuck to the coarse grind position (step 540), which typically includes rotating the work chuck through a partial rotation of the rotary indexer to the grind position.
  • the grind chamber lid is closed (step 542).
  • One or more probes are positioned and/or activated, such as contact probes being lowered, one contacting on the wafer and the other on the exposed area of the work chuck (the non-porous outer diameter portion of the work chuck) (step 544).
  • the probe contacting the wafer can be used to calculate the thickness of the wafer, while the probe contacting the work chuck can be used to monitor the reference position of the work chuck. Additionally or alternatively, an IR sensor can be used to calculate the thickness of the wafer when the surface is not too rough.
  • the grind module extends the coarse wheel, rotates the work chuck and grind wheel, dispenses coolant onto the wafer, and feeds the grind wheel into the wafer (step 546). Since rapid impact of the grind wheel onto the wafer surface typically is detrimental to the wafer and wheel, a close-approach device/method can be implemented to approach the wafer rapidly but warn the tool when the wheel is close to the wafer, then slow the approach for the last few microns.
  • the coarse grind proceeds using parameters defined in the chosen recipe.
  • step 548 feedback from the contact measurement probes, grinding force, grind wheel in-feed rate and/or other parameters can be monitored to determine the cutting efficiency (step 548).
  • the wheel dressing assembly in some embodiments, can be activated to improve the feed rate or reduce the grinding force by exposing new abrasive on the grind wheel. Additionally or alternately, feed rate may be decreased to maintain constant force.
  • the cycle is terminated upon reaching the target thickness amount or threshold specified in the recipe (step 550). 19. After coarse grind is complete the coarse wheel is raised to a safe vertical position, and the coarse wheel is retracted (step 552).
  • the rotary indexer indexes the work chuck to the fine grind position (return to step 540). In some embodiments, further adjustments to grind spindle orientation may be implemented (step 536).
  • the grind module rotates the work chuck and grind wheel, dispenses coolant onto the wafer, and feeds the fine grind wheel into the wafer (step 546).
  • One or more sensors/probes are activated and/or continue to be active relative to the wafer, carrier wafer and/or work chuck (step 544). In some instances, the one or more sensors may be repositioned. Since rapid impact of the grind wheel onto the wafer surface is typically detrimental to the wafer and wheel, a close-approach
  • device/method can be implemented to approach the wafer rapidly but warn the tool when the wheel is close to the wafer, then slow the approach for the last few microns.
  • the fine grind proceeds using parameters defined in the chosen recipe.
  • step 548 the wheel dressing assembly can be activated to improve the feed rate or reduce the grinding force by exposing new abrasive on the grind wheel. Alternately, feed rate may be decreased to maintain constant force.
  • the cycle is terminated upon reaching the target thickness amount specified in the recipe.
  • the sensors and/or probes e.g., contact type probe
  • the controlling computer can use contact type probe information, arithmetic and/or stored carrier thickness data to determine device wafer thickness.
  • the IR type probe is used to determine final thickness, the controlling computer can directly use the IR probe thickness data.
  • step 550 When final thickness is achieved the grinding is halted (step 550), and the fine grind wheel is raised to a safe vertical position (step 552).
  • the rotary indexer may be indexed to position the work chuck in the edge grind position (step 554).
  • the grind module may perform the edge grind process (step 556) as defined in the chosen recipe. In some instances, the edge grinding may occur prior to the coarse and/or fine grinding.
  • the order of grind steps will be recipe configurable.
  • the edge grinding may be implemented through a cooperated movement of the rotary indexer and the grinder used to grind the edge (e.g., feed adjustment).
  • the grinder may be one of the coarse or fine grind wheels or a separate edge grinder.
  • the grind wheel is raised to a safe vertical position (step 558).
  • the work chuck rotation speed may be increased while water and/or one or more chemicals is dispensed onto the surface of the wafer (step 560). This removes residual grind swarf from the wafer.
  • the rotary indexer is indexed to position the work chuck in the load/unload position (step 562).
  • the grind chamber lid is opened.
  • the wet robot 316 positions the end effector above the wafer to pick up the wafer from the work chuck.
  • the lower spindle turns off the vacuum, and in some instances injects a small amount of air into the work chuck to assist the release of the wafer from the work chuck (step 564).
  • the wafer is transported by the wet robot to the SRD 324.
  • the SRD dries the wafer as defined in the chosen recipe (step 568).
  • the grind chamber lid closes to allow cleaning of the work chuck by clean water, brushes, stone, blade scraping and/or other such methods (step 570).
  • the dry robot 317 picks the wafer from the SRD using an end effector.
  • the cycle can be repeated for any number of wafers in the cassette, and in some instances can be implemented in a parallel manner, utilizing multiple grind modules 312-314 to improve throughput of the grind system. Multiple grind modules thus significantly increase the throughput of the tool.
  • Some embodiments further provide for the grinding used during the manufacture of prime silicon and for hard substrate wafers (e.g., silicon dioxide, sapphire, silicon carbide, Silicon Nitride, and other such hard substrates), such as for use as substrates in the manufacture of LED devices.
  • hard substrate wafers e.g., silicon dioxide, sapphire, silicon carbide, Silicon Nitride, and other such hard substrates
  • Other hard materials that may be ground include AlTiC (Aluminum-Titanium-Carbide), which is a hard material used as a substrate in the manufacture of Giant Magnetoresistive (GMR) read/write heads (typically used in hard disk drives).
  • GMR Giant Magnetoresistive
  • Sapphire wafers used for LED's are typically thinned from about 800um to about 650um thick.
  • one of the goals in grinding (and when relevant subsequent polishing) is to eliminate slicing damage that may occur when sawing the wafer from the boule, while removing as little material as possible, producing a flat, smooth, and useable surface. Grinding can be used in lieu of alternative surfacing operations such as double-side lapping, and it has advantages over lapping, such as immunity to incoming wafer thickness variations.
  • the wafers are typically cut from large, cylindrical boules using a sawing process that leaves undesirable rough saw lines in the wafer substrates. Grinding removes these saw lines and leaves a surface ready for final polish.
  • the chucking process which uses vacuum to pull the wafer backside solidly against the porous vacuum chuck during grinding, can cause the saw lines from the backside of the wafer to mirror (or show) through.
  • the flat, uniform ground surface shows the imperfections from the backside sawed surface.
  • soft chucking methods are employed in some embodiments for grinding the first side of the wafer. Soft chucking methods were previously described by Strasbaugh in U.S. Patent No. 5,964,646, incorporated herein by reference.
  • the wafer can be flipped and ground on a conventional hard chuck. For some processes, the wafer may be flipped one more time to re-grind the original surface flat and parallel with the other side.
  • Some present embodiments provide grind module designs that allow for an additional grind spindle and chuck to be mounted to the rotating rotary indexer.
  • One of the chucks can be set up as a soft chuck, while the other is a hard chuck type. Using this configuration, the soft and hard chuck grinding can both occur in the same grind module.
  • some embodiments further employ post-grind stress relieving.
  • Another common problem when grinding hard materials such as when applying a high down force using diamond abrasives, is that the surfaces left on the wafer by grinding (or a thin layer beneath the ground surface) may be too damaged to permit safe removal of the wafer from the chuck.
  • the damage below the surface is commonly referred to as "sub-surface damage" or "SSD.”
  • surface damage and SSD are too severe, de-chucking (releasing the work chuck wafer holding vacuum) may cause the wafer to bow severely or even break altogether.
  • On-chuck stress relieving techniques can be used to reduce or eliminate surface and sub-surface damage to an acceptable level prior to de-chucking.
  • Polishing (which in some instances can be the preferred method) and etching processes are commonly used to eliminate the damage. Additionally or alternatively, some embodiments implement polishing to achieve a more uniform surface. For example, with some wafers, such as LED wafers, the backside has to have a relatively uniform roughness. The grinding, however, can cause non-uniform roughness (e.g., center to edge). As such, the polishing can reduce this non-uniform roughness, such as with LED wafers achieving a relative uniform roughness of within about 1-2 ⁇ or less.
  • the grind system and/or grinding platform may be outfitted with a polishing arm that can reach into the grind module.
  • the polishing arm is equipped with an end effector that affixes a polishing pad or lapping device with fixed or loose abrasive and a nozzle to dispense a polishing fluid such as slurry with suspended abrasive particles. Slurry is dispensed through a hole(s) in the pad, or from a source above the wafer and off to one side of the polish pad.
  • the polishing pad may be free-rotating or rotated under power.
  • the arm is equipped with hardware to allow it to press the pad against the wafer with force. The wafer is rotated by the work chuck while being polished.
  • some embodiments provide a rotary indexer design that allow for convenient positioning of the wafer under the polishing arm after grinding.
  • the rotary indexer also allows for oscillation of the wafer beneath the polishing pad, without the need to have separate hardware on the arm to accomplish this. Oscillation is commonly used in polishing for a more uniform finish and uniform removal of material. It also allows the polishing pad to be smaller than the wafer, if desired, while still contacting the entire wafer surface via the oscillation. This is called "sub- aperture polishing.”
  • an acid or base etching process may be used to remove the damaged wafer surface.
  • the rotary indexer will move to a position beneath a chemical dispense nozzle.
  • the etching chemical e.g. hot KOH
  • the wafer chuck may be rotated and the rotary indexer may be oscillated to achieve desired distribution of the chemistry over the wafer surface.
  • On chuck chemical etching has been previously described by U.S. patent No. 7,160,808 by Strasbaugh, which is incorporated herein by reference.
  • the wafer can be polished or etched on the chuck until enough damage is removed to permit safe handling of the wafer once released from the chuck or to a requested or specified roughness.
  • some embodiments allow for the grinding of non-uniform thickness hard substrate wafers to a common thickness without the need to pre-sort.
  • FIG. 6 shows a simplified flow diagram of a process 610, in accordance with some embodiments, in providing grinding of prime substrate wafers.
  • the process below is described a process method where one or more grind modules are equipped with two grind chuck spindles, one with a soft chuck and one with a hard chuck.
  • the soft and hard chucks may also be separated into separate grind modules, if desired (e.g., grind module 1 : 2 soft chucks, grind side 1; grind module 2: 1 hard chuck, grind side 2; grind module 3: 1 hard chuck, regrind side 1), which can be configurable and dictated by throughput of each step.
  • a one or more cassettes of substrates (e.g., wiresawn wafers) is loaded into a cassette I/O device mounted to the EFEM of the grinding system (step 612).
  • One or more empty cassettes may need to also be loaded as output cassettes for some configurations.
  • An operator or factory host specifies a recipe to be used for grinding and a flow through the grinding tool (step 614).
  • the dry robot 317 uses a scanning device to scan the one or more input and output cassettes for wafer presence (step 616).
  • the cassettes can be scanned by the cassette I/O device (for example, FOUP loadports typically have integrated wafer scanning capability).
  • the dry robot 317 uses an end effector to pick up a wafer, for example from the bottom-side from the input cassette, and withdraw the wafer from the cassette and into the EFEM (step 618).
  • the wafer is moved to a desired position, and in some instances can include rotating the wafer 180° resulting in the end effector on top of the wafer (step 620).
  • the wafer is placed by the dry robot onto the pre-aligner chuck 320 (step 622).
  • the pre-aligner centers may orient the wafer to a recipe or user defined angle relative to the end effector pick direction (step 624).
  • the wafer ID if present, may also be read by the OCR during the pre-aligning process (step 626).
  • the grind module prepares for the wafer.
  • a top surface of the soft work chuck is cleaned with the work chuck cleaning assembly (step 628), for example, using a soft brush that does not damage the soft surface.
  • the rotary indexer indexes the work chuck to the grind position (step 630), and one or more probes or sensors (e.g., the two contact probes) reference the top of the work chuck (step 632).
  • One of the probes can reference the hard outer diameter of the chuck, while the other probe can reference on the soft part of the chuck.
  • the soft surface does not provide an optimal surface for referencing, the measurement typically is reliably repeatable, and can be used for a "Delta" type grind, which is described below in Step 15.
  • the rotary indexer indexes the soft work chuck to the load/unload position (step 634).
  • the soft work chuck may be indexed to a recipe or user defined orientation.
  • the grind chamber lid is opened.
  • the wet robot 316 picks the wafer from the pre-aligner 320 using an end effector.
  • the wet robot 316 places the wafer on top of the soft work chuck in the grind module 312 (step 636). A vacuum is pulled through the porous surface of the soft work chuck to seat and hold the wafer against the chuck. The wet robot end effector releases the wafer and retracts from the grind module.
  • the rotary indexer indexes the work chuck to the coarse grind position (step 640).
  • the grind chamber lid is closed (step 642).
  • One or more probes and/or sensors can be utilized to monitor thickness (step 644).
  • a first contact probe can be positioned to be in contact on the wafer and a second probe on an exposed area of the work chuck (e.g., the non-porous outer diameter portion of the work chuck).
  • the first probe can be used to calculate the thickness of the wafer, while the second probe can be used to monitor the reference position of the work chuck.
  • an IR sensor can be used to calculate the thickness of the wafer when the surface is not too rough.
  • the grind module extends the coarse wheel, rotates the work chuck and grind wheel, dispenses coolant onto the wafer, and feeds the grind wheel into the wafer (step 646). Since rapid impact of the grind wheel onto the wafer surface is typically detrimental to the wafer and wheel, a close-approach device/method can be implemented to approach the wafer rapidly but warn the tool when the wheel is close to the wafer, then slow the approach for the last few microns.
  • the coarse grind proceeds using parameters defined in the chosen recipe.
  • step 648 feedback from the contact measurement probes, grinding force, grind wheel in-feed rate and/or other parameters can be monitored to determine the cutting efficiency (step 648). If cutting efficiency becomes inadequate, a wheel dressing assembly might be activated to improve the feed rate or reduce the grinding force by exposing new abrasive on the grind wheel. Alternately, feed rate may be decreased to maintain constant force.
  • the cycle can be terminated upon reaching the removal amount or coarse grind threshold specified in the recipe (rather than a target thickness) (step 650). This is known as a "Delta grind" or when a target thickness is achieved.
  • the coarse wheel is raised to a safe vertical position, and the coarse wheel is retracted (step 652).
  • the rotary indexer indexes the work chuck to the fine grind position (return to step 640) when fine grinding is to occur.
  • the grind module rotates the work chuck and grind wheel, dispenses coolant onto the wafer, and feeds the fine grind wheel into the wafer (step 646) while sensors/probes are active (step 644). Since rapid impact of the grind wheel onto the wafer surface is typically detrimental to the wafer and wheel, a close-approach device/method can be implemented to approach the wafer rapidly but warn the tool when the wheel is close to the wafer, then slow the approach for the last few microns. The fine grind proceeds using parameters defined in the chosen recipe.
  • step 648 feedback from the wafer thickness measurement probes and/or IR sensor, grinding force, grind wheel in- feed rate and/or other parameters can be monitored to determine the cutting efficiency (step 648). If cutting efficiency becomes inadequate, the wheel dressing assembly might be activated to improve the feed rate or reduce the grinding force by exposing new abrasive on the grind wheel. Alternately, feed rate may be decreased to maintain constant force.
  • the cycle is terminated upon reaching the removal amount or fine grind threshold specified in the recipe (rather than a target thickness) (step 650).
  • Some implementations may further employ rotary indexer oscillation and/or work chuck speed changes, which may in part provide more uniform surface finish.
  • the work chuck rotation speed may be increased while water is dispensed onto the surface of the wafer (step 654). This removes residual grind swarf from the wafer.
  • the rotary indexer is indexed to position the work chuck in polishing (or etch) position (step 656).
  • the wafer can be stress- relieved via polishing or etching methods (step 658) .
  • the rotary indexer is indexed to position the work chuck in the load/unload position (step 660).
  • the grind chamber lid is opened.
  • the wet robot 316 positions the end effector above the wafer to pick up the wafer from the soft work chuck.
  • the lower spindle turns off the vacuum, and in some implementations, injects a small amount of air into the soft work chuck to assist the release of the wafer from the work chuck (step 662).
  • the grind module and wet robot prepare for the wafer to be placed on the second (hard) work chuck.
  • the rotary indexer indexes the second (hard) work chuck to the grind position (return to step 630), and one or more sensors (e.g., one or more contact probes) can be used to reference the top of the work chuck (step 632).
  • the rotary indexer is indexed to position the second (hard) work chuck in the load/unload position (step 634). It is noted that is some instances the second work chuck may be cleaned prior to the wafer being positioned on the second work chuck (step 628). This cleaning may be similar to the chuck cleaning described above or below.
  • the wet robot 316 places the wafer temporarily in a holding station, and then re-grips the wafer (for example, from the opposite (bottom) side). The wet robot then flips the wafer such that the end effector is on top of the wafer.
  • the wet robot 316 places the wafer on top of the second (hard) work chuck in the grind module (step 636). A vacuum force is applied and pulled through the porous surface of the second work chuck to seat and hold the wafer against the chuck. The wet robot 316 end effector releases the wafer and retracts from the grind module.
  • the rotary indexer indexes the hard work chuck to the coarse grind position (step 640).
  • the grind chamber lid is closed (step 642).
  • One or more sensors and/or probes are positioned and/or activated
  • step 644 thickness probes or gauges can be lowered, a first on the wafer and a second on the exposed area of the work chuck (the non-porous outer diameter portion of the work chuck).
  • the first probe can be used to calculate the thickness of the wafer, while the second probe can be used to monitor the reference position of the work chuck.
  • an IR sensor can be used to calculate the thickness of the wafer.
  • the grind module extends the coarse wheel, rotates the work chuck and grind wheel, dispenses coolant onto the wafer, and feeds the grind wheel into the wafer (step 646). Since rapid impact of the grind wheel onto the wafer surface is typically detrimental to the wafer and wheel, a close-approach device/method can be implemented to approach the wafer rapidly but warn the tool when the wheel is close to the wafer, then slow the approach for the last few microns.
  • the coarse grind proceeds using parameters defined in the chosen recipe.
  • 32 During the grinding process, feedback from the wafer thickness measurement probes and/or IR sensor, grinding force, grind wheel in-feed rate and/or other relevant parameters are monitored to determine the cutting efficiency (step 648). If cutting efficiency becomes inadequate, the wheel dressing assembly might be activated to improve the feed rate or reduce the grinding force by exposing new abrasive on the grind wheel.
  • the cycle is terminated upon reaching the target thickness amount and/or threshold specified in the grinding recipe (step 650).
  • the coarse wheel is raised to a safe vertical position, and the coarse wheel is retracted (step 652).
  • the rotary indexer indexes the work chuck to the fine grind position
  • the grind module rotates the work chuck and grind wheel, dispenses coolant onto the wafer, and feeds the fine grind wheel into the wafer (step 646) while one or more sensors and/or probes are active (step 644). Since rapid impact of the grind wheel onto the wafer surface is typically detrimental to the wafer and wheel, a close-approach device/method can be implemented to approach the wafer rapidly but warn the tool when the wheel is close to the wafer, then slow the approach for the last few microns. The fine grind proceeds using parameters defined in the chosen recipe
  • step 648 feedback from the wafer thickness measurement probes and/or IR sensor, grinding force, grind wheel in- feed rate and/or other relevant parameters are monitored to determine the cutting efficiency (step 648). If cutting efficiency becomes inadequate, the wheel dressing assembly might be activated to improve the feed rate or reduce the grinding force by exposing new abrasive on the grind wheel. The cycle is terminated upon reaching the target thickness amount and/or threshold specified in the recipe (step 650).
  • step 652 After fine grind is complete the grind wheel is raised to a safe vertical position (step 652).
  • the grind wheel is raised to a safe vertical position.
  • the work chuck rotation speed may be increased while water is dispensed onto the surface of the wafer (step 654). This removes residual grind swarf from the wafer.
  • the rotary indexer is indexed to position the work chuck in polishing (or etch) position (step 656).
  • the wafer can then be stress- relieved via polishing or etching methods (step 658).
  • the rotary indexer is indexed to position the work chuck in the load/unload position (step 660).
  • the grind chamber lid is opened.
  • the wet robot 316 positions the end effector above the wafer to pick up the wafer from the hard work chuck.
  • the lower spindle turns off vacuum and injects a small amount of air into the hard work chuck to assist the release of the wafer from the work chuck (step 662).
  • the wet robot 316 may flip the wafer, and the first side may be ground and stress-relieved again on the hard chuck, as per Steps 27 through 42.
  • the wafer is transported by the wet robot to the SRD 324.
  • the SRD dries the wafer as defined in the chosen recipe (step 664).
  • the grind chamber lid closes to allow cleaning of the hard work chuck by clean water, brushes, stone, blade scraping and/or other relevant methods (step 666).
  • the dry robot 317 picks the wafer from the SRD using an end effector.
  • the cycle is repeated for any number of wafers in any number of cassettes, and in some instances, can be implemented in a parallel manner, utilizing multiple grind modules 312-314 to increase throughput of the grind tool. Multiple grind modules thus significantly increase the throughput of the tool.
  • backgrinding is performed on substrates, such as on hard substrates having finished LED devices, and often the backgrinding is performed on the surface as a near-final step before singulation.
  • the grinding thins the back side of the wafer to a desired thickness providing for, among other things, better transmission of light from the LED through the substrate.
  • wafers may be thinned, in some implementations, from about 650um to lOOum thick. Because the wafers are ground so thin, the LED device wafers may be cooperated with a carrier, such as bonded to a carrier wafers, for support.
  • stress relieving techniques may be utilized in some implementations to limit or avoid bowing and/or breaking of the wafer, such as during de-chucking.
  • Some embodiments utilize an additional full- aperture polishing as a subsequent step to grinding and stress-relief because a highly polished surface further improves light transmission. Since polishing durations can be very lengthy (e.g., an hour or more) compared with grind duration, the polishing may be performed on separate equipment, which can avoid throughput "bottlenecks" in the grinding machine.
  • FIG. 7 shows and below is described another example of a process 710 used to back grind hard substrates, such as hard substrate wafers, in accordance with some embodiments.
  • One or more cassettes of wafers are loaded into a cassette I/O device mounted to the EFEM of the automated grinding tool (step 712).
  • the device side of the wafers are often face-up.
  • One or more empty cassettes may also be loaded as output cassettes for some configurations.
  • An operator or factory host specifies a recipe to be used for grinding and a flow through the grind system (step 714).
  • the dry robot 317 uses a scanning device to scan the input and output cassettes for wafer presence (step 716). Additionally or alternatively, the cassettes can be scanned by the cassette I/O device.
  • the dry robot 317 uses an end effector to pick up a wafer, such as from the bottom-side, from the input cassette and withdraw the wafer from the cassette and move the wafer into the EFEM (step 718).
  • the wafer is moved to a desired position, which can include rotating 180° resulting in the end effector on top of the wafer (step 720).
  • the wafer is placed by the dry robot 317 onto the chuck of the pre-aligner 320 (step 722).
  • the pre-aligner 320 can center (or find the center of) of the wafer, and may orient the wafer to a recipe or user-defined angle relative to the end effector pick direction (step 724).
  • the wafer ID if present, may also be read by the OCR during the pre-aligning process (step 726).
  • the carrier wafer thickness and/or shape are measured (step 728), in some embodiments, at the pre-aligner 320, for example by an IR wafer thickness sensor.
  • the measurement data can be stored by the controlling computer for use during the subsequent grinding process.
  • the dry robot or the wet robot may alternatively place the wafer into a separate station for measuring.
  • the carrier wafer may be measured in a grind module 312, as described in Step 12 below.
  • Some sensors may have thickness limitations (e.g., thickness limitations for some IR Sensor types) which may prevent measuring the carrier wafer thickness from the top and through the device wafer.
  • the carrier wafer is measured outside the grind module, such as at the pre- aligner 320 or separate station.
  • some embodiments may additionally or alternatively download, to the grind system and/or controller, the carrier thickness and/or shape data from a remote source, such as the factory a supplier or other source.
  • the 314 can be prepared for the wafer (step 730). This can include one or more of the following steps: the top surface of the work chuck can be cleaned with the work chuck cleaning assembly; the rotary indexer can index the work chuck to the grind position, and one or more probes, such as one or more contact probes can reference the top of the work chuck; the rotary indexer can index the work chuck to the load/unload position; the work chuck may be indexed to a recipe, flow and/or user- defined orientation; the grind chamber lid is opened; and/or other such preparations.
  • the wet robot 316 picks the wafer from the pre-aligner 320 using an end effector (step 732).
  • the wet robot 316 places the wafer on top of the work chuck in the grind module (e.g., grind module 314) (step 734).
  • a vacuum is activated and a vacuum force is pulled through the porous surface of the work chuck to seat and hold the wafer.
  • the wet robot 316 end effector releases the wafer and retracts from the grind module.
  • the rotary indexer indexes to position the wafer relative to a sensor, such as beneath the IR wafer thickness sensor.
  • the IR sensor is positioned such that it coincides with the center of the wafer.
  • the rotary indexer is moved while the wafer thickness is monitored to create a diametrical scan ("diameter scan") of the carrier wafer.
  • the rotary indexer and work chuck may be moved in a coordinated motion to create a polar or Cartesian (x and y axis type) map of the carrier wafer and/or thickness of the wafer to be ground.
  • measurement data is stored by the controlling computer for use during the subsequent grinding process.
  • the grind spindle pitch and yaw may be repositioned to produce desired post-grind device wafer shape (step 736).
  • the rotary indexer then indexes for grinding, typically in accordance with the recipe. In some implementations, the rotary indexer indexes the work chuck to the coarse grind position (step 740).
  • the grind chamber lid is closed (step 742).
  • one or more probes are positioned and/or activated (step 744).
  • one or more contact probes can be lower relative to the wafer, carrier wafer and/or chuck, such as, one contacting on the wafer and another on the exposed area of the work chuck (the non-porous outer diameter portion of the work chuck).
  • the former probe can be used to calculate the thickness of the wafer, while the latter probe can be used to monitor the reference position of the work chuck.
  • an IR sensor can be used to calculate the thickness of the wafer if the surface is not too rough.
  • the grinding is initiated (step 746).
  • the grind module extends the coarse wheel, rotates the work chuck and grind wheel, dispenses coolant onto the wafer, and feeds the grind wheel into the wafer. Since rapid impact of the grind wheel onto the wafer surface typically is detrimental to the wafer and wheel, a close-approach device/method can be implemented to approach the wafer rapidly but warn the tool when the wheel is close to the wafer, then slow the approach for the last few microns.
  • the coarse grind proceeds using parameters defined in the chosen recipe.
  • step 748 feedback from the contact measurement probes, grinding force, grind wheel in-feed rate and/or other such parameters are monitored to determine the cutting efficiency (step 748). If cutting efficiency becomes inadequate, the wheel dressing assembly might be activated to improve the feed rate or reduce the grinding force by exposing new abrasive on the grind wheel. Additionally or alternately, feed rate may be decreased to maintain constant force.
  • the cycle is terminated upon reaching the target thickness amount and/or threshold specified in the recipe (step 750).
  • the coarse wheel is raised to a safe vertical position, and the coarse wheel is retracted (step 752).
  • the rotary indexer indexes the work chuck to the fine grind position (return to step 740).
  • the grind module rotates the work chuck and grind w r heel, dispenses coolant onto the wafer, and feeds the fine grind wheel into the wafer (step 746). Since rapid impact of the grind wheel onto the wafer surface typically is detrimental to the wafer and wheel, a close-approach device/method can be implemented to approach the wafer rapidly but warn the tool when the wheel is close to the wafer, then slow the approach for the last few microns. The fine grind proceeds using parameters defined in the chosen recipe. In some instances, a single wheel process can be used.
  • step 944, 948 feedback from the wafer thickness measurement probes and/or IR sensor, grinding force, grind wheel in-feed rate and/or other such parameters are monitored to determine the cutting efficiency (steps 944, 948). If cutting efficiency becomes inadequate, the wheel dressing assembly might be activated to improve the feed rate or reduce the grinding force by exposing new abrasive on the grind wheel. Additionally or alternately, feed rate may be decreased to maintain constant force.
  • the cycle is terminated upon reaching the target thickness amount or threshold specified in the recipe (step 750).
  • the controlling computer uses arithmetic and stored carrier thickness data to determine device wafer thickness. Additionally or alternatively, when the IR type probe is used to determine final thickness, the controlling computer can directly use the IR probe thickness data.
  • the grind wheel is raised to a safe vertical position.
  • the work chuck rotation speed may be increased while water is dispensed onto the surface of the wafer (step 754). This removes residual grind swarf from the wafer.
  • the rotary indexer is indexed to position the work chuck in polishing (or etch) position (step 756).
  • the wafer is stress-relieved via polishing or etching methods (step 758).
  • the rotary indexer is indexed to position the work chuck in the load/unload position (step 760).
  • the grind chamber lid is opened.
  • the wet robot 316 positions the end effector above the wafer to pick up the wafer from the work chuck.
  • the lower spindle turns off the vacuum, and in some embodiments injects a small amount of air into the work chuck to assist the release of the wafer from the work chuck (step 762).
  • the wafer is transported by the wet robot 316 to the SRD 324.
  • SRD dries the wafer as defined in the chosen recipe (step 764).
  • the grind chamber lid closes to allow cleaning of the work chuck by clean water, brushes, stone, blade scraping and/or other such techniques (step 766).
  • the dry robot 317 picks the wafer from the SRD using an end effector.
  • the cycle can be repeated for multiple other wafers in the cassette.
  • Some embodiments further implementing grinding in a parallel manner, utilizing all of the grind modules 312-314 to maximize throughput of the tool. Multiple grind modules can thus significantly increase the throughput of the grind tool.
  • FIG. 8 depicts a simplified overhead view of a tool platform according to some embodiments.
  • the tool platform comprises one or more grind modules (e.g., the grind modules from Strasbaugh described in the co-pending application serial no. 61/549,787, filed October 21, 2011, entitled SYSTEMS AND METHODS OF WAFER GRINDING) and one or more Grind/Polish Wetbot handler between a cleaning section and a CMP processing section (e.g., a 3 -table CMP processing section).
  • grind modules e.g., the grind modules from Strasbaugh described in the co-pending application serial no. 61/549,787, filed October 21, 2011, entitled SYSTEMS AND METHODS OF WAFER GRINDING
  • Grind/Polish Wetbot handler between a cleaning section and a CMP processing section (e.g., a 3 -table CMP processing section).
  • CMP chemical mechanical polishing/planarization
  • CMP is the term commonly used to refer to polishing processes used in the manufacture of semiconductor devices. It is used to make and retain a planar, smooth surface of the deposited layers on the silicon wafer between various process steps (sometimes more than ten steps) so that optical lithography can retain the necessary short focal length to print the lines, etc. for each successive step, one on top of another. As such, it is common to polish the wafer using a relatively hard upper polishing pad in combination with a soft under pad to achieve local planarity while maintaining global uniformity across the wafer during polishing.
  • polishing is the term commonly used to refer to the polishing process used to take ground prime wafer substrates to a highly smooth (shiny) surface, upon which semiconductor or LED devices can be built. This process commonly uses a relatively soft polishing pad in conjunction with a chemical slurry containing small abrasive particles to flatten and smooth the surface, remove sub surface damage, and/or haze.
  • a chemical slurry containing small abrasive particles to flatten and smooth the surface, remove sub surface damage, and/or haze.
  • Dow Company's Suba 600 and Suba 1200 felt-like pads are widely used by the wafering industry for this purpose.
  • the equipment used for CMP and Polishing is similar, typically including a relatively large polish table (e.g., greater than the diameter of two wafers, and in some instances greater than a diameter of several (3-5) wafers) with affixed polishing pad, slurry delivery system, polishing spindle, and wafer carrier (or “polish head") attached to the spindle.
  • the spindle and attached wafer carrier rotate the wafer against the polishing pad while the pad also rotates against the wafer.
  • Slurry is dispensed on top of the pad and it is carried beneath the wafer by the rotating polish table and pad.
  • CMP machines typically have additional hardware and software to control polish removal and uniformity across the wafer, as well as pad conditioning hardware (to maintain the removal rate on the hard polish pads), and specialized metrology for monitoring results before, during, or after polishing.
  • Some embodiments further provide for CMP and polishing with stacked silicon wafer applications.
  • stacked silicon wafers which are ground as described above, may be polished or CMP processed subsequent to the grinding.
  • the ground surface is made very smooth to allow uniform, efficient transmission of light through the ultra thin silicon ( ⁇ 2um) backside to the image sensing devices.
  • the silicon is ultra-thin, the image sensing devices remain slightly buried below the surface of the silicon after grinding and polishing. Therefore, in this case, a Polishing process is implemented after grinding.
  • the goal of surfacing is often to expose the TSVs (Through Silicon Vias) in the wafer.
  • TSVs Thin Silicon Vias
  • These metal (e.g., Copper) TSVs will eventually provide electrical connection points for the stacked wafer.
  • the wafer may be ground to a height that is close to the tops of the TSVs, typically within a threshold distance of the vias, and then polished, etched and/or planarized to expose the TSVs.
  • coarse grinding can be implemented to a first threshold, followed by fine grinding to a second threshold distance from the TSVs, and then the wafer can be polished and/or etched to expose the TSVs.
  • the TSVs remain relatively planar with the surface of the silicon to provide a suitable electrical connection point.
  • “Dishing” is a common problem caused by over-polishing of the metal TSVs relative to the silicon. This creates a "dish" shape or contour or depression on top of the metal TSV, as compared with the silicon surface, making it more difficult to make an electrical connection to the TSV. CMP techniques can be used to minimize this dishing.
  • FOUP Fluorescence Unified Pod
  • Fab semiconductor fabrication facility
  • FOUP Loadports on the processing equipment. Wafers are cleaned and dried prior to placing them back into a clean FOUP after processing. Typically, every time a wafer must be cleaned and dried and transported will add to the overall cost and time it takes to make the devices on a wafer. Additionally, every wafer handling step increases risk of damaging a wafer.
  • the combination of wafer grinding and CMP/Polishing into a single, integrated platform is desirable for stacked wafer applications and other processes.
  • at least one cleaning and drying step and handling step can be eliminated, and wafer handling is minimized because the wafers need not be cleaned, dried, placed back in the FOUP, and transported between grind and CMP/Polish steps and/or machines.
  • some embodiments provide system or tool platform that can implement one or more of the grinding methods described above in combination with CMP in this tool platform.
  • this tool platfonn can be placed in a clean room or in the grey area with an interface to clean load/unload zone.
  • the tool platform comprises a grind module (e.g., Model 7AH Grinder from Strasbaugh) combined with a polisher (e.g., Model 6EH CMP Dry-in, Dry-Out (DIDO) Polisher (also known as the STB P300 CMP System) from Strasbaugh).
  • a polisher e.g., Model 6EH CMP Dry-in, Dry-Out (DIDO) Polisher (also known as the STB P300 CMP System) from Strasbaugh.
  • DIDO Model 6EH CMP Dry-in, Dry-Out
  • the layout of this combination grind/CMP tool platform includes splitting or "stretching out" a Model 6EH system (from Strasbaugh) along its longer axis, for example, to about 223 inches, retaining the width (e.g., 80 inches).
  • Some embodiments combine the features of both a wafer grinder (e.g., a 300 mm wafer grinder) and state-of-the art CMP tools. Further, some embodiments provide full aperture CMP/Polish rather than sub-aperture, which is used in some other systems.
  • the full aperture CMP/Polish can be optioned with all the process controls to achieve ultra precise polish, which in some instances can include zonal control of force on the wafer, end point detection, pad conditioning, and other such controls.
  • Some embodiments provide processes used to grind and polish or planarize substrates, such as stacked silicon wafers.
  • FIG. 9 shows and below is described an example of a process 910 according to some embodiments in grinding and polishing a substrate.
  • One or more cassettes or FOUPs of wafers is loaded into a cassette input/output (I/O) device mounted to the EFEM of the automated tool (step 912).
  • I/O cassette input/output
  • One or more empty cassettes (or FOUPs) may need to also be loaded as output (or “receive") cassettes for some configurations.
  • An operator or factory host specifies, selects or defines a recipe to be used for grinding, polishing, and cleaning; and a "flow" (or wafer path) through the grinding/polishing tool platform to achieve the recipe may, in at least some instances, also be defined (step 914).
  • the dry robot uses a scanning device to scan the input and output cassettes for wafer presence (step 916).
  • the cassettes can be scanned by the cassette I/O device (for example, FOUP loadports typically have integrated wafer scanning capability).
  • the dry robot uses an end effector to pick up a wafer, such as from the bottom-side from the input cassette and withdraw the wafer from the cassette and into the EFEM (step 918).
  • the wafer is moved to a desired position, which can include rotating
  • the wafer is placed by the dry robot onto a first transfer/pre-align station (step 922).
  • the first transfer/pre-align station may center (or finds the center of) and may orient the wafer to a recipe, flow and/or user-defined angle relative to the end effector pick direction (step 2024).
  • the wafer ID if present, may also be read by the OCR at the first transfer/pre-align station (step 926).
  • a clean wet robot can then take the wafer from the first transfer/pre- align station and transports it through a clean section of the tool platform, and place the wafer on a second transfer/pre-align station (step 928).
  • the second transfer/pre-align station may, in some embodiments, center (or finds the center of) and may orient the wafer to a recipe, flow and/or user- defined angle relative to the end effector pick direction (step 930).
  • the. carrier wafer thickness and/or shape are measured at second transfer/pre-align station (step 932), for example, by an IR wafer thickness sensor, or otherwise obtained (e.g., received from a third party).
  • the measurement data can be stored by the controlling computer for use during the subsequent grinding, processing and/or cleaning processes.
  • the dry or wet robot may place the wafer into a separate station for measuring.
  • the carrier wafer may be measured in the grind module itself. There can be thickness limitations, however, for some IR sensor types which prevents measuring the carrier wafer thickness from the top and through the device wafer.
  • the carrier wafer is measured (e.g., shape and/or thickness) outside the grind module at one or both the first or second pre-aligner, or a separate station. Additionally or alternatively, the carrier wafer thickness and/or shape data may be down loaded from the factoiy or other source to the grind module and/or a controller.
  • the grind module is prepared for the wafer (step 934). For example, a top surface of the work chuck can be cleaned with a work chuck cleaning assembly.
  • the rotary indexer indexes the work chuck to the grind position, and the two contact probes reference the top of the work chuck (step 936).
  • the rotary indexer indexes the work chuck to the load/unload position (step 938).
  • the grind chamber lid is opened.
  • a grind/polish wet robot picks the wafer from the second transfer/pre- align station (or metrology station) using an end effector.
  • the grind/polish wet robot places the wafer on top of the work chuck in the grind module. A vacuum force is pulled through the porous surface of the work chuck to seat and hold the wafer. The grind/polish wet robot end effector releases the wafer and retracts from the grind module (step 940).
  • the grinding is implemented (step 942).
  • the grinding can be implement one of the processes described above, such as the stacked wafer grinding process described (e.g., steps 12 through 28).
  • the grinding can include positioning the work chuck and grind wafer into the grind position, adjusting relative alignment of the grind spindle when needed, and using one or more sensors and/or probes to implement the grinding and achieve desired grinding results.
  • the ground surface is rinsed and cleaned (step
  • the grind/polish wet robot picks the ground wafer from the work chuck, the wafer is flipped 180 degrees and then transported and placed in a pre-CMP cleaner then into a CMP load station (step 946).
  • a cleaning process is implemented, which may include a contact cleaning process (e.g., brushes, or the like) to clean the grind swarf particles from the wafer ground surface (and in some instances the chuck) before entering a CMP area.
  • the grind chamber lid closes to allow cleaning of the work chuck by clean water, brushes, stone, blade scraping and/or other relevant cleaning.
  • a polish spindle/wafer carrier moves to a position relative to the load station (step 948).
  • the polish spindle/wafer carrier cooperates with (e.g., lowers onto) the load station, and the wafer is pushed into the wafer carrier pocket by the load station mechanism (step 950).
  • the wafer carrier uses a vacuum to hold the wafer in the carrier pocket.
  • the polish spindle/wafer carrier moves to a position above one of the polish tables (step 952).
  • the spindle lowers and the wafer is polished per the recipe (step 954), where the recipe typically specifies among other things, down force, spindle rotation speed, polish table speed, and slurry flow.
  • the wafer may be polished on two separate polish tables (step 956) and buffed on a third polish table using distinct recipes, polish pads, and slurries for each step. Polishing durations may be controlled via time based user-defined values in the recipe or via removal amount as detected by an endpoint detection system.
  • the spindle/wafer carrier moves to a position relative to an unload station.
  • the polish spindle/wafer carrier cooperates with (e.g., lowers onto) the unload station, and the wafer is expelled from the wafer carrier into the unload station (step 958).
  • the grind/polish wet robot uses an end effector to remove the polished wafer from the unload station (step 960).
  • the grind/polish wet robot places the wafer on the second transfer/pre- align station (step 962).
  • a clean wet robot uses an end effector to pick-up the wafer from second transfer/pre-align station.
  • the clean wet robot orients the wafer (e.g., flips the wafer 180 degrees) and places the wafer into one of one or more cleaning stations.
  • some embodiments may include three cleaning stations, comprising two PVA brush scrub stations and one optional megasonic cleaning station.
  • the wafer is then cleaned using the user-selected or specified cleaning recipe, including brush speeds, forces, and chemical concentrations and flows (step 964).
  • the wafer may be transported by the clean wet robot to the other cleaning stations for further cleaning using user-defined recipes.
  • the clean wet robot uses an end effector to transfer the wafer to the SRD station (step 966).
  • the SRD dries the wafer as defined in the chosen recipe (step 968). Other drying methods may be used and/or may be advantageous, such as marangoni- type drying for some applications. 30. After the spin rinse dry cycle has been completed, the dry robot picks the wafer from the SRD using an end effector.
  • the cycle is repeated for any number of wafers in the cassette.
  • Some embodiments implement the processing in a parallel manner, utilizing multiple grind modules to maximize throughput of the tool. Multiple grind modules and/or polishing stations thus may significantly increase the throughput of the tool.
  • some embodiments provide grinding and/or polishing applications using the same grind module platform.
  • some embodiments provide methods that allow for consistent grinding to a very thin final thickness and very precise geometries. It also allows grinding and polishing to be done within the same tool platform. Further, some embodiments provide a single tool platform that can grind thin, stacked wafers and/or hard substrates.
  • One or more controllers, controlling computers and/or processors are included in and/or cooperated with the grind module, grind system and/or tool platform of the present embodiments to provide control of the components and/or processes.
  • the controller receives sensor data and controls the grinding, cleaning, polishing, moving and/or other processing.
  • the controller or controllers can be implemented through one or more processors, controllers, central processing units, computers, logic, software and the like. Further, in some implementations the controller(s) may provide multiprocessor functionality.
  • Computer and/or processor accessible memory can be included in the controller and/or accessed by the controller.
  • memory stores executable program code or instructions that when executed by a processor of the controller cause the grind module, system and/or tool to control the one or more components. Further, the code can cause the implementation of one or more of the processes and/or perform one or more functions such as described herein.
  • the methods, techniques, systems, devices, services, servers, sources and the like described herein may be utilized, implemented and/or run on many different types of devices and/or systems. These devices and/or systems may be used for any such implementations, in accordance with some embodiments.
  • One or more components of the system may be used for implementing any system, apparatus or device mentioned above or below, or parts of such systems, apparatuses or devices, such as for example any of the above or below mentioned controllers, as well as user interaction system, sensors, feedback, displays, controls, detectors, motors and the like.
  • the use of one or more of these systems or any portion thereof is certainly not required.
  • the memory which can be accessed by the processors and/or controllers, typically includes one or more processor readable and/or computer readable media accessed by at least the processors and/or controllers, and can include volatile and/or nonvolatile media, such as RAM, ROM, EEPROM, flash memory and/or other memory technology. Further, the memory can be internal to the system; however, the memory can be internal, external or a combination of internal and external memory.
  • the external memory can be substantially any relevant memory such as, but not limited to, one or more of flash memory secure digital (SD) card, universal serial bus (USB) stick or drive, other memory cards, hard drive and other such memory or combinations of such memory.
  • SD flash memory secure digital
  • USB universal serial bus
  • the memory can store code, software, executables, grind recipes, scripts, data, coordinate information, programs, log or history data, user information and the like.
  • the present embodiments provide methods, systems and apparatuses for accurately processing and/or grinding wafers. Many of the methods implement the grinding to desired thicknesses and/or shapes through a single grind system. Further, the present embodiments provide grinding of stacked and non-stacked wafers.
  • FIG. 10 shows a simplified flow diagram of a process 1010 of grinding a wafer in accordance with some embodiments.
  • a stacked wafer is positioned into a position to be ground.
  • the stacked wafer in some embodiments, comprises a first wafer secured with a carrier-wafer, where the first wafer is secured with the carrier- wafer such that a surface of the first wafer is exposed to be ground.
  • a grinding of the first wafer is initiated while supported by the carrier- wafer.
  • one or more sensors are activated relative to the first wafer while grinding the first wafer.
  • a thickness of the first wafer is determined separate from a thickness of the carrier-wafer as a function of data from the one or more sensors and while grinding the first wafer.
  • the wafer grinding is halted when the thickness of the first wafer has the predefined relationship with the first thickness threshold.
  • FIG. 11 shows a simplified flow diagram of a method 1110 of grinding a wafer in accordance with some embodiments.
  • a stacked wafer is positioned onto a work chuck.
  • the work chuck is secured with a rotary indexer and the stacked wafer comprises a first wafer to be ground.
  • the rotary indexer is moves to move the work chuck to position the stacked wafer proximate a first probe.
  • the work chuck is rotated to rotate the stacked wafer while coordinating movement of the rotary indexer moving the work chuck and stacked wafer relative to the first probe while the first probe is activated.
  • a mapping of a surface shape of a surface of a carrier- wafer is obtained.
  • a grinding of the first wafer to be ground is modified in accordance with the mapping of the surface of the carrier-wafer, wherein the carrier-wafer is configured to support the first wafer while the first wafer is being ground.

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

Modes de réalisation concernant des procédés de traitement de plaquettes, comprenant : le positionnement d'une plaquette empilée dans une position permettant la rectification, où la plaquette empilée comprend une première plaquette fixée à l'aide d'un support de plaquette, de sorte qu'une surface de la première plaquette est exposée de manière à être rectifiée ; le lancement d'une rectification de la première plaquette supportée par le support de plaquette ; l'activation d'un ou de plusieurs capteurs par rapport à la première plaquette lors de la rectification de celle-ci ; la définition, lors de la rectification de la première plaquette, d'une épaisseur de celle-ci distincte d'une épaisseur du support de plaquette en fonction de données provenant d'un ou de plusieurs capteurs ; le fait de déterminer si l'épaisseur déterminée de la première plaquette présente une relation prédéfinie avec un premier seuil d'épaisseur ; et l'arrêt de la rectification de la plaquette lorsque l'épaisseur de la première plaquette présente la relation prédéfinie avec le premier seuil d'épaisseur.
PCT/US2013/021319 2012-01-11 2013-01-11 Systèmes et procédés de traitement de substrats WO2013106777A1 (fr)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US201261585643P 2012-01-11 2012-01-11
US61/585,643 2012-01-11
US201261632262P 2012-01-23 2012-01-23
US61/632,262 2012-01-23
US201261708146P 2012-10-01 2012-10-01
US201261708165P 2012-10-01 2012-10-01
US61/708,165 2012-10-01
US61/708,146 2012-10-01
US13/656,514 2012-10-19
US13/656,514 US8968052B2 (en) 2011-10-21 2012-10-19 Systems and methods of wafer grinding

Publications (1)

Publication Number Publication Date
WO2013106777A1 true WO2013106777A1 (fr) 2013-07-18

Family

ID=48781967

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2013/021319 WO2013106777A1 (fr) 2012-01-11 2013-01-11 Systèmes et procédés de traitement de substrats

Country Status (2)

Country Link
TW (1) TW201335983A (fr)
WO (1) WO2013106777A1 (fr)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8968052B2 (en) 2011-10-21 2015-03-03 Strasbaugh Systems and methods of wafer grinding
US9393669B2 (en) 2011-10-21 2016-07-19 Strasbaugh Systems and methods of processing substrates
US9457446B2 (en) 2012-10-01 2016-10-04 Strasbaugh Methods and systems for use in grind shape control adaptation
US9610669B2 (en) 2012-10-01 2017-04-04 Strasbaugh Methods and systems for use in grind spindle alignment
CN114683126A (zh) * 2022-03-30 2022-07-01 中锗科技有限公司 一种磷化铟衬底片定位磨边装置及方法
JP7394638B2 (ja) 2020-01-28 2023-12-08 東京エレクトロン株式会社 研削装置、及び研削方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI571908B (zh) * 2014-08-15 2017-02-21 力晶科技股份有限公司 製程控制方法與製程控制系統
JP6653777B1 (ja) 2019-02-01 2020-02-26 株式会社大気社 自動研磨システム
DE102019208704A1 (de) * 2019-06-14 2020-12-17 Siltronic Ag Einrichtung und Verfahren zum Polieren von Halbleiterscheiben
CN114639601B (zh) * 2022-02-17 2023-04-28 中环领先半导体材料有限公司 一种提升减薄机稼动率的新型工艺

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6402589B1 (en) * 1998-10-16 2002-06-11 Tokyo Seimitsu Co., Ltd. Wafer grinder and method of detecting grinding amount
US20040011462A1 (en) * 2002-06-28 2004-01-22 Lam Research Corporation Method and apparatus for applying differential removal rates to a surface of a substrate
US6976901B1 (en) * 1999-10-27 2005-12-20 Strasbaugh In situ feature height measurement
US7118446B2 (en) * 2003-04-04 2006-10-10 Strasbaugh, A California Corporation Grinding apparatus and method
US20100285665A1 (en) * 2007-09-25 2010-11-11 Sumco Techxiv Corporation Semiconductor wafer manufacturing method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6402589B1 (en) * 1998-10-16 2002-06-11 Tokyo Seimitsu Co., Ltd. Wafer grinder and method of detecting grinding amount
US6976901B1 (en) * 1999-10-27 2005-12-20 Strasbaugh In situ feature height measurement
US20040011462A1 (en) * 2002-06-28 2004-01-22 Lam Research Corporation Method and apparatus for applying differential removal rates to a surface of a substrate
US7118446B2 (en) * 2003-04-04 2006-10-10 Strasbaugh, A California Corporation Grinding apparatus and method
US20100285665A1 (en) * 2007-09-25 2010-11-11 Sumco Techxiv Corporation Semiconductor wafer manufacturing method

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8968052B2 (en) 2011-10-21 2015-03-03 Strasbaugh Systems and methods of wafer grinding
US9393669B2 (en) 2011-10-21 2016-07-19 Strasbaugh Systems and methods of processing substrates
US9457446B2 (en) 2012-10-01 2016-10-04 Strasbaugh Methods and systems for use in grind shape control adaptation
US9610669B2 (en) 2012-10-01 2017-04-04 Strasbaugh Methods and systems for use in grind spindle alignment
JP7394638B2 (ja) 2020-01-28 2023-12-08 東京エレクトロン株式会社 研削装置、及び研削方法
CN114683126A (zh) * 2022-03-30 2022-07-01 中锗科技有限公司 一种磷化铟衬底片定位磨边装置及方法

Also Published As

Publication number Publication date
TW201335983A (zh) 2013-09-01

Similar Documents

Publication Publication Date Title
US9393669B2 (en) Systems and methods of processing substrates
WO2013106777A1 (fr) Systèmes et procédés de traitement de substrats
CN107877356B (zh) 研磨系统以及研磨方法
KR101217002B1 (ko) 가공 장치 및 가공 방법
US9711381B2 (en) Methods and apparatus for post-chemical mechanical planarization substrate cleaning
US7166016B1 (en) Six headed carousel
US6672943B2 (en) Eccentric abrasive wheel for wafer processing
US6852012B2 (en) Cluster tool systems and methods for in fab wafer processing
US7198548B1 (en) Polishing apparatus and method with direct load platen
US20070128983A1 (en) Grinding apparatus and method
CN111430230B (zh) 基板减薄方法、基板减薄设备及其操作方法
WO2000053371A1 (fr) Poste de transfert secondaire a double fonction pour machine a polir
US9508575B2 (en) Disk/pad clean with wafer and wafer edge/bevel clean module for chemical mechanical polishing
JP2018060873A (ja) ウエーハの加工方法
US20020052169A1 (en) Systems and methods to significantly reduce the grinding marks in surface grinding of semiconductor wafers
CN111633532A (zh) 一种具有化学机械抛光单元的基板减薄设备
JP5466963B2 (ja) 研削装置
JP6045926B2 (ja) 研削研磨装置
US20020004265A1 (en) Grind polish cluster and methods to remove visual grind pattern
US20020052116A1 (en) Free Floating double side polishing of substrates
US20020086625A1 (en) Vacuum mount wafer polishing methods and apparatus
WO2001071730A1 (fr) SYSTEMES ET PROCEDES DESTINES A REDUIRE DES MARQUES DE PONçAGE ET UNE CONTAMINATION METALLIQUE
US20230162990A1 (en) Package device manufacturing method
KR20060089801A (ko) 웨이퍼 후면 연마 장치
KR100602116B1 (ko) 화학 기계적 연마 장치 및 연마 방법

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13736291

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13736291

Country of ref document: EP

Kind code of ref document: A1