WO2012060839A1 - Advanced transistors with structured low dopant channels - Google Patents

Advanced transistors with structured low dopant channels Download PDF

Info

Publication number
WO2012060839A1
WO2012060839A1 PCT/US2010/055560 US2010055560W WO2012060839A1 WO 2012060839 A1 WO2012060839 A1 WO 2012060839A1 US 2010055560 W US2010055560 W US 2010055560W WO 2012060839 A1 WO2012060839 A1 WO 2012060839A1
Authority
WO
WIPO (PCT)
Prior art keywords
gate
dopant
field effect
effect transistor
transistor structure
Prior art date
Application number
PCT/US2010/055560
Other languages
French (fr)
Inventor
Pushkar Ranade
Lucian Shifren
Original Assignee
Suvolta, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Suvolta, Inc. filed Critical Suvolta, Inc.
Priority to KR1020117023427A priority Critical patent/KR101178016B1/en
Priority to PCT/US2010/055560 priority patent/WO2012060839A1/en
Publication of WO2012060839A1 publication Critical patent/WO2012060839A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/105Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with vertical doping variation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823892Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the wells or tubs, e.g. twin tubs, high energy well implants, buried implanted layers for lateral isolation [BILLI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • H01L29/1087Substrate region of field-effect devices of field-effect transistors with insulated gate characterised by the contact structure of the substrate region, e.g. for controlling or preventing bipolar effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66537Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a self aligned punch through stopper or threshold implant under the gate region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Definitions

  • This disclosure relates to structures and processes for forming advanced transistors with improved operational characteristics, including low doped channels and processing that includes gate removal.
  • a common strategy employed by semiconductor manufacturers is to simply reduce gate size of a field effect transistor (FET), and proportionally shrink area of the transistor source, drain, and required interconnects between transistors.
  • FET field effect transistor
  • a simple proportional shrink is not always possible, in part because of what are known as "short channel effects".
  • Short channel effects are particularly acute when channel length under a transistor gate is comparable in magnitude to depletion depth of an operating transistor, and include reduction in threshold voltage, severe surface scattering, drain induced barrier lowering (DIBL), source-drain punch through, and electron mobility issues.
  • DIBL drain induced barrier lowering
  • semiconductor manufacturers have investigated high channel doping, retrograde doping, steep retrograde doping, and other advanced techniques, but making commercially practical transistor devices with gate length under 100 nanometers (nanoscale) is becoming increasingly difficult.
  • SOI transistors are built on a thin layer of silicon that overlies an insulator layer, and generally require VT setting channel implants or halo implants for operation. Unfortunately, creating a suitable insulator layer is expensive and difficult to accomplish.
  • SOI devices were built on insulative sapphire wafers instead of silicon wafers, and are typically only used in specialty applications (e.g. military avionics or satellite) because of the high costs. Modern SOI technology can use silicon wafers, but require expensive and time consuming additional wafer processing steps to make an insulative silicon oxide layer that extends across the entire wafer below a surface layer of device-quality single-crystal silicon.
  • SOI wafers can be fabricated by bonding a silicon wafer to another silicon wafer (a "handle" wafer) that has an oxide layer on its surface. The pair of wafers are split apart, using a process that leaves a thin
  • transistor quality layer of single crystal silicon on top of the BOX layer on the handle wafer This is called the "layer transfer” technique, because it transfers a thin layer of silicon onto a thermally grown oxide layer of the handle wafer.
  • Fig. 1 illustrates a transistor with an improved dopant structure
  • Fig. 2 is a flow diagram of a process for forming the transistor with improved dopant structure
  • FIG. 3-6 schematically illustrate a portion of the process flow. Detailed Description:
  • Nanoscale bulk CMOS transistors are increasingly difficult to manufacture in part because VT scaling does not match VDD scaling.
  • reduction in gate length of a transistor included a roughly proportional reduction in operating voltage VDD, which together ensured a roughly equivalent electrical field and operating characteristics.
  • the ability to reduce the operating voltage VDD depends in part on being able to accurately set the threshold voltage VT, but that has become increasingly difficult as transistor dimensions decrease because of a variety of factors, including, for example, Random Dopant Fluctuation (RDF).
  • RDF Random Dopant Fluctuation
  • the primary parameter that sets the threshold voltage VT is the amount of dopants in the channel.
  • a Field Effect Transistor (FET) 100 is configured to have greatly reduced short channel effects, along with an ability to precisely set threshold voltage Vt according to certain described embodiments.
  • the FET 100 includes a gate electrode 102, source 104, drain 106, and a gate dielectric 108 positioned over a channel 1 10.
  • the channel 1 10 is deeply depleted, forming what can be described as deeply depleted channel (DDC) as compared to conventional transistors, with depletion depth set in part by a highly doped screening region 1 12. While the channel 1 10 is substantially undoped, and positioned as illustrated above a highly doped screening region 1 12, it may include simple or complex layering with different dopant concentrations.
  • This doped layering can include a threshold voltage set region 1 1 1 with a dopant concentration less than screening region 1 12, optionally positioned between the gate dielectric 108 and the screening region 1 12 in the channel 1 10.
  • a threshold voltage set region 1 1 1 permits small adjustments in operational threshold voltage of the FET 100, while leaving the bulk of the channel 1 10 substantially undoped. In particular, that portion of the channel 1 10 adjacent to the gate dielectric 108 should remain undoped.
  • a punch through suppression region 1 13 is formed beneath the screening region 1 12. Like the threshold voltage set region 1 1 1 , the punch through suppression region 1 13 has a dopant concentration less than screening region 1 12, while being higher than the overall dopant concentration of a lightly doped P-well substrate 1 14.
  • a bias voltage 122 VBS may be applied to source 104 to further modify operational threshold voltage
  • P+ terminal 126 can be connected to P-well 1 14 at connection 124 to close the circuit.
  • the gate stack includes a gate electrode 102, gate contact 1 18 and a gate dielectric 108.
  • Gate spacers 130 are included to separate the gate from the source and drain, and optional Source/Drain Extensions (SDE) 132, or “tips" extend the source and drain under the gate spacers and gate dielectric 108, somewhat reducing the gate length and improving electrical characteristics of FET 100.
  • SDE Source/Drain Extensions
  • the FET 100 is shown as an N-channel transistor having a source and drain made of N-type dopant material, formed upon a substrate as P-type doped silicon substrate providing a P-well 1 14 formed on a substrate 1 16.
  • a non-silicon P-type semiconductor transistor formed from other suitable substrates such as Gallium Arsenide based materials may be substituted.
  • the source 104 and drain 106 can be formed using conventional dopant implant processes and materials, and may include, for example, modifications such as stress inducing source/drain structures, raised and/or recessed source/drains, asymmetrically doped, counter-doped or crystal structure modified source/drains, or implant doping of source/drain extension regions according to LDD (low doped drain) techniques.
  • LDD low doped drain
  • Various other techniques to modify source/drain operational characteristics can also be used, including, in certain embodiments, use of heterogeneous dopant materials as compensation dopants to modify electrical characteristics.
  • the gate electrode 102 can be formed from conventional materials, preferably including, but not limited to, metals, metal alloys, metal nitrides and metal silicides, as well as laminates thereof and composites thereof.
  • the gate electrode 102 may also be formed from polysilicon, including, for example, highly doped polysilicon and polysilicon-germanium alloy.
  • Metals or metal alloys may include those containing aluminum, titanium, tantalum, or nitrides thereof, including titanium containing compounds such as titanium nitride.
  • Formation of the gate electrode 102 can include silicide methods, chemical vapor deposition methods and physical vapor deposition methods, such as, but not limited to, evaporative methods and sputtering methods.
  • the gate electrode 102 has an overall thickness from about 1 to about 500 nanometers.
  • the gate dielectric 108 may include conventional dielectric materials such as oxides, nitrides and oxynitrides. Alternatively, the gate dielectric 108 may include generally higher dielectric constant dielectric materials including, but not limited to hafnium oxides, hafnium silicates, zirconium oxides, lanthanum oxides, titanium oxides, barium-strontium-titanates and lead-zirconate-titanates, metal based dielectric materials, and other materials having dielectric properties.
  • Preferred hafnium- containing oxides include Hf0 2 , HfZrO x , HfSiO x , HfTiO x , HfA10 x , and the like.
  • the gate dielectric 108 may be formed by such methods as thermal or plasma oxidation, nitridation methods, chemical vapor deposition methods (including atomic layer deposition methods) and physical vapor deposition methods. In some embodiments, multiple or composite layers, laminates, and compositional mixtures of dielectric materials can be used.
  • a gate dielectric can be formed from a Si0 2 - based insulator having a thickness between about 0.3 and 1 nm and the hafnium oxide based insulator having a thickness between 0.5 and 4nm.
  • the gate dielectric 108 has an overall thickness from about 0.5 to about 5 nanometers.
  • the channel 110 is formed below the gate dielectric 108 and above the highly doped screening region 1 12.
  • the channel 1 10 also contacts and extends between, the source 104 and the drain 106.
  • the channel region includes substantially undoped silicon having a dopant concentration less than 5 x 10 dopant atoms per cm adjacent or near the gate dielectric 108.
  • Channel thickness can typically range from 5 to 50 nanometers.
  • the channel 1 10 is formed by epitaxial growth of pure or substantially pure silicon on the screening region.
  • the threshold voltage set region 1 1 1 is positioned above screening region 1 12, and is typically formed as a thin doped region or layer.
  • delta doping, controlled in-situ deposition, or atomic layer deposition can be used to form at least one dopant plane that is substantially parallel and vertically offset with respect to the screening region 1 12.
  • the threshold voltage set region 1 1 1 is doped to have a concentration between about 1 x 10 18 dopant atoms per cm 3 and about 1 x 10 19 dopant atoms per cm 3 .
  • the threshold voltage set region 111 is formed at a depth of 5 nanometers or greater from the gate dielectric 108, providing a high mobility channel with little or no dopant scattering centers.
  • the threshold voltage set region 1 1 1 can be formed by several different processes, including 1) in- situ epitaxial doping, 2) epitaxial growth of a thin layer of silicon followed by a tightly controlled dopant implant (e.g. delta doping), 3) epitaxial growth of a thin layer of silicon followed by dopant diffusion of atoms from the screening region 112, 4) post-dummy gate removal and precision implants, 5) by any combination of these processes (e.g. epitaxial growth of silicon followed by both dopant implant and diffusion from the screening layer 1 12, or delta doping followed by precision implants after dummy gate removal) .
  • Position of a highly doped screening region 1 12 typically sets depth of the depletion zone of an operating FET 100.
  • the screening region 1 12 (and associated depletion depth) are set at a depth that ranges from one comparable to the gate length (Lg/1) to a depth that is a large fraction of the gate length (Lg/5).
  • the typical range is between Lg/3 to Lg/1.5.
  • Devices having an Lg/2 or greater are preferred for extremely low power operation, while digital or analog devices operating at higher voltages can often be formed with a screening region between Lg/5 and Lg/2.
  • a transistor having a gate length of 32 nanometers could be formed to have a screening region that has a peak dopant density at a depth below the gate dielectric of about 16 nanometers (Lg/2), along with a voltage threshold set at peak dopant density at a depth of 8 nanometers (Lg/4).
  • a screening region and /or layer 112 is doped to have a concentration between about 5 x 10 dopant atoms per cm and about 1 x 10 dopant atoms per cm 3 , significantly more than the dopant concentration of the undoped channel, and at least slightly greater than the dopant concentration of the optional voltage threshold set region 11 1.
  • exact dopant concentrations and screening region depths can be modified to improve desired operating characteristics of FET 100, or to take in to account available transistor manufacturing processes and process conditions.
  • the punch through suppression region 1 13 is formed beneath the screening region 112.
  • the punch through suppression region 1 13 is formed by direct implant into a lightly doped well, but it can be formed by out diffusion from the screening region, in-situ growth, or other known process.
  • the punch through suppression region 1 13 has a dopant concentration less than the screening region 112, typically set between about 1 x 10 18 dopant atoms per cm 3 and about 1 x 10 19 dopant atoms per cm 3 .
  • the punch through suppression region 1 13 dopant concentration is set higher than the overall dopant concentration of the well substrate.
  • exact dopant concentrations and depths can be modified to improve desired operating characteristics of FET 100, or to take in to account available transistor manufacturing processes and process conditions.
  • the structures and the methods of making the structures allow for FET transistors having both a low operating voltage and a low threshold voltage as compared to conventional nanoscale devices.
  • DDC transistors can be configured to allow for the threshold voltage to be statically set with the aid of a voltage body bias generator.
  • the threshold voltage can even be dynamically controlled, allowing the transistor leakage currents to be greatly reduced (by setting the voltage bias to upwardly adjust the T for low leakage, low speed operation), or increased (by downwardly adjusting the VT for high leakage, high speed operation).
  • these structures and the methods of making structures provide for designing integrated circuits having FET devices that can be dynamically adjusted while the circuit is in operation.
  • transistors in an integrated circuit can be designed with nominally identical structure, and can be controlled, modulated or programmed to operate at different operating voltages in response to different bias voltages, or to operate in different operating modes in response to different bias voltages and operating voltages.
  • these can be configured post-fabrication for different applications within a circuit.
  • concentrations of atoms implanted or otherwise present in a substrate or crystalline layers of a semiconductor to modify physical and electrical characteristics of a semiconductor are be described in terms of physical and functional regions or layers. These may be understood by those skilled in the art as three- dimensional masses of material that have particular averages of concentrations. Or, they may be understood as sub-regions or sub-layers with different or spatially varying concentrations. They may also exist as small groups of dopant atoms, regions of substantially similar dopant atoms or the like, or other physical embodiments. Descriptions of the regions based on these properties are not intended to limit the shape, exact location or orientation.
  • regions or layers are also not intended to limit these regions or layers to any particular type or number of process steps, type or numbers of layers (e.g., composite or unitary), semiconductor deposition, etch techniques, or growth techniques utilized.
  • These processes may include epitaxially formed regions or atomic layer deposition, dopant implant methodologies or particular vertical or lateral dopant profiles, including linear, monotonically increasing, retrograde, or other suitable spatially varying dopant concentration.
  • dopant anti-migration techniques are contemplated, including low temperature processing, carbon doping, in-situ dopant deposition, and advanced flash or other annealing techniques.
  • the resultant dopant profile may have one or more regions or layers with different dopant concentrations, and the variations in concentrations and how the regions or layers are defined, regardless of process, may or may not be detectable via techniques including infrared spectroscopy, Rutherford Back Scattering (RBS), Secondary Ion Mass Spectroscopy (SIMS), or other dopant analysis tools using different qualitative or quantitative dopant concentration determination methodologies.
  • infrared spectroscopy Rutherford Back Scattering (RBS), Secondary Ion Mass Spectroscopy (SIMS), or other dopant analysis tools using different qualitative or quantitative dopant concentration determination methodologies.
  • RBS Rutherford Back Scattering
  • SIMS Secondary Ion Mass Spectroscopy
  • Forming such a FET 100 is relatively simple compared to SOI or finFET transistors, since planar CMOS processing techniques can be readily adapted.
  • maintaining a desired dopant profile can present challenges, since high temperatures during processing can promote movement of interstitially deposited dopants, and even relatively low temperatures, if maintained for an extended time, can promote diffusion of dopants from a screening region, for example, into the channel.
  • Processes that delay some or all dopant implant to later stages in the transistor manufacture process, which typically have lower temperature, are helpful for limiting dopant migration that can adversely affect transistor operation. Further related technical details are discussed in US Patent Application No.12/708,497, titled “Electronic Devices and Systems, and Methods for Making and Using the Same", filed February 18, 2010, the disclosure of which is incorporated by reference herein.
  • Fig. 2 is a process flow diagram 300 illustrating one exemplary process for forming a transistor that reduces dopant migration by using a sacrificial dummy gate and a screening region suitable for different types of FET structures, including both analog and digital transistors.
  • the process illustrated here is intended to be general and broad in its description in order not to obscure the inventive concepts, and more detailed embodiments and examples as set forth below. These along with other process steps allow for the processing and manufacture of integrated circuits that include DDC structured devices together with legacy devices, allowing for designs to cover a full range of analog and digital devices with improved performance and lower power.
  • Step 302 the process begins at the well formation, which may be one of many different processes according to different embodiments and examples.
  • the well formation may be before or after STI (shallow trench isolation) formation 304, depending on the application and results desired.
  • Boron (B), indium (I) or other P-type materials may be used for P-type implants, and arsenic (As) or phosphorous (P) and other N-type materials may be used for N-type implants.
  • the P+ implant may be implanted within a range from 10 to 80keV, and at concentrations from 1 x 10 to 8 x 10 /cm .
  • As+ may be implanted within a range of 5 to 60keV, and at concentrations from 1 x 10 to 8 x 10 /cm .
  • the boron implant B+ implant may be within a range of 0.5 to 5keV, and within a concentration range of 1 x 10 to 8 x 10 /cm .
  • a germanium implant Ge+ may be performed within a range of 10 to 60keV, and at a concentration of 1 x 10 14 to 5x10 14 /cm 2 .
  • a carbon implant, C+ may be performed at a range of 0.5 to 5keV, and at a concentration of 1 x 10 to 8 x 10 /cm .
  • Well implants may include sequential implant, and/or epitaxial growth and implant, of punch through suppression regions, with the screen regions having a higher dopant density than the punch through suppression region.
  • the well formation 302 may include a beam line implant of Ge/B (N), As (P), followed by an epitaxial (EPI) pre-clean process, and followed finally non-selective blanket EPI deposition, as shown in 302A.
  • the well may be formed using a plasma implant of B (N), As (P), followed by an EPI pre- clean, then finally a non-selective (blanket) EPI deposition, 302B.
  • the well formation may alternatively include a solid-source diffusion of B(N), As(P), followed by an EPI pre-clean, and followed finally by a non-selective (blanket) EPI deposition, 302C.
  • well formation may simply include deep well implants, followed by in-situ doped selective EPI of B (N), P (P).
  • N B
  • P P
  • only a punch through suppression region is deposited, or only a punch through suppression region and a screening region, with the threshold voltage set region being later implanted (after dummy gate removal).
  • Shallow trench isolation (STI) formation 304 which, again, may occur before or after well formation 302, may include a low temperature trench sacrificial oxide (TSOX) liner at a temperature lower than 900°C.
  • the gate stack 306 is typically formed using polysilicon, polysilicon, amorphous silicon, or other suitable material capable of deposited, photo lithographically defined, etched, and/or removed and acts as a dummy gate that later in the process is removed and replaced with an alternative gate material.
  • Source/Drain tips may be implanted, or optionally may not be implanted depending on the application.
  • the dimensions of the tips can be varied as required, and will depend in part on whether gate spacers (SPCR) are used. In one option, there may be no tip implant in 308 A.
  • PMOS or NMOS EPI layers may be formed in the source and drain regions as performance enhancers for creating strained channels.
  • a gate-last module 314 allows for dummy gate removal, implants deep into the channel, and build-up of a new gate, typically a band edge metal or metal alloy. Gate last module processing begins with removal of polysilicon or other material forming the gate. This is better illustrated by Figs.
  • transistors 400 including a PMOS transistor 402 and an NMOS transistor 404 that have been formed by a process such as disclosed with respect to Fig. 2 and are ready for dummy gate removal in the gate last module processing step.
  • the transistors 402 and 404 are formed on a P-type silicon substrate 406, and support a P-well 408 and N-well 410. While not required for all transistors, isolation and ability to bias selected wells is improved by provision on shallow N-well 412 and shallow P-well 414. Transistor isolation is provided in part by shallow trench isolation structures 416.
  • source and drains of the transistors 402 are formed from differentially doped multilayers 418 and 420.
  • Transistor 402 also has channel tip structures 422, and a dummy gate 426 with sidewall spacers 424.
  • transistor 404 has differentially doped multilayers 428 and 430 to form sources and drain, along with channel tip structures 432, and a dummy gate 436 with sidewall spacers 434.
  • dummy gates 426 and 436 can be simultaneously removed, leaving respective gate regions 425 and 435. With the dummy gates removed, channels 427 and 437 can be deeply implanted with dopants, creating or augmenting a threshold voltage setting region, a screening region, or even a punch through suppression region. Dopant introduction must be carefully done to leave a substantially undoped region in contact with the gate, as previously described in connection with Fig. 1.
  • Preferred dopant implant processes include plasma doping, which is capable of providing tightly defined dopant profiles, while minimizing crystallographic damage.
  • Plasma doping creates a pulse or "package" of dopants that is delivered to the silicon substrate within a narrowly defined energy range and time. Short pulse lengths and short plasma life time combine to minimize both particle nucleation and the risk of etching of pre-existing surface films.
  • the plasma process can include long relaxation times after each pulse to allow stored charges to effectively drain away and reduce risk of dielectric damage. Alternatively, solid state doping or other advanced doping technique capable of maintaining low dopant concentration in the channel near the gate dielectric. After carefully controlled dopant implant, new gate stacks 460 and 464 can fill in the gate regions 425 and 435.
  • a high-k dielectric can be formed on the surface of the channel, along with a gate liner 458 and 462.
  • Metal or metal alloy gates are preferred. Care is taken during these gate formation steps to maintain as low as temperature as feasible, which helps prevent channel dopant migration. Low temperature anneals can be used to activate dopants and remedy (by annealing) damage to the crystal structure caused by dummy gate removal and dopant implant.

Abstract

A field effect transistor structure and method includes a well doped to have a first concentration of a dopant and a lightly or substantially undoped channel region. A highly doped screening region is positioned between the well and a gate. A threshold voltage set region can be formed at least in part by dopant implant after dummy gate removal. This allows for low power and good performance transistors capable of being manufactured by widely available planar CMOS processes.

Description

Advanced Transistors with Structured Low Dopant Channels
Field of the Invention:
This disclosure relates to structures and processes for forming advanced transistors with improved operational characteristics, including low doped channels and processing that includes gate removal.
Background of the Invention:
Fitting more transistors onto a single die is desirable to reduce cost of electronics and improve their functional capability. A common strategy employed by semiconductor manufacturers is to simply reduce gate size of a field effect transistor (FET), and proportionally shrink area of the transistor source, drain, and required interconnects between transistors. However, a simple proportional shrink is not always possible, in part because of what are known as "short channel effects". Short channel effects are particularly acute when channel length under a transistor gate is comparable in magnitude to depletion depth of an operating transistor, and include reduction in threshold voltage, severe surface scattering, drain induced barrier lowering (DIBL), source-drain punch through, and electron mobility issues. To try to continue downward scaling, semiconductor manufacturers have investigated high channel doping, retrograde doping, steep retrograde doping, and other advanced techniques, but making commercially practical transistor devices with gate length under 100 nanometers (nanoscale) is becoming increasingly difficult.
Many semiconductor manufacturers have attempted to avoid certain adverse short channel effects in transistors with nanoscale gate transistor sizes by employing new transistor types, including fully or partially depleted silicon on insulator (SOI) transistors. SOI transistors are built on a thin layer of silicon that overlies an insulator layer, and generally require VT setting channel implants or halo implants for operation. Unfortunately, creating a suitable insulator layer is expensive and difficult to accomplish. Early SOI devices were built on insulative sapphire wafers instead of silicon wafers, and are typically only used in specialty applications (e.g. military avionics or satellite) because of the high costs. Modern SOI technology can use silicon wafers, but require expensive and time consuming additional wafer processing steps to make an insulative silicon oxide layer that extends across the entire wafer below a surface layer of device-quality single-crystal silicon.
One common approach to making such a silicon oxide layer on a silicon wafer requires
high dose ion implantation of oxygen and high temperature annealing to form a buried oxide (BOX) layer in a bulk silicon wafer. Alternatively, SOI wafers can be fabricated by bonding a silicon wafer to another silicon wafer (a "handle" wafer) that has an oxide layer on its surface. The pair of wafers are split apart, using a process that leaves a thin
transistor quality layer of single crystal silicon on top of the BOX layer on the handle wafer. This is called the "layer transfer" technique, because it transfers a thin layer of silicon onto a thermally grown oxide layer of the handle wafer.
As would be expected, both BOX formation or layer transfer are costly manufacturing techniques with a relatively high failure rate. Accordingly, manufacture of SOI transistors is not an economically attractive solution for many leading manufacturers. When cost of transistor redesign to cope with "floating body" effects, the need to develop new SOI specific transistor processes, and other circuit changes is added to SOI wafer costs, it is clear that other solutions are needed.
Another possible advanced transistor that has been investigated uses multiple gate transistors that, like SOI transistors, minimize adverse scaling and short channel effects by having little or no doping in the channel. Commonly known as a finFET (due to a fin-like shaped channel partially surrounded by gates), use of finFET transistors has been proposed for transistors having 28 nanometer or lower transistor gate size. But again, like SOI transistors, while moving to a radically new transistor architecture solves some scaling, Vj, set point, and short channel effect issues, it creates others, requiring even more significant transistor layout redesign than SOI. Considering the likely need for complex non-planar transistor manufacturing techniques to make a finFET, and the unknown difficulty in creating a new process flow for finFET, manufacturers have been reluctant to invest in semiconductor fabrication facilities capable of making finFETs. Brief Description of the Drawings:
Fig. 1 illustrates a transistor with an improved dopant structure;
Fig. 2 is a flow diagram of a process for forming the transistor with improved dopant structure; and
Figs. 3-6 schematically illustrate a portion of the process flow. Detailed Description:
Nanoscale bulk CMOS transistors (those typically having a gate length less than 100 nanometers) are increasingly difficult to manufacture in part because VT scaling does not match VDD scaling. Normally, for transistors having a gate size greater than 100 nanometers, reduction in gate length of a transistor included a roughly proportional reduction in operating voltage VDD, which together ensured a roughly equivalent electrical field and operating characteristics. The ability to reduce the operating voltage VDD, depends in part on being able to accurately set the threshold voltage VT, but that has become increasingly difficult as transistor dimensions decrease because of a variety of factors, including, for example, Random Dopant Fluctuation (RDF). For transistors made using bulk CMOS processes, the primary parameter that sets the threshold voltage VT is the amount of dopants in the channel. In theory, this can be done precisely, such that the same transistors on the same chip will have the same V , but in reality the threshold voltages can vary significantly. This means that these transistors will not all switch on at the same time in response to the same gate voltage, and some may never switch on. For nanoscale transistors having a gate and channel length of 100 nm or less, RDF is a major determinant of variations in VT, typically referred to as sigmaVT or aVr, and the amount of oYy caused by RDF only increases as channel length decreases. An improved transistor manufacturable on bulk CMOS substrates using conventional planar CMOS processes is seen in Fig. 1 . A Field Effect Transistor (FET) 100 is configured to have greatly reduced short channel effects, along with an ability to precisely set threshold voltage Vt according to certain described embodiments. The FET 100 includes a gate electrode 102, source 104, drain 106, and a gate dielectric 108 positioned over a channel 1 10. In operation, the channel 1 10 is deeply depleted, forming what can be described as deeply depleted channel (DDC) as compared to conventional transistors, with depletion depth set in part by a highly doped screening region 1 12. While the channel 1 10 is substantially undoped, and positioned as illustrated above a highly doped screening region 1 12, it may include simple or complex layering with different dopant concentrations. This doped layering can include a threshold voltage set region 1 1 1 with a dopant concentration less than screening region 1 12, optionally positioned between the gate dielectric 108 and the screening region 1 12 in the channel 1 10. A threshold voltage set region 1 1 1 permits small adjustments in operational threshold voltage of the FET 100, while leaving the bulk of the channel 1 10 substantially undoped. In particular, that portion of the channel 1 10 adjacent to the gate dielectric 108 should remain undoped. Additionally, a punch through suppression region 1 13 is formed beneath the screening region 1 12. Like the threshold voltage set region 1 1 1 , the punch through suppression region 1 13 has a dopant concentration less than screening region 1 12, while being higher than the overall dopant concentration of a lightly doped P-well substrate 1 14.
In operation, a bias voltage 122 VBS may be applied to source 104 to further modify operational threshold voltage, and P+ terminal 126 can be connected to P-well 1 14 at connection 124 to close the circuit. The gate stack includes a gate electrode 102, gate contact 1 18 and a gate dielectric 108. Gate spacers 130 are included to separate the gate from the source and drain, and optional Source/Drain Extensions (SDE) 132, or "tips" extend the source and drain under the gate spacers and gate dielectric 108, somewhat reducing the gate length and improving electrical characteristics of FET 100. In this exemplary embodiment, the FET 100 is shown as an N-channel transistor having a source and drain made of N-type dopant material, formed upon a substrate as P-type doped silicon substrate providing a P-well 1 14 formed on a substrate 1 16. However, it will be understood that, with appropriate change to substrate or dopant material, a non-silicon P-type semiconductor transistor formed from other suitable substrates such as Gallium Arsenide based materials may be substituted. The source 104 and drain 106 can be formed using conventional dopant implant processes and materials, and may include, for example, modifications such as stress inducing source/drain structures, raised and/or recessed source/drains, asymmetrically doped, counter-doped or crystal structure modified source/drains, or implant doping of source/drain extension regions according to LDD (low doped drain) techniques. Various other techniques to modify source/drain operational characteristics can also be used, including, in certain embodiments, use of heterogeneous dopant materials as compensation dopants to modify electrical characteristics.
The gate electrode 102 can be formed from conventional materials, preferably including, but not limited to, metals, metal alloys, metal nitrides and metal silicides, as well as laminates thereof and composites thereof. In certain embodiments the gate electrode 102 may also be formed from polysilicon, including, for example, highly doped polysilicon and polysilicon-germanium alloy. Metals or metal alloys may include those containing aluminum, titanium, tantalum, or nitrides thereof, including titanium containing compounds such as titanium nitride. Formation of the gate electrode 102 can include silicide methods, chemical vapor deposition methods and physical vapor deposition methods, such as, but not limited to, evaporative methods and sputtering methods. Typically, the gate electrode 102 has an overall thickness from about 1 to about 500 nanometers.
The gate dielectric 108 may include conventional dielectric materials such as oxides, nitrides and oxynitrides. Alternatively, the gate dielectric 108 may include generally higher dielectric constant dielectric materials including, but not limited to hafnium oxides, hafnium silicates, zirconium oxides, lanthanum oxides, titanium oxides, barium-strontium-titanates and lead-zirconate-titanates, metal based dielectric materials, and other materials having dielectric properties. Preferred hafnium- containing oxides include Hf02, HfZrOx, HfSiOx, HfTiOx, HfA10x, and the like. Depending on composition and available deposition processing equipment, the gate dielectric 108 may be formed by such methods as thermal or plasma oxidation, nitridation methods, chemical vapor deposition methods (including atomic layer deposition methods) and physical vapor deposition methods. In some embodiments, multiple or composite layers, laminates, and compositional mixtures of dielectric materials can be used. For example, a gate dielectric can be formed from a Si02- based insulator having a thickness between about 0.3 and 1 nm and the hafnium oxide based insulator having a thickness between 0.5 and 4nm. Typically, the gate dielectric 108 has an overall thickness from about 0.5 to about 5 nanometers.
The channel 110 is formed below the gate dielectric 108 and above the highly doped screening region 1 12. The channel 1 10 also contacts and extends between, the source 104 and the drain 106. Preferably, the channel region includes substantially undoped silicon having a dopant concentration less than 5 x 10 dopant atoms per cm adjacent or near the gate dielectric 108. Channel thickness can typically range from 5 to 50 nanometers. In certain embodiments the channel 1 10 is formed by epitaxial growth of pure or substantially pure silicon on the screening region. As disclosed, the threshold voltage set region 1 1 1 is positioned above screening region 1 12, and is typically formed as a thin doped region or layer. In certain embodiments, delta doping, controlled in-situ deposition, or atomic layer deposition can be used to form at least one dopant plane that is substantially parallel and vertically offset with respect to the screening region 1 12. Suitably varying dopant concentration, thickness, and separation from the gate dielectric and the screening region allows for controlled slight adjustments of threshold voltage in the operating FET 100. In certain embodiments, the threshold voltage set region 1 1 1 is doped to have a concentration between about 1 x 1018 dopant atoms per cm3 and about 1 x 1019 dopant atoms per cm3. In certain embodiments, the threshold voltage set region 111 is formed at a depth of 5 nanometers or greater from the gate dielectric 108, providing a high mobility channel with little or no dopant scattering centers. The threshold voltage set region 1 1 1 can be formed by several different processes, including 1) in- situ epitaxial doping, 2) epitaxial growth of a thin layer of silicon followed by a tightly controlled dopant implant (e.g. delta doping), 3) epitaxial growth of a thin layer of silicon followed by dopant diffusion of atoms from the screening region 112, 4) post-dummy gate removal and precision implants, 5) by any combination of these processes (e.g. epitaxial growth of silicon followed by both dopant implant and diffusion from the screening layer 1 12, or delta doping followed by precision implants after dummy gate removal) .
Position of a highly doped screening region 1 12 typically sets depth of the depletion zone of an operating FET 100. Advantageously, the screening region 1 12 (and associated depletion depth) are set at a depth that ranges from one comparable to the gate length (Lg/1) to a depth that is a large fraction of the gate length (Lg/5). In preferred embodiments, the typical range is between Lg/3 to Lg/1.5. Devices having an Lg/2 or greater are preferred for extremely low power operation, while digital or analog devices operating at higher voltages can often be formed with a screening region between Lg/5 and Lg/2. For example, a transistor having a gate length of 32 nanometers could be formed to have a screening region that has a peak dopant density at a depth below the gate dielectric of about 16 nanometers (Lg/2), along with a voltage threshold set at peak dopant density at a depth of 8 nanometers (Lg/4).
In certain embodiments, a screening region and /or layer 112 is doped to have a concentration between about 5 x 10 dopant atoms per cm and about 1 x 10 dopant atoms per cm3, significantly more than the dopant concentration of the undoped channel, and at least slightly greater than the dopant concentration of the optional voltage threshold set region 11 1. As will be appreciated, exact dopant concentrations and screening region depths can be modified to improve desired operating characteristics of FET 100, or to take in to account available transistor manufacturing processes and process conditions. To help control leakage, the punch through suppression region 1 13 is formed beneath the screening region 112. Typically, the punch through suppression region 1 13 is formed by direct implant into a lightly doped well, but it can be formed by out diffusion from the screening region, in-situ growth, or other known process. Like the threshold voltage set region 1 1 1, the punch through suppression region 1 13 has a dopant concentration less than the screening region 112, typically set between about 1 x 1018 dopant atoms per cm3 and about 1 x 1019 dopant atoms per cm3. In addition, the punch through suppression region 1 13 dopant concentration is set higher than the overall dopant concentration of the well substrate. As will be appreciated, exact dopant concentrations and depths can be modified to improve desired operating characteristics of FET 100, or to take in to account available transistor manufacturing processes and process conditions.
Together, the structures and the methods of making the structures allow for FET transistors having both a low operating voltage and a low threshold voltage as compared to conventional nanoscale devices. Furthermore, DDC transistors can be configured to allow for the threshold voltage to be statically set with the aid of a voltage body bias generator. In some embodiments the threshold voltage can even be dynamically controlled, allowing the transistor leakage currents to be greatly reduced (by setting the voltage bias to upwardly adjust the T for low leakage, low speed operation), or increased (by downwardly adjusting the VT for high leakage, high speed operation). Ultimately, these structures and the methods of making structures provide for designing integrated circuits having FET devices that can be dynamically adjusted while the circuit is in operation. Thus, transistors in an integrated circuit can be designed with nominally identical structure, and can be controlled, modulated or programmed to operate at different operating voltages in response to different bias voltages, or to operate in different operating modes in response to different bias voltages and operating voltages. In addition, these can be configured post-fabrication for different applications within a circuit.
As will be appreciated, concentrations of atoms implanted or otherwise present in a substrate or crystalline layers of a semiconductor to modify physical and electrical characteristics of a semiconductor are be described in terms of physical and functional regions or layers. These may be understood by those skilled in the art as three- dimensional masses of material that have particular averages of concentrations. Or, they may be understood as sub-regions or sub-layers with different or spatially varying concentrations. They may also exist as small groups of dopant atoms, regions of substantially similar dopant atoms or the like, or other physical embodiments. Descriptions of the regions based on these properties are not intended to limit the shape, exact location or orientation. They are also not intended to limit these regions or layers to any particular type or number of process steps, type or numbers of layers (e.g., composite or unitary), semiconductor deposition, etch techniques, or growth techniques utilized. These processes may include epitaxially formed regions or atomic layer deposition, dopant implant methodologies or particular vertical or lateral dopant profiles, including linear, monotonically increasing, retrograde, or other suitable spatially varying dopant concentration. To ensure that desired dopant concentrations are maintained, various dopant anti-migration techniques, are contemplated, including low temperature processing, carbon doping, in-situ dopant deposition, and advanced flash or other annealing techniques. The resultant dopant profile may have one or more regions or layers with different dopant concentrations, and the variations in concentrations and how the regions or layers are defined, regardless of process, may or may not be detectable via techniques including infrared spectroscopy, Rutherford Back Scattering (RBS), Secondary Ion Mass Spectroscopy (SIMS), or other dopant analysis tools using different qualitative or quantitative dopant concentration determination methodologies.
Forming such a FET 100 is relatively simple compared to SOI or finFET transistors, since planar CMOS processing techniques can be readily adapted. However, maintaining a desired dopant profile can present challenges, since high temperatures during processing can promote movement of interstitially deposited dopants, and even relatively low temperatures, if maintained for an extended time, can promote diffusion of dopants from a screening region, for example, into the channel. Processes that delay some or all dopant implant to later stages in the transistor manufacture process, which typically have lower temperature, are helpful for limiting dopant migration that can adversely affect transistor operation. Further related technical details are discussed in US Patent Application No.12/708,497, titled "Electronic Devices and Systems, and Methods for Making and Using the Same", filed February 18, 2010, the disclosure of which is incorporated by reference herein.
Fig. 2 is a process flow diagram 300 illustrating one exemplary process for forming a transistor that reduces dopant migration by using a sacrificial dummy gate and a screening region suitable for different types of FET structures, including both analog and digital transistors. The process illustrated here is intended to be general and broad in its description in order not to obscure the inventive concepts, and more detailed embodiments and examples as set forth below. These along with other process steps allow for the processing and manufacture of integrated circuits that include DDC structured devices together with legacy devices, allowing for designs to cover a full range of analog and digital devices with improved performance and lower power. In Step 302, the process begins at the well formation, which may be one of many different processes according to different embodiments and examples. As indicated in 303, the well formation may be before or after STI (shallow trench isolation) formation 304, depending on the application and results desired. Boron (B), indium (I) or other P-type materials may be used for P-type implants, and arsenic (As) or phosphorous (P) and other N-type materials may be used for N-type implants. For the PMOS well implants, the P+ implant may be implanted within a range from 10 to 80keV, and at concentrations from 1 x 10 to 8 x 10 /cm . As+ may be implanted within a range of 5 to 60keV, and at concentrations from 1 x 10 to 8 x 10 /cm . For NMOS well implants, the boron implant B+ implant may be within a range of 0.5 to 5keV, and within a concentration range of 1 x 10 to 8 x 10 /cm . A germanium implant Ge+, may be performed within a range of 10 to 60keV, and at a concentration of 1 x 1014 to 5x1014 /cm2. To reduce dopant migration, a carbon implant, C+ may be performed at a range of 0.5 to 5keV, and at a concentration of 1 x 10 to 8 x 10 /cm . Well implants may include sequential implant, and/or epitaxial growth and implant, of punch through suppression regions, with the screen regions having a higher dopant density than the punch through suppression region. In some embodiments the well formation 302 may include a beam line implant of Ge/B (N), As (P), followed by an epitaxial (EPI) pre-clean process, and followed finally non-selective blanket EPI deposition, as shown in 302A. Alternatively, the well may be formed using a plasma implant of B (N), As (P), followed by an EPI pre- clean, then finally a non-selective (blanket) EPI deposition, 302B. The well formation may alternatively include a solid-source diffusion of B(N), As(P), followed by an EPI pre-clean, and followed finally by a non-selective (blanket) EPI deposition, 302C. As yet another alternative, well formation may simply include deep well implants, followed by in-situ doped selective EPI of B (N), P (P). In other embodiments, only a punch through suppression region is deposited, or only a punch through suppression region and a screening region, with the threshold voltage set region being later implanted (after dummy gate removal). Embodiments described herein allow for any one of a number of devices configured on a common substrate with different well structures and according to different parameters.
Shallow trench isolation (STI) formation 304, which, again, may occur before or after well formation 302, may include a low temperature trench sacrificial oxide (TSOX) liner at a temperature lower than 900°C. The gate stack 306 is typically formed using polysilicon, polysilicon, amorphous silicon, or other suitable material capable of deposited, photo lithographically defined, etched, and/or removed and acts as a dummy gate that later in the process is removed and replaced with an alternative gate material.
Next, in step 308, Source/Drain tips may be implanted, or optionally may not be implanted depending on the application. The dimensions of the tips can be varied as required, and will depend in part on whether gate spacers (SPCR) are used. In one option, there may be no tip implant in 308 A. Next, in optional steps 310 and 312, PMOS or NMOS EPI layers may be formed in the source and drain regions as performance enhancers for creating strained channels. A gate-last module 314 allows for dummy gate removal, implants deep into the channel, and build-up of a new gate, typically a band edge metal or metal alloy. Gate last module processing begins with removal of polysilicon or other material forming the gate. This is better illustrated by Figs. 3-6, which show a pair of transistors 400, including a PMOS transistor 402 and an NMOS transistor 404 that have been formed by a process such as disclosed with respect to Fig. 2 and are ready for dummy gate removal in the gate last module processing step. In this example embodiment, the transistors 402 and 404 are formed on a P-type silicon substrate 406, and support a P-well 408 and N-well 410. While not required for all transistors, isolation and ability to bias selected wells is improved by provision on shallow N-well 412 and shallow P-well 414. Transistor isolation is provided in part by shallow trench isolation structures 416.
As seen in Figure 3, source and drains of the transistors 402 are formed from differentially doped multilayers 418 and 420. Transistor 402 also has channel tip structures 422, and a dummy gate 426 with sidewall spacers 424. Similarly, transistor 404 has differentially doped multilayers 428 and 430 to form sources and drain, along with channel tip structures 432, and a dummy gate 436 with sidewall spacers 434.
As seen in Figures 4-6, dummy gates 426 and 436 can be simultaneously removed, leaving respective gate regions 425 and 435. With the dummy gates removed, channels 427 and 437 can be deeply implanted with dopants, creating or augmenting a threshold voltage setting region, a screening region, or even a punch through suppression region. Dopant introduction must be carefully done to leave a substantially undoped region in contact with the gate, as previously described in connection with Fig. 1.
Preferred dopant implant processes include plasma doping, which is capable of providing tightly defined dopant profiles, while minimizing crystallographic damage. Plasma doping creates a pulse or "package" of dopants that is delivered to the silicon substrate within a narrowly defined energy range and time. Short pulse lengths and short plasma life time combine to minimize both particle nucleation and the risk of etching of pre-existing surface films. The plasma process can include long relaxation times after each pulse to allow stored charges to effectively drain away and reduce risk of dielectric damage. Alternatively, solid state doping or other advanced doping technique capable of maintaining low dopant concentration in the channel near the gate dielectric. After carefully controlled dopant implant, new gate stacks 460 and 464 can fill in the gate regions 425 and 435. In certain embodiments a high-k dielectric can be formed on the surface of the channel, along with a gate liner 458 and 462. Metal or metal alloy gates are preferred. Care is taken during these gate formation steps to maintain as low as temperature as feasible, which helps prevent channel dopant migration. Low temperature anneals can be used to activate dopants and remedy (by annealing) damage to the crystal structure caused by dummy gate removal and dopant implant.
While certain exemplary embodiments have been described and shown in the accompanying drawings, it is to be understood that such embodiments are merely illustrative of and not restrictive on the broad invention, and that this invention not be limited to the specific constructions and arrangements shown and described, since various other modifications may occur to those ordinarily skilled in the art. Accordingly, the specification and drawings are to be regarded in an illustrative rather than a restrictive sense.

Claims

Claims:
1. A field effect transistor structure, comprising
a well doped to have a first concentration of a dopant,
a screening region positioned between the well and a gate and having a second concentration of dopant greater than 5 10 dopant atoms per cm , and
a threshold voltage set region formed at least in part by dopant implant after dummy gate removal.
2. The field effect transistor structure of claim 1, wherein dopants defining the threshold voltage set region is deposited by plasma doping.
3. The field effect transistor structure of claim 1 , wherein the threshold voltage set region is positioned in a channel defined between a source and a drain at a depth greater than 5 nanometers from a gate.
4. The field effect transistor structure of claim 1, wherein dopants are implanted between a source and a drain, and below a gate, both before dummy gate removal and after dummy gate removal.
5. The field effect transistor structure of claim 1, further comprising a channel doped to have a density less than about 5 x 1017 dopant atoms per cm3 adjacent to a gate dielectric.
6. A field effect transistor structure, comprising
a well doped to have a first concentration of a dopant,
a screening region positioned between the well and a gate and having a second concentration of dopant greater than 5 x 1018 dopant atoms per cm3 formed at least in part by dopant implant after dummy gate removal.
7. The field effect transistor structure of claim 6, further comprising a threshold voltage set region deposited between the screening region and the gate.
8. The field effect transistor structure of claim 6, further comprising a threshold voltage set region deposited between the screening region and the gate, wherein the threshold voltage set region is doped to have less than 5 x 10 dopant atoms per cm and positioned in a channel defined between a source and a drain at a depth greater than 5 nanometers from a gate.
9. A method for forming a field effect transistor structure, comprising the steps of forming a well doped to have a first concentration of a dopant,
removing a dummy gate
implanting a screening region into the well having a dopant concentration dopant of greater than 5 x 1018 dopant atoms per cm3 , and
reforming a gate having gate length Lg between a source and a drain, and above the screening region.
10. The method of claim 9 for forming a field effect transistor structure, wherein the screening region is implanted at a depth below the gate selected to be between about Lg/5 and about Lg/1.
1 1. The method of claim 9 for forming a field effect transistor structure, further comprising the step of forming a threshold voltage set region positioned in a channel defined between the source and the drain at a depth greater than 5 nanometers from a gate.
12. The method of claim 9 for forming a field effect transistor structure, wherein dopants are implanted between a source and a drain, and below a gate, both before dummy gate removal and after dummy gate removal.
13. The method of claim 9 for forming a field effect transistor structure wherein a channel defined between the source and the drain has a dopant density less than about 5 x 1017 dopant atoms per cm3 adjacent to a gate dielectric.
14. The method of claim 9 wherein the reformed gate is metal.
PCT/US2010/055560 2010-11-05 2010-11-05 Advanced transistors with structured low dopant channels WO2012060839A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020117023427A KR101178016B1 (en) 2010-11-05 2010-11-05 Advanced transistors with structured low dopant channels
PCT/US2010/055560 WO2012060839A1 (en) 2010-11-05 2010-11-05 Advanced transistors with structured low dopant channels

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2010/055560 WO2012060839A1 (en) 2010-11-05 2010-11-05 Advanced transistors with structured low dopant channels

Publications (1)

Publication Number Publication Date
WO2012060839A1 true WO2012060839A1 (en) 2012-05-10

Family

ID=46024743

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2010/055560 WO2012060839A1 (en) 2010-11-05 2010-11-05 Advanced transistors with structured low dopant channels

Country Status (2)

Country Link
KR (1) KR101178016B1 (en)
WO (1) WO2012060839A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013105706A1 (en) 2012-06-07 2013-12-12 Samsung Electronics Co. Ltd. Interface circuit for memory system used in computing system, has frame detection circuit that detects frame signal at one of output terminals of primary output terminals

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020033511A1 (en) * 2000-09-15 2002-03-21 Babcock Jeffrey A. Advanced CMOS using super steep retrograde wells
US20020074612A1 (en) * 2000-03-31 2002-06-20 National Semiconductor Corporation Fabrication of field-effect transistor for alleviating short-channel effects and/or reducing junction capacitance
US20020185682A1 (en) * 2001-06-07 2002-12-12 Mahalingam Nandakumar Additional n-type LDD/pocket implant for improving short-channel NMOS ESD robustness
US20060091473A1 (en) * 2002-08-19 2006-05-04 Fujitsu Limited Semiconductor device, manufacturing method thereof, and CMOS integrated circuit device

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2788629B1 (en) * 1999-01-15 2003-06-20 Commissariat Energie Atomique TRANSISTOR MIS AND METHOD FOR FABRICATING SUCH A TRANSISTOR ON A SEMICONDUCTOR SUBSTRATE

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020074612A1 (en) * 2000-03-31 2002-06-20 National Semiconductor Corporation Fabrication of field-effect transistor for alleviating short-channel effects and/or reducing junction capacitance
US20020033511A1 (en) * 2000-09-15 2002-03-21 Babcock Jeffrey A. Advanced CMOS using super steep retrograde wells
US20020185682A1 (en) * 2001-06-07 2002-12-12 Mahalingam Nandakumar Additional n-type LDD/pocket implant for improving short-channel NMOS ESD robustness
US20060091473A1 (en) * 2002-08-19 2006-05-04 Fujitsu Limited Semiconductor device, manufacturing method thereof, and CMOS integrated circuit device

Also Published As

Publication number Publication date
KR20120060777A (en) 2012-06-12
KR101178016B1 (en) 2012-08-29

Similar Documents

Publication Publication Date Title
US10325986B2 (en) Advanced transistors with punch through suppression
US20150340460A1 (en) Advanced transistors with threshold voltage set dopant structures
US11757002B2 (en) Reduced local threshold voltage variation MOSFET using multiple layers of epi for improved device operation
US8404551B2 (en) Source/drain extension control for advanced transistors
US20050087824A1 (en) High performance fet with laterally thin extension
JP2013545289A (en) Method and structure for pFET junction profile with SiGe channel
US8664068B2 (en) Low-diffusion drain and source regions in CMOS transistors for low power/high performance applications
US20130032877A1 (en) N-channel transistor comprising a high-k metal gate electrode structure and a reduced series resistance by epitaxially formed semiconductor material in the drain and source areas
KR101178016B1 (en) Advanced transistors with structured low dopant channels
US11488871B2 (en) Transistor structure with multiple halo implants having epitaxial layer over semiconductor-on-insulator substrate

Legal Events

Date Code Title Description
ENP Entry into the national phase

Ref document number: 20117023427

Country of ref document: KR

Kind code of ref document: A

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10859375

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 10859375

Country of ref document: EP

Kind code of ref document: A1