WO2009002644A2 - Methods of making hierarchical articles - Google Patents

Methods of making hierarchical articles Download PDF

Info

Publication number
WO2009002644A2
WO2009002644A2 PCT/US2008/064631 US2008064631W WO2009002644A2 WO 2009002644 A2 WO2009002644 A2 WO 2009002644A2 US 2008064631 W US2008064631 W US 2008064631W WO 2009002644 A2 WO2009002644 A2 WO 2009002644A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
pattern
layer
nanoparticles
microstructures
Prior art date
Application number
PCT/US2008/064631
Other languages
French (fr)
Other versions
WO2009002644A3 (en
WO2009002644A8 (en
Inventor
Jun-Ying Zhang
Jerome C. Porque
Jennifer J. Sahlin
Terry L. Smith
Ding Wang
Original Assignee
3M Innovative Properties Company
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 3M Innovative Properties Company filed Critical 3M Innovative Properties Company
Priority to CN200880103985A priority Critical patent/CN101827783A/en
Priority to EP08769665A priority patent/EP2170764A4/en
Publication of WO2009002644A2 publication Critical patent/WO2009002644A2/en
Publication of WO2009002644A3 publication Critical patent/WO2009002644A3/en
Publication of WO2009002644A8 publication Critical patent/WO2009002644A8/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • B81C99/0075Manufacture of substrate-free structures
    • B81C99/009Manufacturing the stamps or the moulds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0361Tips, pillars

Definitions

  • This application relates to methods for fabricating hierarchical articles and in particular articles that contain nanofeatures and microstructures.
  • Nanostructured devices can be used in articles such as flat panel displays, chemical sensors, and bioabsorption substrates.
  • Microstructured articles have found commercial utility in, for example, electroluminescent devices, field emission cathodes for display devices, microfluidic films, and patterned electronic components and circuits.
  • nanofeatures to an existing microstructure. This has been accomplished, for example, by growing nanocrystals onto microstructured articles, nanoimprinting micro structured articles, and using interferometric lithographic techniques to make submicron or nanoscale gratings and grids on microsubstrates for optical applications.
  • interferometric lithographic techniques to make submicron or nanoscale gratings and grids on microsubstrates for optical applications.
  • these techniques are very costly and are not always very suitable for large area patterns or mass production.
  • a method of making a hierarchical article that includes providing a substrate that comprises a nanofeatured pattern, adding a layer to the substrate, and generating a microstructured pattern in the layer, wherein generating a microstructured pattern comprises removing at least a portion of the layer to reveal at least a portion of the substrate.
  • a method of making an article that includes providing a substrate comprising microstructures, adding nanoparticles to the microstructures, and etching away at least a portion of the microstructures to form nano features using the nanoparticles as an etch mask, wherein the nanoparticles etch at a substantially slower rate than the substrate.
  • a method of making a hierarchical article that includes providing a substrate that comprises a nanofeatured pattern, adding a layer to the substrate, and generating a microstructured pattern in the layer, wherein generating a microstructured pattern comprises removing at least a portion of the layer to reveal at least a portion of the substrate, and wherein the nano featured pattern is formed by a method comprising interference lithography.
  • etching agent refers to an agent used to remove material from a substrate and can be a wet etching agent such as, for example, an acid bath, or a dry etching agent such as, for example, reactive ions from a plasma, or a high energy laser beam
  • etch mask refers to a structure that is held in proximity to or in contact with the substrate so as to allow or to prevent exposure of regions of the substrate to optical or etchant beams
  • etch resist refers to a layer or layers of material that is placed on the substrate and can be patterned to form a resist pattern, which, under the etching conditions used, etches more slowly than the substrate
  • hierarchical refers to constructions that have two or more elements of structure wherein at least one element has nanofeatures and at least another element has microstructures.
  • the elements of structure can consist of one, two, three, or more levels of depth; the terms “microstructure” or “microstructures” refer to structures that range from about 0.1 microns to about 1000 microns in their longest dimension. In this application, the ranges of nanofeatures and microstructures overlap; the terms “nanofeature” or “nanofeatures” refer to features that range from about 1 nm to about 1000 nm in their longest dimension.
  • nanofeatures of any article of this application are smaller than the microstructure generated on the article;
  • negative relief image refers to a three-dimensional replication of an article that contains an inverted topological structure of the original article;
  • pattern or “patterns” refer to a configuration or configurations that can include regular arrays or random arrays of features or structures or a combination of both; and
  • resist refers to a layer or layers of material that is placed on the substrate to selectively allow an etching agent to pass through in a patterned manner.
  • Figs. Ia-Ig illustrate an embodiment of the invention in which nanoparticles are used as an etch mask to form nanofeatures.
  • Figs. 2a-2e illustrate an embodiment of the invention in which nanofeatures are generated by interference lithography.
  • Figs. 3 a-3f illustrate an embodiment of the invention that uses photolithography and reactive ion etching to produce microstructure on the nanofeatures of Fig. 2e.
  • Figs. 4a-4c illustrate an embodiment of the invention that uses photolithography through a contact mask to produce microstructure on the nanofeatures of Fig. 2e.
  • Figs 5 a and 5b illustrate an embodiment of the invention in which excimer laser ablation through an aperture mask is used to produce the microstructure on the nanofeatures of Fig. 2e.
  • Fig. 6 is a photomicrograph of Example 2.
  • Figs. 7a-7e illustrate an embodiment of this invention for making hierarchical structures comprising micro structures that are normal to the substrate plane
  • Figs. 8a-8e illustrate an embodiment of this invention for making hierarchical structures comprising micro structures that are at an angle other than normal to the substrate plane.
  • Figs. 9a and 9b are photomicrographs of Example 3.
  • Fig. 10 is a photomicrograph of Example 4. Detailed Description
  • a method of making a hierarchical article that includes providing a substrate that has a nanofeatured pattern, adding a layer to the substrate, and generating a micro structured pattern in the layer, wherein generating a microstructured pattern comprises removing at least a portion of the layer to reveal at least a portion of the substrate.
  • the hierarchical article can be used directly for its intended purpose, or the article can be used as a mold to generate a replica by, for example, casting a polymer onto the article, solidifying the polymer and separating the solidified polymer from the article to form a replica that has a negative relief image of the original hierarchical article.
  • This replica can in turn be used as a mold to replicate the original article.
  • many replicas can be made from the original hierarchical article, many molds can be made from the original replica or replicas or many more replicas can be made from the second generation or daughter molds. The molds can then be used for their intended purpose or can be used to make multiple additional replicas for mass production.
  • the substrate can be selected from a variety of materials. These materials include polymeric films such as, for example, polyimide or polymethylmethacrylate, or inorganic materials such as glasses, silicon wafers, and silicon wafers with coatings.
  • the coatings on the silicon wafers can include polymer film coatings such as, for example, polyimides or urethane acrylates, or can include inorganic coatings such as, for example, an Si ⁇ 2 coating.
  • the substrate can be a porous glass as disclosed by Wiltzius et al., Phys. Rev. A., 36(6).
  • Light sensitive substrates can include photosensitive polymers, ceramics, or glasses.
  • the substrate can have a nanofeatured pattern that includes nanofeatures.
  • the pattern can be in the form of a regular array of nanofeatures, a random arrangement of nanofeatures, a combination of different regular or random arrangements of nanofeatures, or any arrangement of nanofeatures.
  • the nanofeatured pattern can be formed directly in the substrate or in an added layer. Additionally, the nanofeatured pattern can be formed as a part of the substrate.
  • the nanofeatured pattern can be formed directly in the substrate.
  • the pattern can be generated using patterning techniques such as anodization, photoreplication, laser ablation, electron beam lithography, nanoimprint lithography, optical contact lithography, projection lithography, optical interference lithography, reactive ion etching, ion beam etching, and inclined lithography.
  • the pattern can then be transferred into the substrate by removing existing substrate material using subtractive techniques such as wet or dry etching, if necessary.
  • the nanofeatured pattern can be transferred into the substrate by wet or dry etching through a resist pattern.
  • Resist patterns can be made from a variety of resist materials including positive and negative photoresists using methods known by those skilled in the art.
  • Wet etching can include, for example, the use of an acid bath to etch an acid sensitive layer or the use of a developer to remove exposed or unexposed photoresist. Dry etching can include, for example, reactive ion etching, or ablation using a high energy beam such as, for example, a high energy laser, or ion beam.
  • a layer or layers of nanoparticles coated on the top of the substrate can act as a resist pattern by preventing exposure of the substrate to radiation or etching where the nanoparticles reside, but allowing exposure of the resist in the areas not in direct line of the nanoparticles.
  • the nanoparticles can be dispersed and can, optionally, be combined with a binder or article-modified to make them immobile on the article of the added layer.
  • Nanoparticles that can be useful as an etch mask include oxides such indium- tin oxide, aluminum oxide, silicon dioxide, titanium dioxide, zirconium dioxide, tantalum oxide, hafnium oxide, niobium oxide, magnesium oxide, zinc oxide, indium oxide, tin oxides, and other metal or metalloid oxides.
  • Other useful nanoparticles include nitrides such as silicon nitride, aluminum nitride, gallium nitride, titanium nitride, carbon nitride, boron nitride and other nitrides known by those skilled in the art to be nanoparticles. It is also possible to use metal nanoparticles as an etch mask.
  • Metal nanoparticles include nanoparticles of aluminum, copper, nickel, titanium, gold, silver, chromium, and other metals.
  • Indium-tin oxide (ITO) nanoparticles have been found to be disperable in isopropanol and adherent to polyimide films and can be used as an etch mask without modification or the addition of other additives.
  • Other nanoparticles can be dispersible with the addition of article modification groups as known by those skilled in the art.
  • the nanofeatured pattern can be formed on the substrate by coating the substrate with metal such as, for example, gold, silver, aluminum, chromium, nickel, titanium, and copper, annealing the metal to form islands of metal and then using the islands of metal as an etch mask for the substrate itself. Etching of the substrate can be accomplished with any of the etching techniques mentioned earlier in this application. It is also within the scope of this disclosure to form the nanofeatured pattern using chromonics as disclosed, for example, in U.S. S.N. 11/626,456 (Mahoney et al), which is incorporated herein by reference, as an etch mask.
  • the nanofeatured pattern can also be formed by direct modification of the substrate without the addition of any additional material. For example laser ablation can remove selected areas of the substrate to form nano features. If the substrate is light-sensitive then it can be possible to form the nanofeatured pattern by exposing the photosensitive substrate by optical projection or contact lithography and then developing. Alternatively, interference photolithography can be used to generate a nanopattern in a photosensitive material. Anodization of a conductive substrate can also be used to form the nanofeatured pattern. Patterns can be formed directly in the substrate by using a high energy beam to ablate the substrate. The pattern can be defined by rastering the beam, or by using an etch mask to protect parts of the substrate. The etch mask can be formed, for example, from an etch resist. This approach can be particularly useful for forming nanofeatured patterns subtractively in some polymer substrates such as, for example, polyimide.
  • the nanofeatured pattern can also be formed by adding a material to the substrate.
  • the material can include the nanofeatured pattern when it is added to the substrate, or the material can be added to the substrate and then subsequently have the nanofeatured pattern generated in it.
  • the nanofeatured pattern can be formed in the material before it is added to the substrate.
  • the nanofeatured pattern can be added to the material subtractively using the methods herein.
  • the nanofeatured pattern can also be cast into the added material.
  • a replica with a negative relief article of the nanofeatured pattern can be used to form the nanofeatured pattern in the material.
  • the material can be a thermoplastic material that flows at a high temperature and then becomes solid at room temperature or at use temperature.
  • the material can be a thermoset and can be cured using a catalyst, heat, or photoexposure depending upon its chemistry.
  • the material can be added as a solid.
  • the material can be added to the substrate by lamination or by adding a thin adhesive material. Materials that can be used for this purpose include thermoplastic polymers that flow at elevated temperatures but not at lower temperatures such as room temperature.
  • thermoplastic polymers examples include acrylics; polyolefms; ethylene copolymers such as polyethylene acrylic acid; fluoropolymers such as polytetrafluoroethylene and polyvinylidene fluoride; polyvinylchloride; ionomers; ketones such as polyetheretherketone; polyamides; polycarbonates; polyesters; styrene block copolymers such as styrene-isoprene-styrene; styrene butadiene-styrene; styrene acrylonitrile; and others known to those skilled in the art.
  • thermosetting resins such as, for example, polydimethylsiloxanes, urethane acrylates and epoxies.
  • An example of thermosetting resins can be a photocrosslinkable system, such as a photocurable urethane acrylate, that forms a polymeric substrate with nanofeatures upon curing.
  • a material to the substrate When addition of a material to the substrate is used to produce the nanofeatured pattern, a number of materials can be used. For example, a photoresist (negative or positive) can be added to the substrate. The photoresist can be exposed to light passing through a photomask or projected through a lens system to produce nanofeatures. Additionally interference lithography can be used to produce the nanofeatured pattern. Interference lithography is discussed, for example, in S. R. J. Brueck, "Optical and Interferometric Lithography-Nanotechnology Enablers", Proceedings of the IEEE, Vol. 93
  • the exposed (positive photoresist) or unexposed (negative photoresist) areas can then be removed by using a developing solution to dissolve the undesired photoresist.
  • the resist can then be hardened by physical or chemical means for use in later steps. It is also contemplated that the photoresist can be exposed by directly writing with a rastered or digitally-pulsed laser beam, or by interference lithography as is known in the art.
  • the developed photoresist can then be hardened and used as described herein.
  • Useful photoresists include negative photoresists such as UVN 30 (available from Rohm and Haas Electronic Materials, Marlborough, MA), and FUTURREX negative photoresists (available from Futurrex, Franklin, NJ), and positive photoresists such as UV5 (available from Rohm and Haas Electronic Materials) and Shipley 1813 photoresist (Rohm and Haas Electronic Materials).
  • UVN 30 available from Rohm and Haas Electronic Materials, Marlborough, MA
  • FUTURREX negative photoresists available from Futurrex, Franklin, NJ
  • positive photoresists such as UV5 (available from Rohm and Haas Electronic Materials) and Shipley 1813 photoresist (Rohm and Haas Electronic Materials).
  • Other photopolymers can be used to generate the nanofeatures. Any photopolymer system known to those skilled in the art can be used that can be used to form nanofeatures upon exposure to radiation (UV, IR, or visible).
  • the resist pattern produced by exposure and development of the photoresist materials can also be transferred into the substrate by direct removal of unwanted materials by dry etching using the photoresist as resist pattern.
  • reactive ion etching can be used to remove parts of the substrate or materials added to the substrate in a manner so as to generate nanofeatures.
  • a reactive gas species such as CF4 or SFg is added to a reaction chamber.
  • a plasma is generated by applied radio frequency (RF) potentials. This causes some of the gas molecules to be ionized.
  • ionized particles can be accelerated towards various electrode articles and can etch or dislodge molecules from the article they impinge upon.
  • reactive ion etching is accomplished through an etch mask or directly using a rastered or digitally controlled beam.
  • a thin metal layer can be deposited on the substrate, the photoresist can be deposited on the metal, and the photoresist can be patterned, then the resist pattern can be transferred into the metal by wet etching. In this way a metal pattern can be generated that can serve as a resist pattern for dry etching of the substrate. Consequently a large etch rate difference between the (metal) resist pattern and the substrate can be achieved.
  • an electron beam can be used to create a resist pattern in an e-beam resist.
  • e-beam poly(methyl methacrylate), available from MicroChem, Corp., Newton, MA)
  • poly(methyl methacrylate) available from MicroChem, Corp., Newton, MA)
  • an etch mask that includes nano features can be produced by development of the resist.
  • the substrate can be reactive ion etched through the resist pattern.
  • the method of making a hierarchical article of this disclosure can include adding a layer to a substrate that has a nano featured pattern that includes nano features.
  • This added layer is the one from which or into which microstructures are fabricated.
  • the microstructures can be fabricated by any of the techniques described herein.
  • the added layer can be a photopolymer, a non-photosensitive polymer, deposited glass, deposited metal or a deposited semiconductor.
  • the layer can be added, for example, by coating, lamination, deposition, printing, or any other techniques known to those skilled in the art.
  • the added layer can be an etch resist.
  • the resist can be patterned or patternable.
  • the resist can be a photoresist.
  • Exemplary coating techniques to add the layer can include, for example, solution coating, dispersion coating, hot-melt coating, knife coating, and dip coating.
  • Lamination can include, for example, heat lamination, photochemical lamination, and also can include article modification of the substrate or the layer or both.
  • Post- lamination annealing can be done, if desired, to enhance adhesion.
  • Vapor deposition techniques such as, for example, evaporative vapor deposition, sputtering, chemical vapor deposition, or plasma enhanced chemical vapor deposition are methods that can be used to add a layer to the substrate and are within the scope of this disclosure. After addition of the layer, a microstructured pattern can be generated in the layer.
  • the microstructured pattern can be formed by removing at least a portion of the layer to reveal at least a portion of the substrate that includes nano features.
  • the microstructured pattern can be formed in the layer by any manner known by those in the art.
  • the microstructured pattern can be generated photochemically by using a photoresist or other photopolymer system.
  • the patterned exposure can be made through a photomask in contact with the resist layer, by projection of a radiative pattern onto the resist layer, by writing a pattern with a laser into the resist layer, by interference lithography, or by any other means known by those of skill in the art. If the photoresist is a positive photoresist the exposed areas can be rendered soluble in a developer by the exposure and the exposed portions can be removed to reveal the substrate.
  • the exposed areas can be rendered insoluble in a developer and the unexposed portions of the photoresist can be removed to reveal the substrate.
  • Other photopolymers that can be used to form the microstructures include, for example, urethane acrylates, and epoxies.
  • the microstructure can also be formed subtractively using patterned etching or patterned ablation with or without an etch mask as described herein for the formation of nanofeatures.
  • Useful materials for etching or ablating include desposited polymers, glasses, metal oxides, metals, and semiconductors.
  • Polymers useful in this invention can include polyimides, urethane acrylates, and epoxies.
  • Deposited glass can include, for example, SiC>2, and BPSG (borophosphate silicated glass).
  • Deposited metal can include, for example, aluminum, silver, gold, chromium, and nickel.
  • Deposited semiconductor layers can include, for example, zinc oxide, silicon, boron nitride, zinc sulfide, cadmium sulfide, and other inorganic semiconductors.
  • Deposited semiconductors layers can also include organic semiconductors such as pentacene, pentacene derivatives, or other organic semiconductors such as those disclosed in U. S. Pat. Nos. 6,998,068 and 7,109,519 (both to Gerlach), U. S. Pat. No.
  • the microstructures can be formed at right angles (90° or normal) to the plane of the substrate surface or a contact mask, if one is used. Alternatively, the microstructure can be produced at an angle other than normal to the plane of the substrate surface or the contact mask. If the microstructure is at an angle other than 90° the resulting structures can be referred to as "angled posts". Angled posts can be made using methods such as inclined lithography. Inclined lithography is described for example, in Beuret et al., IEEE
  • Angled posts can be made at angles of less than about 85.5°, less than about 78°, less than about 70°, less than about 65°, less than about 60° or even less than about 45° to the plane of the substrate. It is also contemplated that the microstructure can be formed by a photopolymer with a two-photon initiation system such as those disclosed in, for example, U. S. Pat. No. 6,750,266 (Bentsen et al.) which is incorporated herein by reference.
  • the microstructures can be formed by polymerizing the microstructured features using a two-photon fabrication system such as that disclosed in, for example, U.S. S.N. 11/531,836 (Faklis et al.) which is incorporated herein by reference.
  • a two-photon fabrication system such as that disclosed in, for example, U.S. S.N. 11/531,836 (Faklis et al.) which is incorporated herein by reference.
  • direct photo-crosslinking of three-dimensional objects is possible and the microstructure can be directly written into the photopolymer.
  • the unpolymerized portion of the two-photon system is removed by use of an appropriate solvent to reveal at least a portion of the original substrate.
  • the microstructured pattern can also be generated subtractively by dry etching the added layer using, for example, a reactive ion beam, a high energy laser beam, or an excimer laser.
  • Excimer laser ablation is described in more detail in U. S. Pat. No. 6,285,001 (Fleming et al.) which is incorporated herein by reference.
  • the beams can be patterned by passing them through a photomask or an aperture mask.
  • the photomask can be a solid object such as a glass plate that is transparent to the energy beam but has an opaque (to the frequency of the energy) pattern.
  • the photomask can be a solid object that has patterned holes in it. In this case the energy beam is allowed to pass through the holes but is blocked by the object.
  • Patterned portions of the added layer can also be removed by direct exposure to a high energy beam by rastering or digitally pulsing the beam to move it to locations where removal of the layer is desired.
  • a method of making an article comprising providing a substrate comprising microstructures, adding nanoparticles to the microstructures, and etching away at least a portion of the microstructures to form nanofeatures using the nanoparticles as an etch mask, wherein the nanoparticles etch at a substantially slower rate than the substrate.
  • the hierarchical surface can be used directly for its intended purpose or a mold can be made from the hierarchical surface by adding a polymer to the surface, solidifying the polymer and separating the solidified polymer from the surface to form a mold that has a negative relief image of the original hierarchical surface.
  • This mold can be used to produce replicas of the original surface.
  • many molds can be made from the original hierarchical surface, many replicas can be made from the original mold or molds or many more molds can be made from the second generation replicas.
  • the replicas can be used for their intended purpose or can be used to make multiple additional molds for mass production.
  • the substrate can be selected from a variety of materials.
  • These materials include polymeric films such as, for example, polyimide or polymethylmethacrylate, or inorganic materials such as metals, glasses, silicon wafers, and silicon wafers with coatings.
  • the coatings on the silicon wafers can include polymer film coatings such as, for example, polyimides or urethane acrylates, or can include inorganic coatings such as, for example, an SiC>2 coating.
  • the substrate comprises microstructures.
  • the microstructures can be formed directly in the surface of the substrate by ablative processes. Alternatively, the microstructures can be formed in a layer or layers added to the substrate. Additionally, the microstructures and the substrate can be formed in one process at the same time. Microstructures can be formed directly in the substrate by, for example, subtractive processes. Microstructures can be formed subtractive Iy in a substrate by removing existing material using a high energy beam process such as laser ablation, ion beam etching, deep UV lithography, interference lithography, inclined lithography, electron beam lithography or reactive ion etching, to name a few.
  • a high energy beam process such as laser ablation, ion beam etching, deep UV lithography, interference lithography, inclined lithography, electron beam lithography or reactive ion etching, to name a few.
  • Microstructures can also be formed ablatively in some polymer substrates such as, for example, polyimide.
  • a high energy beam can be scanned under the direction of a computer program to remove desired patterns of material under a rastered or digitally-pulsed beam.
  • the high energy beam can be passed through an etch mask.
  • the etch mask can be a photomask (such as a glass or plastic plate with patterns of high density material deposited on it which resist passage of the beam and patterns without high density material which are transparent to the beam).
  • the etch mask can be an aperture mask.
  • Aperture masks are made of metal or other high density materials such as metal oxides that have patterned openings through which the high energy beams can pass.
  • a contact mask When the etch mask is placed close to or in direct contact with the substrate it is referred to as a contact mask.
  • the microstructures can be formed at right angles (90° or normal) to the plane of the substrate surface or the contact mask, if one is used, as previously described herein. Alternatively, the microstructure can be produced at an oblique angle to the plane of the substrate or the plane of the contact mask, if one is used.
  • the microstructures can also be formed by adding a material to the substrate. The material can include the microstructure when it is added to the substrate, or the material can be added to the substrate and then subsequently have the microstructure generated in it.
  • the microstructure can be formed in the material before it is added to the substrate.
  • the microstructure can be added to the material subtractively using the methods herein.
  • the microstructure can also be cast into the added material.
  • a replica with a negative relief article of the microstructure can be used to form the microstructure in the material.
  • the material can be a thermoplastic material that flows at a high temperature and then becomes solid at room temperature or at use temperature.
  • the material can be a thermoset and can be cured using a catalyst, heat, or photoexposure depending upon its chemistry.
  • the material can be added as a solid.
  • the material can be added to the substrate by lamination or by adding a thin adhesive material.
  • thermoplastic polymers that flow at elevated temperatures but not at lower temperatures such as room temperature.
  • thermoplastic polymers that can be used include acrylics; polyolef ⁇ ns; ethylene copolymers such as polyethylene acrylic acid; fluoropolymers such as polytetrafluoroethylene and polyvinylidene fluoride; polyvinylchloride; ionomers; ketones such as polyetheretherketone; polyamides; polycarbonates; polyesters; styrene block copolymers such as styrene-isoprene-styrene; styrene butadiene-styrene; styrene acrylonitrile; and others known to those skilled in the art.
  • thermosetting resins such as, for example, polydimethylsiloxanes, urethane acrylates and epoxies.
  • An example of thermosetting resins can be a photocrosslinkable system, such as a photocurable urethane acrylate, that forms a polymeric substrate with microstructures upon curing.
  • a photoresist negative or positive
  • the photoresist can be exposed to light passing through a photomask or projected through a lens system to produce microstructures.
  • interference lithography can be used to produce the microstructures.
  • Interference lithography is discussed, for example, in S. R. J. Brueck, "Optical and Interferometric Lithography-Nanotechnology Enablers", Proceedings of the IEEE, Vol. 93 . (10), October 2005.
  • the exposed (positive photoresist) or unexposed (negative photoresist) areas can then be removed by using a developing solution to dissolve the undesired photoresist.
  • the resist can then be hardened by physical or chemical means for use in later steps. It is also contemplated that the photoresist can be exposed by directly writing with a rastered or digitally-pulsed laser beam, or by interference lithography as is known in the art.
  • the developed photoresist can then be hardened and used as described herein.
  • Useful photoresists include negative photoresists such as UVN 30 (available from Rohm and Haas Electronic Materials, Marlborough, MA), and FUTURREX negative photoresists (available from Futurrex, Franklin, NJ), and positive photoresists such as UV5 (available from Rohm and Haas Electronic Materials) and Shipley 1813 photoresist (Rohm and Haas Electronic Materials). Other photopolymers can be used to generate the microstructures.
  • negative photoresists such as UVN 30 (available from Rohm and Haas Electronic Materials, Marlborough, MA)
  • FUTURREX negative photoresists available from Futurrex, Franklin, NJ
  • positive photoresists such as UV5 (available from Rohm and Haas Electronic Materials) and Shipley 1813 photoresist (Rohm and Haas Electronic Materials).
  • Other photopolymers can be used to generate the microstructures.
  • Any photopolymer system known to those skilled in the art can be used that can be used to form microstructures upon exposure to radiation (UV, IR, or visible).
  • the microstructures and the substrate can be formed at the same time.
  • a curable polymer system can be used to make microstructure as a part of a substrate using a mold. If the system is allowed to cure while in contact with a micro structured surface it will produce a negative relief image of the surface. The bulk of the cured polymer system can be used as the substrate. If a photopolymer system is used, it can be cured by a patterned radiation source or it can be cast in a transparent mold and photocured. In this manner, a substrate can have microstructure without the need to add a layer.
  • the method of making hierarchical structures can include adding nanoparticles to the microstructures wherein the nanoparticles etch at a substantially slower rate than silicon dioxide, and wherein the etch mask comprises nanoparticles.
  • Useful nanoparticles for this invention include nanoparticles that can be applied as a dispersion and remain dispersed on the microstructures. Nanoparticles can be made dispersible in solvent systems by either modifying the surface of the nanoparticles or by adding a dispersant to the solvent system or both. Typical surface modifications include adding a surface modifying agent to the nanoparticles and allowing the surface modifying agent to react with the nanoparticles. Useful surface modification processes are described, for example, in U. S. Pat. Nos.
  • dispersants can be added to the solution to make the nanoparticles remain dispersed in the solvent system.
  • dispersants such as polyurethanes, polyacrylates such as, for example, EFKA polyacrylates available from CIBA Specialty Chemicals, Tarrytown, NY, dodecylbenzenesulfonic acid available from Aldrich Chemical, Milwaukee, WI can be used to disperse nanoparticles.
  • nanoparticle dispersions are available commercially such as, for example, indium-tin oxide dispersions under the tradename, ITO-SOL, available from Advanced Nano Products Co., Ltd., Chungcheonbuk-do Korea, or Si ⁇ 2 particle dispersions such as are available from Nalco
  • the nanoparticles can function as an etch mask for further processing of the microstructures.
  • the nanoparticles can be dispersed and can, optionally, be combined with a binder to make them immobile on the surface of the added layer.
  • the nanoparticles of this invention comprise nanoparticles that etch at a substantially slower rate than the substrate.
  • Table I provides the etch rate for a number of substrate and nanoparticle materials.
  • Polymers, such as polyimide and photoresists, are known to etch at a much faster rate than any of the inorganics listed in Table 1.
  • Nanoparticles that can be useful as an etch mask include oxides such indium-tin oxide, silicon dioxide, titanium dioxide, zirconium dioxide, tantalum oxide, hafnium oxide, niobium oxide, magnesium oxide, zinc oxide, indium oxide, tin oxides, and other metal or metalloid oxides.
  • Other useful nanoparticles include nitrides such as silicon nitride, aluminum nitride, gallium nitride, titanium nitride, carbon nitride, boron nitride and other nitrides known by those skilled in the art to be nanoparticles. It is also possible to use metal nanoparticles as an etch mask.
  • Metal nanoparticles can include, for example, aluminum, copper, nickel, titanium, gold, silver, chromium, and other metals.
  • ITO Indium-tin oxide
  • nanoparticles have been found to be disperable in isopropanol and adherent to polyimide films and can be used as an etch mask without modification or the addition of other additives.
  • Other nanoparticles can be dispersible with the addition of surface modification groups as known by those skilled in the art.
  • Nanofeatures can be formed on the microstructures by ablative processes using the dispersed nanoparticles as an etch mask.
  • the ablative processes that are useful in this step include any of the high energy ablation processes useful to form the microstructures.
  • the nanofeatures can be formed ablatively by removing existing material using a high energy beam such as laser ablation, ion beam etching, deep UV lithography, nanoimpring lithography, electron beam lithography or reactive ion etching to name a few.
  • reactive ion etching can be used to remove parts of the substrate or materials added to the substrate in a manner so as to generate nanofeatures.
  • a reactive gas species such as C4Fg or SFg
  • a plasma is generated by applied radio frequency (RF) potentials.
  • RF radio frequency
  • These ionized particles can be accelerated towards various electrode surfaces and can etch or dislodge molecules from the surface they impinge upon.
  • material on the microstructures is removed where the high energy beam is able to reach the surface (for example, between the nanoparticles).
  • the material on the microstructures that is shielded from the high energy beam by the dispersed nanoparticles is not ablated.
  • the microstructures have nanofeatures that can be in the form of for example, nanoposts, where the nanoparticles can act like as an etch mask and protect the microstructure from ablation.
  • this invention provides for a method of making a replica comprising providing a substrate comprising microstructures, adding nanoparticles to the microstructures, etching away at least a portion of the microstructures, using the nanoparticles as an etch mask, to form a hierarchical article, adding a polymer to the hierarchical article, and separating the polymer from the hierarchical article to produce a replica, wherein the nanoparticles etch at a substantially slower rate than the substrate.
  • a method of making a replica of a hierarchical article comprising providing a substrate that has a nanofeatured pattern, adding a layer to the substrate, generating a microstructured pattern in the layer, applying a polymer to the microstructured pattern, and separating the polymer from the article to produce a replica wherein generating a microstructured pattern comprises removing at least a portion of the layer to reveal at least a portion of the substrate.
  • Polymers useful for forming the replica can include thermoplastic polymers and thermosetting polymers known to those skilled in the art.
  • Thermoplastic polymers can include materials that soften or melt above room temperature but that are rigid and can hold structure when at or below room temperature.
  • Some thermoplastic polymers that can be useful to produce replicas include, for example, polymethylmethacrylate (PMMA), polycarbonate (PC), polystyrene (PS), polyvinylchloride (PVC), polypropylene (PP), polyethylene terephtalate (PET), polyetheretherketone (PEEK), polyamide (PA), polysulfone (PSU, very brittle polymer), polyvinylidenefluoride (PVDF), and polyoxymethylene (POM, very soft and elastic polymer).
  • PMMA polymethylmethacrylate
  • PC polycarbonate
  • PS polystyrene
  • PVC polyvinylchloride
  • PP polypropylene
  • PET polyethylene terephtal
  • Thermosetting polymers can also be useful for forming replicas.
  • Thermosetting polymers that are useful include polysiloxanes (such as polydimethyldisiloxane (PDMS)), polyimides (made from curing of polyamic acid), and urethane acrylates.
  • PDMS polydimethyldisiloxane
  • the polymers used to form the replica can have low viscosity. This can allow the polymer to flow into and around the small features of the article. It can be useful to apply the polymer to the article under vacuum so that air entrapment between the article and the polymer is minimized. It can be advantageous to apply a release coating to the hierarchical article before forming a replica.
  • the article can be coated with a fluorosilane release agent such as, for example, trimethychlorosilane or fluorinated siloxanes such as those disclosed in U. S. Pat. No. 5,851,674 (Pellerite et al.). Also useful for this purpose are hexafluoropolyprolylene oxide derivatives such as those disclosed in U. S. Pat. No. 7,173,778 (Jing et al.). These disclosures are hereby incorporated by reference.
  • a fluorosilane release agent such as, for example, trimethychlorosilane or fluorinated siloxanes such as those disclosed in U. S. Pat. No. 5,851,674 (Pellerite et al.).
  • hexafluoropolyprolylene oxide derivatives such as those disclosed in U. S. Pat. No. 7,173,778 (Jing et al.).
  • the article can be metallized with, for example, a thin layer of nickel that has been vapor deposited or deposited by electroless plating. If the article is metallized it can also be advantageous to put a release agent on the metallized article to enhance the release of the polymers that form the replica.
  • the article can be coated with a release layer such as a fluorinated phosphonic acid as disclosed in U. S. Pat. No. 6,824,882 B2 (Boardman et al.) or perfluoropolyether amide-linked phosphonates such as those disclosed in U. S. Pat. Publication No. 2005/0048288 (Flynn et al). It is also contemplated that the hierarchical article can be protected by coating with diamond-like glass as disclosed, for example in U. S. Pat. No. 6,696,157 (David et al.). These disclosures are hereby incorporated by reference.
  • the replica-forming polymers can be placed in contact with the hierarchical article (with or without a protective coating), cured by any of a variety of means including heat, moisture or radiation, and then separated from the article to produce a negative relief image (replica) of the article.
  • the replicas can be used to produce secondary or daughter molds of the original article.
  • FIG. Ia provides substrate 102 that is a silicon wafer, upon which is coated polymeric layer 104 (polyimide). Nanoparticles 112 are coated from a dispersion on the added layer 104. After drying, the result is shown in Fig.
  • Fig. Ib includes substrate 102, coated layer 104, and nanoparticles 112 on the polymeric layer 104.
  • the intermediate shown in Fig. Ib is then subjected to etching, for example, reactive ion etching.
  • the layer 104 is etched by the reactive ion beam in locations where it is directly exposed to the beam.
  • the dispersed nanoparticles 112 act as an etching mask and shield the layer 104 from etching where they are present.
  • the result is shown in Fig. Ic and includes substrate 102 with etched layer 122 comprising nano features (in the form of nanopits where the reactive ion beam is not screened by the nanoparticles and etches away part of substrate 104 to form etched layer 122).
  • Fig. Ic includes substrate 102 with etched layer 122 comprising nano features (in the form of nanopits where the reactive ion beam is not screened by the nanoparticles and etches away part of substrate 104 to form etched layer 12
  • a negative photoresist 132 is then coated over the etched layer 122.
  • the photoresist 132 is exposed though a photomask that includes a microstructured pattern.
  • the photoresist is developed in a developer solution and the soluble portions removed.
  • the result is the hierarchical article shown in Fig. Ie comprising substrate 102 with etched layer 122 (containing nano features) and a microstructured pattern with elements 142.
  • replication material 152 is then cast over the article shown in Fig. Ie.
  • the replica 154 as shown in Fig. Ig is separated from the replica.
  • Replica 154 has microstructure with nanofeatures as shown.
  • Figs. 2a-2e illustrate a method that generates the nanofeatures by interference lithography. These nanofeatures are used in the sequences shown in Figs. 3a-3f, 4a-4c and 5a-5b to generate hierarchical articles or replicas.
  • a thin aluminum layer 204 is deposited upon the substrate 202 which is made from SiC>2 deposited upon a silicon wafer.
  • a positive photoresist 212 is then coated onto aluminum layer 204 as shown in Fig. 2b.
  • Two exposures are made at right angles to form three-dimensional nanofeatures by interference lithography.
  • the photoresist 212 is developed and the exposed areas are removed as shown in Fig. 2c to produce an article that includes substrate 202, aluminum layer 204 and a layer of undeveloped photoresist 206 that has nanofeatures 222.
  • the aluminum layer 204 that is not covered by the photoresist 222 is wet-etched to remove aluminum and to expose the substrate 202.
  • Nanofeatures are etched into the substrate as shown in Fig. 2e.
  • Reactive ion etching removes the photoresist and the Si ⁇ 2 substrate but is resisted by the aluminum 206 which acts as an etching mask.
  • the result, shown in Fig. 2e, is a Si ⁇ 2 substrate that includes nanofeatures.
  • the etching has also eroded the aluminum layer away.
  • the etch rate of the aluminum is much slower than that of the substrate.
  • the sequence of Figs. 3a- 3 f illustrates an embodiment of the method of this disclosure to produce nanofeatures using interference lithography as shown in the sequence of Figs. 2a-2e and uses lithography and reactive ion etching to produce microstructure on the nanofeatures.
  • polymeric resin layer 304 is coated onto nanofeature-containing substrate 302 and is cured by heat.
  • a thick layer of aluminum 306 is coated on the cured resin 304 as shown in Fig. 3b.
  • a positive photoresist 308 is then coated onto the aluminum layer as shown in Fig. 3c.
  • Lithography by exposure through a contact mask is used to pattern the photoresist 310 by forming holes 312 as shown in Fig. 3d.
  • the exposed aluminum 306 is wet-etched away to give the article shown in Fig. 3e. Fig.
  • Fig. 3e has substrate 302 with nanofeatures that has cured resin 304 on top of the nanofeatures and has patterned photoresist 309 with patterned aluminum 310 on top.
  • the pattern is then transferred into the resin 304 using reactive ion etching to remove exposed resin.
  • the resulting article is shown in Fig. 3f. This article can be used to produce replicas as described above.
  • FIG. 4a- 4c Another embodiment of the invention is illustrated in the sequence of Figures 4a- 4c.
  • An SiC>2 or nickel substrate that includes nanofeatures 402 from Fig. 2e, Fig. 3a, or
  • Fig 4a is filled with a positive photoresist 404.
  • the photoresist is exposed through contact mask 410.
  • the exposed photoresist 404 is then removed by exposure to developer.
  • the result is a hierarchical article that has substrate 402 with nanofeatures and cured photoresist micro features 406.
  • An additional embodiment that is used to make hierarchical articles is shown in the sequence of Figs. 5a - 5b.
  • a resin 504 for example a curable urethane acrylate, is poured into the substrate with nanofeatures 502 and cured thermally or photochemically.
  • Excimer laser ablation through mask 506 is used to produce the microstructure 505 as shown in Fig. 5b.
  • This structure is then used as a mold to produce replicas as disclosed above.
  • replica and mold can be used interchangeably depending upon whether the hierarchical article or its replica is used as the final product, it is assumed that the original hierarchical article is a negative mold used to prepare positive replicas.
  • FIG. 7a illustrates an embodiment of making hierarchical structures wherein the micro structure is at 90° or normal to the substrate plane.
  • Fig. 7a provides a substrate 702 that can be, for example, a silicon wafer.
  • a negative photoresist 704 is coated onto the substrate.
  • a contact mask 708 is placed on the photoresist layer and the photoresist is exposed to ultraviolet radiation. This results in areas of unexposed photoresist 104 and areas of exposed photoresist 706. In the exposed areas, the photoresist has been crosslinked and is not insoluble in solvents. The contact mask is removed and a development solution is used to remove the soluble, unexposed regions. The result is shown in Fig. 7c and consists of substrate 702 with microstructures 706. The substrate with microstructures is then coated with a dispersion of nanoparticles. Indium- tin oxide nanoparticles are an example of useful nanoparticles.
  • Fig. 7d shows substrate
  • FIG. 7e shows another embodiment of this invention.
  • substrate 802 is coated with a negative photoresist 804. Then the substrate is turned at an oblique angle to the plane of the contact mask and exposed to ultraviolet radiation through contact mask 808 as shown in Fig. 8b. This exposure forms uncrosslinked areas of photoresist 804 and crosslinked areas of photoresist 806 that are at an angle other than 90° with respect to the substrate 802.
  • the substrate 802 has angled microstructure 806 on it.
  • Fig. 8d shows the substrate 802 and angled microstructure 806 that has been coated with nanoparticles 810. After reactive ion etching the result is shown in Fig. 8e in which there is substrate 802 with angled (inclined) microstructure that has nanopits 814.
  • the hierarchical articles of this invention can be used for a variety of purposes. They can have utility as sensors, optical devices, fluidic devices, medical devices, molecular diagnostics, plastic electronics, micro-electromechanical systems (MEMS), and nano-electromechanical systems (NEMS). They can be used as physical adhesives or as micro or nano brushes for use in the microelectronics field.
  • MEMS micro-electromechanical systems
  • NEMS nano-electromechanical systems
  • This example used a combination of conventional optical lithography and reactive ion etching using nanoparticles as an etching mask. This example followed the scheme illustrated in Figs. Ia-Ig.
  • a 4 ⁇ m thick layer of polyimide (PI 5878G, obtained from HD MicroSystems, Parlin, NJ) was coated onto a silicon wafer (obtained from Montco Silicon Technologies, Inc., Spring City, PA).
  • the polyimide-coated wafer was then dipped into a suspension of lwt% indium-tin oxide (ITO) nanoparticles (available from Advanced Nano Products Co.,
  • RIE reactive ion etching
  • SU-8 negative photoresist (MicroChem Corp., Newton, MA) was coated on the polyimide by spin coating at 2000 rpm for 40 seconds.
  • the photoresist was nanofeatured, baked at a temperature of 65 0 C for 2 minutes and then at 95 0 C for 2 minutes. Following the baking steps, the photoresist was cooled to room temperature and then exposed using an optical lithography system (obtained from
  • the polydimethylsiloxane was poured on the patterned, cured photoresist and then was cured on a hot plate (80oC for 1 hour). After the curing the polydimethylsiloxane replica was peeled off the photoresist master to yield a multistuctured replica of the original article.
  • Small features were made by interference lithography using a 244 nm frequency doubled argon laser with an output of 300 mW (Sabre FreD Laser, available from Coherent Inc., Santa Clara, CA) in a positive photoresist (Shipley UV5 resist, available from Rohm and Haas Electronic Materials Inc., Marlborough, MA) that was coated on a silicon wafer that had an SiC>2 layer deposited on it by plasma enhanced chemical vapor deposition using a Model PLASMALAB System 100 available from Oxford Instruments, Yatton, UK. Two serial exposures were made (the second exposure was made after rotating the substrate 90 degrees). The resist pattern was transferred by reactive ion etching into the Si ⁇ 2 layer by using an aluminum mask as follows.
  • the ARC layer was removed by reactive ion etching and the aluminum was patterned by wet etching through the photoresist pattern. Finally nanofeatures were etched into the Si ⁇ 2 using reactive ion etching through the Al pattern.
  • a 21 ⁇ m layer of a urethane acrylate (PHOTOMER 6210, available from Cognis, Co., Cincinnati, OH) was coated on the nanofeatures.
  • a wet etch using a mixed solution containing 500 ml H4PO3 19.4 ml HNO3 96.8 ml glacial acetic acid, 32.2 ml water, and 0.6 ml ethylene glycol was performed to transfer the pattern in the photoresist into the aluminum layer.
  • the aluminum microstructure was then transferred into the urethane acrylate by reactive ion etching.
  • a UV-curing acrylate resin containing PHOTOMER 6210 and SARTOMER 238 (75:25 by weight) (both available from Sartomer, Co., Warrington, PA) was used to replicate the hierarchical structure which is shown in the photomicrograph in Fig. 6.
  • An antireflective coating (ARC UV-112, available from Brewer Science, Rolla, MO) was applied to the surface of a silicon wafer (available from Montco Silicon Technologies, Inc., Spring City, PA).
  • a 21 ⁇ m layer of SU-8 negative photoresist (available from MicroChem Corp., Newton, MA) was coated onto the antireflective coated silicon wafer by spin coating at 2000 r.p.m, followed by baking at a temperature of 65 0 C for 2 minutes and then 95 0 C for 2 minutes.
  • the photoresist was exposed through a contact mask at a tilt of 4.5° from the normal to the plane of the contact mask using an inclined exposure lithography system.
  • Inclined lithography is described for example, in Beuret et al., IEEE Micro Electro Mechanical Systems, Oiso, Japan, January 1994, pp.81- 85, and Han et al., Sensors and Actuators A, 111, 14-20 (2004). After the exposure, a post-exposure bake at 65 0 C for 2 minutes followed by 95 0 C for two minutes was performed to selectively crosslink the exposed portions of the photoresist.
  • the photoresist was then developed in propylene glycol methyl ether acetate (PGMEA) and dipped into a solution of indium-tin oxide (ITO) nanoparticles (available from Advanced Nano Products Co., Ltd., Chungcheonbuk-do, Korea) 1 wt% suspended in a 1 :1 by volume solution of isiopropanol: water to give microstructures (microposts) covered with ITO nanoparticles. After drying the microposts were then etched using reactive ion etching (RIE) using the ITO nanoparticles as an etch mask.
  • RIE reactive ion etching
  • the RIE was done using a Model PLASMA LAB System 100, available from Oxford Instruments, Yatton, UK.
  • the RF power was 60 watts
  • the pressure was 15 mTorr
  • the ICP power was 1900 watts
  • the gas flow has 2 seem C4Hg and 20 seem 02-
  • the etching time was
  • Figs. 9a and 9b shows nanoposts that are between 100 and 200 nm in diameter on top of microstructures (posts) that are about 7 ⁇ m in diameter and about 21 ⁇ m in height.
  • Example 4 was performed in a manner identical to Example 3 with the exception that the inclined exposure lithography was performed at an angle of about 12° to the normal to the plane of the contact mask. The result is shown in Fig. 10 and shows nanoposts that have dimensions of 100 - 200 nm in diameter and about 500 nm in height on top of microposts that are 6 ⁇ m in diameter and 21 ⁇ m in height.
  • a mold was formed from above master (Example 4) using poly (dimethyl siloxane) (PDMS).
  • PDMS poly (dimethyl siloxane) (available as SYLGARD 184, from Dow Corning, Midland, MI) was poured on the patterned SU-8 photoresist with nano-features, and then cured on a hot plate (8O 0 C for Ih). After the curing, the PDMS mold was peeled off the SU-8/PI master, yielding the desired two-level structure negative mold.
  • a UV-curing acrylate resin containing PHOTOMER 6210 and SARTOMER 238 (75:25 by weight) (both available from Sartomer, Co., Warrington, PA) were used to replicate the hierarchical structure and cured by UV radiation (Fusion"D" lamp, at 600W/2.54 cm) at a speed 10.7 m/min.
  • the resulting replica is shown in the photomicrograph in Fig. 10.

Abstract

Provided is a method of fabricating hierarchical articles that contain nano features and microstructures. The method includes providing a substrate that includes nano features and then creating microstructures adding a layer, removing at least a portion of the layer to reveal at least a portion of the substrate. Also provided is a method of making hierarchical structures that contain nanofeatures and microstructures wherein the method includes adding the nanofeatures to existing microstuctures using nanoparticles as an etch mask.

Description

METHODS OF MAKING HIERARCHICAL ARTICLES
Related Applications This application claims priority to U. S. Provisional Applications 60/999,752 and
60/999,753 both filed on June 21, 2007 and both incorporated herein by reference in their entirety.
Field This application relates to methods for fabricating hierarchical articles and in particular articles that contain nanofeatures and microstructures.
Background There is an interest in commercial and industrial applications to reduce the size of articles and devices. This is particularly true in the area of electronics where devices have been made smaller and smaller. Nanostructured devices, for example, can be used in articles such as flat panel displays, chemical sensors, and bioabsorption substrates. Microstructured articles have found commercial utility in, for example, electroluminescent devices, field emission cathodes for display devices, microfluidic films, and patterned electronic components and circuits. There are many applications for which it would be desirable to make hierarchical articles where smaller structures (nanofeatures, for example) are present upon larger structures (microstructures, for example). These applications include sensors, optical devices, fluidic devices, medical devices, molecular diagnostics, plastic electronics, micro-electromechanical systems (MEMS) and nano- electromechanical systems (NEMS). Also, recently there has been an interest in trying to understand and mimic adhesive mechanisms in nature such as those on the feet of the gecko. Studies have revealed that the gecko has hierarchical (micro/nanoscale) features on its feet that can stick firmly to any kind of article, but can also release effectively with minimal effort.
It is known to add nanofeatures to an existing microstructure. This has been accomplished, for example, by growing nanocrystals onto microstructured articles, nanoimprinting micro structured articles, and using interferometric lithographic techniques to make submicron or nanoscale gratings and grids on microsubstrates for optical applications. However these techniques are very costly and are not always very suitable for large area patterns or mass production.
Summary
There is a need for a more flexible, low cost, high-throughput methods of making hierarchical articles. In some embodiments of the provided methods, smaller features (nano features) are formed before formation of larger structures (microstructures). In addition, some embodiments of the provided methods can produce articles that can be used to generate final devices. The provided methods can provide hierarchical articles with lower cost and higher throughput than previously realized. In other embodiments the provided methods presented herein can be used to fabricate hierarchical articles that include nano features on microstructures using the nanoparticles as an etch mask for high energy ablative processes.
In one aspect, provided is a method of making a hierarchical article that includes providing a substrate that comprises a nanofeatured pattern, adding a layer to the substrate, and generating a microstructured pattern in the layer, wherein generating a microstructured pattern comprises removing at least a portion of the layer to reveal at least a portion of the substrate.
In another aspect, provided is a method of making an article that includes providing a substrate comprising microstructures, adding nanoparticles to the microstructures, and etching away at least a portion of the microstructures to form nano features using the nanoparticles as an etch mask, wherein the nanoparticles etch at a substantially slower rate than the substrate.
In yet another aspect, provided is a method of making a hierarchical article that includes providing a substrate that comprises a nanofeatured pattern, adding a layer to the substrate, and generating a microstructured pattern in the layer, wherein generating a microstructured pattern comprises removing at least a portion of the layer to reveal at least a portion of the substrate, and wherein the nano featured pattern is formed by a method comprising interference lithography.
In this application: the articles "a", "an", and "the" are used interchangeably with "at least one" to mean one or more of the elements being described; the term "etching agent" refers to an agent used to remove material from a substrate and can be a wet etching agent such as, for example, an acid bath, or a dry etching agent such as, for example, reactive ions from a plasma, or a high energy laser beam; the term "etch mask" refers to a structure that is held in proximity to or in contact with the substrate so as to allow or to prevent exposure of regions of the substrate to optical or etchant beams; the term "etch resist" refers to a layer or layers of material that is placed on the substrate and can be patterned to form a resist pattern, which, under the etching conditions used, etches more slowly than the substrate; the term "hierarchical" refers to constructions that have two or more elements of structure wherein at least one element has nanofeatures and at least another element has microstructures. The elements of structure can consist of one, two, three, or more levels of depth; the terms "microstructure" or "microstructures" refer to structures that range from about 0.1 microns to about 1000 microns in their longest dimension. In this application, the ranges of nanofeatures and microstructures overlap; the terms "nanofeature" or "nanofeatures" refer to features that range from about 1 nm to about 1000 nm in their longest dimension. The nanofeatures of any article of this application are smaller than the microstructure generated on the article; the term "negative relief image" refers to a three-dimensional replication of an article that contains an inverted topological structure of the original article; the terms "pattern" or "patterns" refer to a configuration or configurations that can include regular arrays or random arrays of features or structures or a combination of both; and the term "resist" refers to a layer or layers of material that is placed on the substrate to selectively allow an etching agent to pass through in a patterned manner.
The above summary of the present invention is not intended to describe each disclosed embodiment of every implementation of the present invention. The Figures and the detailed description which follow more particularly exemplify illustrative embodiments.
Brief Description of the Drawings Figs. Ia-Ig illustrate an embodiment of the invention in which nanoparticles are used as an etch mask to form nanofeatures.
Figs. 2a-2e illustrate an embodiment of the invention in which nanofeatures are generated by interference lithography.
Figs. 3 a-3f illustrate an embodiment of the invention that uses photolithography and reactive ion etching to produce microstructure on the nanofeatures of Fig. 2e.
Figs. 4a-4c illustrate an embodiment of the invention that uses photolithography through a contact mask to produce microstructure on the nanofeatures of Fig. 2e.
Figs 5 a and 5b illustrate an embodiment of the invention in which excimer laser ablation through an aperture mask is used to produce the microstructure on the nanofeatures of Fig. 2e.
Fig. 6 is a photomicrograph of Example 2.
Figs. 7a-7e illustrate an embodiment of this invention for making hierarchical structures comprising micro structures that are normal to the substrate plane
Figs. 8a-8e illustrate an embodiment of this invention for making hierarchical structures comprising micro structures that are at an angle other than normal to the substrate plane.
Figs. 9a and 9b are photomicrographs of Example 3. Fig. 10 is a photomicrograph of Example 4. Detailed Description
In the following description, reference is made to the accompanying set of drawings that form a part of the description hereof and in which are shown by way of illustration several specific embodiments. It is to be understood that other embodiments are contemplated and may be made without departing from the scope or spirit of the present invention. The following detailed description, therefore, is not to be taken in a limiting sense.
Unless otherwise indicated, all numbers expressing feature sizes, amounts, and physical properties used in the specification and claims are to be understood as being modified in all instances by the term "about." Accordingly, unless indicated to the contrary, the numerical parameters set forth in the foregoing specification and attached claims are approximations that can vary depending upon the desired properties sought to be obtained by those skilled in the art utilizing the teachings disclosed herein. The use of numerical ranges by endpoints includes all numbers within that range (e.g. 1 to 5 includes 1, 1.5, 2, 2.75, 3, 3.80, 4, and 5) and any range within that range.
Provided is a method of making a hierarchical article that includes providing a substrate that has a nanofeatured pattern, adding a layer to the substrate, and generating a micro structured pattern in the layer, wherein generating a microstructured pattern comprises removing at least a portion of the layer to reveal at least a portion of the substrate.
The hierarchical article can be used directly for its intended purpose, or the article can be used as a mold to generate a replica by, for example, casting a polymer onto the article, solidifying the polymer and separating the solidified polymer from the article to form a replica that has a negative relief image of the original hierarchical article. This replica can in turn be used as a mold to replicate the original article. With application of appropriate protective coatings and/or release coatings, many replicas can be made from the original hierarchical article, many molds can be made from the original replica or replicas or many more replicas can be made from the second generation or daughter molds. The molds can then be used for their intended purpose or can be used to make multiple additional replicas for mass production.
The substrate can be selected from a variety of materials. These materials include polymeric films such as, for example, polyimide or polymethylmethacrylate, or inorganic materials such as glasses, silicon wafers, and silicon wafers with coatings. The coatings on the silicon wafers can include polymer film coatings such as, for example, polyimides or urethane acrylates, or can include inorganic coatings such as, for example, an Siθ2 coating. Additionally the substrate can be a porous glass as disclosed by Wiltzius et al., Phys. Rev. A., 36(6). 2991, (1987) entitled "Structure of Porous Vycor Glass"; a polymer surface dewetted by a thin polymer film as described by Higgens et al., Nature, 404, 476 (2000) entitled "Anisotropic Spinodal Dewetting As a Route to Self-assembly of Patterned Surfaces", a mixed ionic crystal such as described in Ringe et al, Solid State Ionics, YYh, 2473 (2006) entitled "Nanoscaled Surface Structures of Ionic Crystals by Spinodal Composition", or a light sensitive substrate. Light sensitive substrates can include photosensitive polymers, ceramics, or glasses.
The substrate can have a nanofeatured pattern that includes nanofeatures. The pattern can be in the form of a regular array of nanofeatures, a random arrangement of nanofeatures, a combination of different regular or random arrangements of nanofeatures, or any arrangement of nanofeatures. The nanofeatured pattern can be formed directly in the substrate or in an added layer. Additionally, the nanofeatured pattern can be formed as a part of the substrate.
The nanofeatured pattern can be formed directly in the substrate. The pattern can be generated using patterning techniques such as anodization, photoreplication, laser ablation, electron beam lithography, nanoimprint lithography, optical contact lithography, projection lithography, optical interference lithography, reactive ion etching, ion beam etching, and inclined lithography. The pattern can then be transferred into the substrate by removing existing substrate material using subtractive techniques such as wet or dry etching, if necessary. The nanofeatured pattern can be transferred into the substrate by wet or dry etching through a resist pattern. Resist patterns can be made from a variety of resist materials including positive and negative photoresists using methods known by those skilled in the art. Wet etching can include, for example, the use of an acid bath to etch an acid sensitive layer or the use of a developer to remove exposed or unexposed photoresist. Dry etching can include, for example, reactive ion etching, or ablation using a high energy beam such as, for example, a high energy laser, or ion beam.
Alternatively, a layer or layers of nanoparticles coated on the top of the substrate can act as a resist pattern by preventing exposure of the substrate to radiation or etching where the nanoparticles reside, but allowing exposure of the resist in the areas not in direct line of the nanoparticles. The nanoparticles can be dispersed and can, optionally, be combined with a binder or article-modified to make them immobile on the article of the added layer. Nanoparticles that can be useful as an etch mask include oxides such indium- tin oxide, aluminum oxide, silicon dioxide, titanium dioxide, zirconium dioxide, tantalum oxide, hafnium oxide, niobium oxide, magnesium oxide, zinc oxide, indium oxide, tin oxides, and other metal or metalloid oxides. Other useful nanoparticles include nitrides such as silicon nitride, aluminum nitride, gallium nitride, titanium nitride, carbon nitride, boron nitride and other nitrides known by those skilled in the art to be nanoparticles. It is also possible to use metal nanoparticles as an etch mask. Metal nanoparticles include nanoparticles of aluminum, copper, nickel, titanium, gold, silver, chromium, and other metals. Indium-tin oxide (ITO) nanoparticles have been found to be disperable in isopropanol and adherent to polyimide films and can be used as an etch mask without modification or the addition of other additives. Other nanoparticles can be dispersible with the addition of article modification groups as known by those skilled in the art.
It is also contemplated that the nanofeatured pattern can be formed on the substrate by coating the substrate with metal such as, for example, gold, silver, aluminum, chromium, nickel, titanium, and copper, annealing the metal to form islands of metal and then using the islands of metal as an etch mask for the substrate itself. Etching of the substrate can be accomplished with any of the etching techniques mentioned earlier in this application. It is also within the scope of this disclosure to form the nanofeatured pattern using chromonics as disclosed, for example, in U.S. S.N. 11/626,456 (Mahoney et al), which is incorporated herein by reference, as an etch mask.
The nanofeatured pattern can also be formed by direct modification of the substrate without the addition of any additional material. For example laser ablation can remove selected areas of the substrate to form nano features. If the substrate is light-sensitive then it can be possible to form the nanofeatured pattern by exposing the photosensitive substrate by optical projection or contact lithography and then developing. Alternatively, interference photolithography can be used to generate a nanopattern in a photosensitive material. Anodization of a conductive substrate can also be used to form the nanofeatured pattern. Patterns can be formed directly in the substrate by using a high energy beam to ablate the substrate. The pattern can be defined by rastering the beam, or by using an etch mask to protect parts of the substrate. The etch mask can be formed, for example, from an etch resist. This approach can be particularly useful for forming nanofeatured patterns subtractively in some polymer substrates such as, for example, polyimide.
The nanofeatured pattern can also be formed by adding a material to the substrate. The material can include the nanofeatured pattern when it is added to the substrate, or the material can be added to the substrate and then subsequently have the nanofeatured pattern generated in it. The nanofeatured pattern can be formed in the material before it is added to the substrate. The nanofeatured pattern can be added to the material subtractively using the methods herein. The nanofeatured pattern can also be cast into the added material. For example, a replica with a negative relief article of the nanofeatured pattern can be used to form the nanofeatured pattern in the material. In this case, the material can be a thermoplastic material that flows at a high temperature and then becomes solid at room temperature or at use temperature. Alternatively, the material can be a thermoset and can be cured using a catalyst, heat, or photoexposure depending upon its chemistry. When the material is added to the substrate it can be added as a solid. The material can be added to the substrate by lamination or by adding a thin adhesive material. Materials that can be used for this purpose include thermoplastic polymers that flow at elevated temperatures but not at lower temperatures such as room temperature. Examples of thermoplastic polymers that can be used include acrylics; polyolefms; ethylene copolymers such as polyethylene acrylic acid; fluoropolymers such as polytetrafluoroethylene and polyvinylidene fluoride; polyvinylchloride; ionomers; ketones such as polyetheretherketone; polyamides; polycarbonates; polyesters; styrene block copolymers such as styrene-isoprene-styrene; styrene butadiene-styrene; styrene acrylonitrile; and others known to those skilled in the art. Other useful materials for forming a substrate with nanofeatures include thermosetting resins such as, for example, polydimethylsiloxanes, urethane acrylates and epoxies. An example of thermosetting resins can be a photocrosslinkable system, such as a photocurable urethane acrylate, that forms a polymeric substrate with nanofeatures upon curing.
When addition of a material to the substrate is used to produce the nanofeatured pattern, a number of materials can be used. For example, a photoresist (negative or positive) can be added to the substrate. The photoresist can be exposed to light passing through a photomask or projected through a lens system to produce nanofeatures. Additionally interference lithography can be used to produce the nanofeatured pattern. Interference lithography is discussed, for example, in S. R. J. Brueck, "Optical and Interferometric Lithography-Nanotechnology Enablers", Proceedings of the IEEE, Vol. 93
(10), October 2005. The exposed (positive photoresist) or unexposed (negative photoresist) areas can then be removed by using a developing solution to dissolve the undesired photoresist. The resist can then be hardened by physical or chemical means for use in later steps. It is also contemplated that the photoresist can be exposed by directly writing with a rastered or digitally-pulsed laser beam, or by interference lithography as is known in the art. The developed photoresist can then be hardened and used as described herein. Useful photoresists include negative photoresists such as UVN 30 (available from Rohm and Haas Electronic Materials, Marlborough, MA), and FUTURREX negative photoresists (available from Futurrex, Franklin, NJ), and positive photoresists such as UV5 (available from Rohm and Haas Electronic Materials) and Shipley 1813 photoresist (Rohm and Haas Electronic Materials). Other photopolymers can be used to generate the nanofeatures. Any photopolymer system known to those skilled in the art can be used that can be used to form nanofeatures upon exposure to radiation (UV, IR, or visible). The resist pattern produced by exposure and development of the photoresist materials, can also be transferred into the substrate by direct removal of unwanted materials by dry etching using the photoresist as resist pattern. For example, reactive ion etching can be used to remove parts of the substrate or materials added to the substrate in a manner so as to generate nanofeatures. In reactive ion etching, a reactive gas species, such as CF4 or SFg is added to a reaction chamber. A plasma is generated by applied radio frequency (RF) potentials. This causes some of the gas molecules to be ionized.
These ionized particles can be accelerated towards various electrode articles and can etch or dislodge molecules from the article they impinge upon. Typically, reactive ion etching is accomplished through an etch mask or directly using a rastered or digitally controlled beam. Alternatively, a thin metal layer can be deposited on the substrate, the photoresist can be deposited on the metal, and the photoresist can be patterned, then the resist pattern can be transferred into the metal by wet etching. In this way a metal pattern can be generated that can serve as a resist pattern for dry etching of the substrate. Consequently a large etch rate difference between the (metal) resist pattern and the substrate can be achieved.
As another example, an electron beam (e-beam) can be used to create a resist pattern in an e-beam resist. For example, poly(methyl methacrylate), available from MicroChem, Corp., Newton, MA), can be added to the substrate and an etch mask that includes nano features can be produced by development of the resist. Subsequently the substrate can be reactive ion etched through the resist pattern.
The method of making a hierarchical article of this disclosure can include adding a layer to a substrate that has a nano featured pattern that includes nano features. This added layer is the one from which or into which microstructures are fabricated. The microstructures can be fabricated by any of the techniques described herein. The added layer can be a photopolymer, a non-photosensitive polymer, deposited glass, deposited metal or a deposited semiconductor. The layer can be added, for example, by coating, lamination, deposition, printing, or any other techniques known to those skilled in the art.
The added layer can be an etch resist. The resist can be patterned or patternable. The resist can be a photoresist. Exemplary coating techniques to add the layer can include, for example, solution coating, dispersion coating, hot-melt coating, knife coating, and dip coating. Lamination can include, for example, heat lamination, photochemical lamination, and also can include article modification of the substrate or the layer or both. Post- lamination annealing can be done, if desired, to enhance adhesion. Vapor deposition techniques such as, for example, evaporative vapor deposition, sputtering, chemical vapor deposition, or plasma enhanced chemical vapor deposition are methods that can be used to add a layer to the substrate and are within the scope of this disclosure. After addition of the layer, a microstructured pattern can be generated in the layer.
The microstructured pattern can be formed by removing at least a portion of the layer to reveal at least a portion of the substrate that includes nano features. The microstructured pattern can be formed in the layer by any manner known by those in the art. The microstructured pattern can be generated photochemically by using a photoresist or other photopolymer system. The patterned exposure can be made through a photomask in contact with the resist layer, by projection of a radiative pattern onto the resist layer, by writing a pattern with a laser into the resist layer, by interference lithography, or by any other means known by those of skill in the art. If the photoresist is a positive photoresist the exposed areas can be rendered soluble in a developer by the exposure and the exposed portions can be removed to reveal the substrate. If the photoresist is a negative photoresist, the exposed areas can be rendered insoluble in a developer and the unexposed portions of the photoresist can be removed to reveal the substrate. Other photopolymers that can be used to form the microstructures include, for example, urethane acrylates, and epoxies. The microstructure can also be formed subtractively using patterned etching or patterned ablation with or without an etch mask as described herein for the formation of nanofeatures. Useful materials for etching or ablating include desposited polymers, glasses, metal oxides, metals, and semiconductors. Polymers useful in this invention can include polyimides, urethane acrylates, and epoxies. Deposited glass can include, for example, SiC>2, and BPSG (borophosphate silicated glass). Deposited metal can include, for example, aluminum, silver, gold, chromium, and nickel. Deposited semiconductor layers can include, for example, zinc oxide, silicon, boron nitride, zinc sulfide, cadmium sulfide, and other inorganic semiconductors. Deposited semiconductors layers can also include organic semiconductors such as pentacene, pentacene derivatives, or other organic semiconductors such as those disclosed in U. S. Pat. Nos. 6,998,068 and 7,109,519 (both to Gerlach), U. S. Pat. No. 7,211,679 (Gerlach et al), and U.S.S.N. 11/278,222 and 11/379,642 (both Zhu et al.) which are hereby incorporated by reference. The microstructures can be formed at right angles (90° or normal) to the plane of the substrate surface or a contact mask, if one is used. Alternatively, the microstructure can be produced at an angle other than normal to the plane of the substrate surface or the contact mask. If the microstructure is at an angle other than 90° the resulting structures can be referred to as "angled posts". Angled posts can be made using methods such as inclined lithography. Inclined lithography is described for example, in Beuret et al., IEEE
Micro Electro Mechanical Systems, Oiso, Japan, January 1994, pp.81-85, and Han et al., Sensors and Actuators A, 111, 14-20 (2004). Angled posts can be made at angles of less than about 85.5°, less than about 78°, less than about 70°, less than about 65°, less than about 60° or even less than about 45° to the plane of the substrate. It is also contemplated that the microstructure can be formed by a photopolymer with a two-photon initiation system such as those disclosed in, for example, U. S. Pat. No. 6,750,266 (Bentsen et al.) which is incorporated herein by reference. With a two-photon system polymerization, the microstructures can be formed by polymerizing the microstructured features using a two-photon fabrication system such as that disclosed in, for example, U.S. S.N. 11/531,836 (Faklis et al.) which is incorporated herein by reference. In such a system direct photo-crosslinking of three-dimensional objects is possible and the microstructure can be directly written into the photopolymer. As with conventional photochemical generation, the unpolymerized portion of the two-photon system is removed by use of an appropriate solvent to reveal at least a portion of the original substrate. The microstructured pattern can also be generated subtractively by dry etching the added layer using, for example, a reactive ion beam, a high energy laser beam, or an excimer laser. Excimer laser ablation is described in more detail in U. S. Pat. No. 6,285,001 (Fleming et al.) which is incorporated herein by reference. The beams can be patterned by passing them through a photomask or an aperture mask. The photomask can be a solid object such as a glass plate that is transparent to the energy beam but has an opaque (to the frequency of the energy) pattern. Alternatively the photomask can be a solid object that has patterned holes in it. In this case the energy beam is allowed to pass through the holes but is blocked by the object. It is important when using a photomask that the energy beam does not rapidly destroy the mask before etching is completed. Patterned portions of the added layer can also be removed by direct exposure to a high energy beam by rastering or digitally pulsing the beam to move it to locations where removal of the layer is desired.
In other embodiments, also provided is a method of making an article comprising providing a substrate comprising microstructures, adding nanoparticles to the microstructures, and etching away at least a portion of the microstructures to form nanofeatures using the nanoparticles as an etch mask, wherein the nanoparticles etch at a substantially slower rate than the substrate.
The hierarchical surface can be used directly for its intended purpose or a mold can be made from the hierarchical surface by adding a polymer to the surface, solidifying the polymer and separating the solidified polymer from the surface to form a mold that has a negative relief image of the original hierarchical surface. This mold can be used to produce replicas of the original surface. With application of appropriate protective coatings and/or release coatings, many molds can be made from the original hierarchical surface, many replicas can be made from the original mold or molds or many more molds can be made from the second generation replicas. The replicas can be used for their intended purpose or can be used to make multiple additional molds for mass production. The substrate can be selected from a variety of materials. These materials include polymeric films such as, for example, polyimide or polymethylmethacrylate, or inorganic materials such as metals, glasses, silicon wafers, and silicon wafers with coatings. The coatings on the silicon wafers can include polymer film coatings such as, for example, polyimides or urethane acrylates, or can include inorganic coatings such as, for example, an SiC>2 coating.
The substrate comprises microstructures. The microstructures can be formed directly in the surface of the substrate by ablative processes. Alternatively, the microstructures can be formed in a layer or layers added to the substrate. Additionally, the microstructures and the substrate can be formed in one process at the same time. Microstructures can be formed directly in the substrate by, for example, subtractive processes. Microstructures can be formed subtractive Iy in a substrate by removing existing material using a high energy beam process such as laser ablation, ion beam etching, deep UV lithography, interference lithography, inclined lithography, electron beam lithography or reactive ion etching, to name a few. This can be particularly useful when the substrate is an inorganic material such as a metal, or ceramic as, for instance, silicon or silicon dioxide. Microstructures can also be formed ablatively in some polymer substrates such as, for example, polyimide. A high energy beam can be scanned under the direction of a computer program to remove desired patterns of material under a rastered or digitally-pulsed beam. Alternatively, the high energy beam can be passed through an etch mask. The etch mask can be a photomask (such as a glass or plastic plate with patterns of high density material deposited on it which resist passage of the beam and patterns without high density material which are transparent to the beam). Alternatively, the etch mask can be an aperture mask. Aperture masks are made of metal or other high density materials such as metal oxides that have patterned openings through which the high energy beams can pass. When the etch mask is placed close to or in direct contact with the substrate it is referred to as a contact mask. The microstructures can be formed at right angles (90° or normal) to the plane of the substrate surface or the contact mask, if one is used, as previously described herein. Alternatively, the microstructure can be produced at an oblique angle to the plane of the substrate or the plane of the contact mask, if one is used. The microstructures can also be formed by adding a material to the substrate. The material can include the microstructure when it is added to the substrate, or the material can be added to the substrate and then subsequently have the microstructure generated in it. The microstructure can be formed in the material before it is added to the substrate. The microstructure can be added to the material subtractively using the methods herein. The microstructure can also be cast into the added material. For example, a replica with a negative relief article of the microstructure can be used to form the microstructure in the material. In this case, the material can be a thermoplastic material that flows at a high temperature and then becomes solid at room temperature or at use temperature. Alternatively, the material can be a thermoset and can be cured using a catalyst, heat, or photoexposure depending upon its chemistry. When the material is added to the substrate it can be added as a solid. The material can be added to the substrate by lamination or by adding a thin adhesive material. Materials that can be used for this purpose include thermoplastic polymers that flow at elevated temperatures but not at lower temperatures such as room temperature. Examples of thermoplastic polymers that can be used include acrylics; polyolefϊns; ethylene copolymers such as polyethylene acrylic acid; fluoropolymers such as polytetrafluoroethylene and polyvinylidene fluoride; polyvinylchloride; ionomers; ketones such as polyetheretherketone; polyamides; polycarbonates; polyesters; styrene block copolymers such as styrene-isoprene-styrene; styrene butadiene-styrene; styrene acrylonitrile; and others known to those skilled in the art. Other useful materials for forming a substrate with nanofeatures include thermosetting resins such as, for example, polydimethylsiloxanes, urethane acrylates and epoxies. An example of thermosetting resins can be a photocrosslinkable system, such as a photocurable urethane acrylate, that forms a polymeric substrate with microstructures upon curing. When addition of a material to the substrate is used to produce the microstructures, a number of materials can be used. For example, a photoresist (negative or positive) can be added to the substrate. The photoresist can be exposed to light passing through a photomask or projected through a lens system to produce microstructures. Additionally, interference lithography can be used to produce the microstructures. Interference lithography is discussed, for example, in S. R. J. Brueck, "Optical and Interferometric Lithography-Nanotechnology Enablers", Proceedings of the IEEE, Vol. 93. (10), October 2005. The exposed (positive photoresist) or unexposed (negative photoresist) areas can then be removed by using a developing solution to dissolve the undesired photoresist. The resist can then be hardened by physical or chemical means for use in later steps. It is also contemplated that the photoresist can be exposed by directly writing with a rastered or digitally-pulsed laser beam, or by interference lithography as is known in the art. The developed photoresist can then be hardened and used as described herein. Useful photoresists include negative photoresists such as UVN 30 (available from Rohm and Haas Electronic Materials, Marlborough, MA), and FUTURREX negative photoresists (available from Futurrex, Franklin, NJ), and positive photoresists such as UV5 (available from Rohm and Haas Electronic Materials) and Shipley 1813 photoresist (Rohm and Haas Electronic Materials). Other photopolymers can be used to generate the microstructures.
Any photopolymer system known to those skilled in the art can be used that can be used to form microstructures upon exposure to radiation (UV, IR, or visible).
As another alternative, the microstructures and the substrate can be formed at the same time. For example, a curable polymer system can be used to make microstructure as a part of a substrate using a mold. If the system is allowed to cure while in contact with a micro structured surface it will produce a negative relief image of the surface. The bulk of the cured polymer system can be used as the substrate. If a photopolymer system is used, it can be cured by a patterned radiation source or it can be cast in a transparent mold and photocured. In this manner, a substrate can have microstructure without the need to add a layer.
The method of making hierarchical structures can include adding nanoparticles to the microstructures wherein the nanoparticles etch at a substantially slower rate than silicon dioxide, and wherein the etch mask comprises nanoparticles. Useful nanoparticles for this invention include nanoparticles that can be applied as a dispersion and remain dispersed on the microstructures. Nanoparticles can be made dispersible in solvent systems by either modifying the surface of the nanoparticles or by adding a dispersant to the solvent system or both. Typical surface modifications include adding a surface modifying agent to the nanoparticles and allowing the surface modifying agent to react with the nanoparticles. Useful surface modification processes are described, for example, in U. S. Pat. Nos. 2,801,185 (Her) and 4,522,958 (Das et al.) and PCT Pat. Appl. No. WO 2006/083431 (Baran et al.) all of which are incorporated herein by reference. Alternatively, dispersants can be added to the solution to make the nanoparticles remain dispersed in the solvent system. For example, dispersants such as polyurethanes, polyacrylates such as, for example, EFKA polyacrylates available from CIBA Specialty Chemicals, Tarrytown, NY, dodecylbenzenesulfonic acid available from Aldrich Chemical, Milwaukee, WI can be used to disperse nanoparticles. Some nanoparticle dispersions are available commercially such as, for example, indium-tin oxide dispersions under the tradename, ITO-SOL, available from Advanced Nano Products Co., Ltd., Chungcheonbuk-do Korea, or Siθ2 particle dispersions such as are available from Nalco
Specialty Chemicals, Naperville, IL. The nanoparticles can function as an etch mask for further processing of the microstructures. The nanoparticles can be dispersed and can, optionally, be combined with a binder to make them immobile on the surface of the added layer.
The nanoparticles of this invention comprise nanoparticles that etch at a substantially slower rate than the substrate. Table I provides the etch rate for a number of substrate and nanoparticle materials. Polymers, such as polyimide and photoresists, are known to etch at a much faster rate than any of the inorganics listed in Table 1.
Table I
Reactive Ion Etching Rates for Metal Oxides (C4F8 and O2 process gasses)
Figure imgf000018_0001
Nanoparticles that can be useful as an etch mask include oxides such indium-tin oxide, silicon dioxide, titanium dioxide, zirconium dioxide, tantalum oxide, hafnium oxide, niobium oxide, magnesium oxide, zinc oxide, indium oxide, tin oxides, and other metal or metalloid oxides. Other useful nanoparticles include nitrides such as silicon nitride, aluminum nitride, gallium nitride, titanium nitride, carbon nitride, boron nitride and other nitrides known by those skilled in the art to be nanoparticles. It is also possible to use metal nanoparticles as an etch mask. Metal nanoparticles can include, for example, aluminum, copper, nickel, titanium, gold, silver, chromium, and other metals. Indium-tin oxide (ITO) nanoparticles have been found to be disperable in isopropanol and adherent to polyimide films and can be used as an etch mask without modification or the addition of other additives. Other nanoparticles can be dispersible with the addition of surface modification groups as known by those skilled in the art.
Nanofeatures can be formed on the microstructures by ablative processes using the dispersed nanoparticles as an etch mask. The ablative processes that are useful in this step include any of the high energy ablation processes useful to form the microstructures. The nanofeatures can be formed ablatively by removing existing material using a high energy beam such as laser ablation, ion beam etching, deep UV lithography, nanoimpring lithography, electron beam lithography or reactive ion etching to name a few. For example, reactive ion etching can be used to remove parts of the substrate or materials added to the substrate in a manner so as to generate nanofeatures. In reactive ion etching, a reactive gas species, such as C4Fg or SFg, is added to a reaction chamber. A plasma is generated by applied radio frequency (RF) potentials. This causes the gas molecules to be broken down into a number of fragments and radicals, a significant number of which become ionized. These ionized particles can be accelerated towards various electrode surfaces and can etch or dislodge molecules from the surface they impinge upon. The result is that material on the microstructures is removed where the high energy beam is able to reach the surface (for example, between the nanoparticles). The material on the microstructures that is shielded from the high energy beam by the dispersed nanoparticles is not ablated. The result is that the microstructures have nanofeatures that can be in the form of for example, nanoposts, where the nanoparticles can act like as an etch mask and protect the microstructure from ablation.
In another aspect this invention provides for a method of making a replica comprising providing a substrate comprising microstructures, adding nanoparticles to the microstructures, etching away at least a portion of the microstructures, using the nanoparticles as an etch mask, to form a hierarchical article, adding a polymer to the hierarchical article, and separating the polymer from the hierarchical article to produce a replica, wherein the nanoparticles etch at a substantially slower rate than the substrate. In yet another aspect, what is provided is a method of making a replica of a hierarchical article comprising providing a substrate that has a nanofeatured pattern, adding a layer to the substrate, generating a microstructured pattern in the layer, applying a polymer to the microstructured pattern, and separating the polymer from the article to produce a replica wherein generating a microstructured pattern comprises removing at least a portion of the layer to reveal at least a portion of the substrate.
Polymers useful for forming the replica can include thermoplastic polymers and thermosetting polymers known to those skilled in the art. Thermoplastic polymers can include materials that soften or melt above room temperature but that are rigid and can hold structure when at or below room temperature. Some thermoplastic polymers that can be useful to produce replicas include, for example, polymethylmethacrylate (PMMA), polycarbonate (PC), polystyrene (PS), polyvinylchloride (PVC), polypropylene (PP), polyethylene terephtalate (PET), polyetheretherketone (PEEK), polyamide (PA), polysulfone (PSU, very brittle polymer), polyvinylidenefluoride (PVDF), and polyoxymethylene (POM, very soft and elastic polymer). Thermosetting polymers can also be useful for forming replicas. Thermosetting polymers that are useful include polysiloxanes (such as polydimethyldisiloxane (PDMS)), polyimides (made from curing of polyamic acid), and urethane acrylates. For the replication of nano features and microstructures, the polymers used to form the replica can have low viscosity. This can allow the polymer to flow into and around the small features of the article. It can be useful to apply the polymer to the article under vacuum so that air entrapment between the article and the polymer is minimized. It can be advantageous to apply a release coating to the hierarchical article before forming a replica. If the hierarchical article is made from SiC>2 SiN, or other inorganic or polymeric materials, the article can be coated with a fluorosilane release agent such as, for example, trimethychlorosilane or fluorinated siloxanes such as those disclosed in U. S. Pat. No. 5,851,674 (Pellerite et al.). Also useful for this purpose are hexafluoropolyprolylene oxide derivatives such as those disclosed in U. S. Pat. No. 7,173,778 (Jing et al.). These disclosures are hereby incorporated by reference.
Alternatively, the article can be metallized with, for example, a thin layer of nickel that has been vapor deposited or deposited by electroless plating. If the article is metallized it can also be advantageous to put a release agent on the metallized article to enhance the release of the polymers that form the replica. For example, the article can be coated with a release layer such as a fluorinated phosphonic acid as disclosed in U. S. Pat. No. 6,824,882 B2 (Boardman et al.) or perfluoropolyether amide-linked phosphonates such as those disclosed in U. S. Pat. Publication No. 2005/0048288 (Flynn et al). It is also contemplated that the hierarchical article can be protected by coating with diamond-like glass as disclosed, for example in U. S. Pat. No. 6,696,157 (David et al.). These disclosures are hereby incorporated by reference.
The replica-forming polymers can be placed in contact with the hierarchical article (with or without a protective coating), cured by any of a variety of means including heat, moisture or radiation, and then separated from the article to produce a negative relief image (replica) of the article. The replicas can be used to produce secondary or daughter molds of the original article.
The figure sequences Ia-Ig, 2a-2e, 3a-3f, 4a-4c, and 5a-5b illustrate various embodiments of a method for making a hierarchical article that include providing a substrate that has a nanofeatured pattern, adding a layer to the substrate, and generating a micro structured pattern in the layer, wherein generating a microstructured pattern comprises removing at least a portion of the layer to reveal at least a portion of the substrate. Fig. Ia provides substrate 102 that is a silicon wafer, upon which is coated polymeric layer 104 (polyimide). Nanoparticles 112 are coated from a dispersion on the added layer 104. After drying, the result is shown in Fig. Ib and includes substrate 102, coated layer 104, and nanoparticles 112 on the polymeric layer 104. The intermediate shown in Fig. Ib is then subjected to etching, for example, reactive ion etching. The layer 104 is etched by the reactive ion beam in locations where it is directly exposed to the beam. However the dispersed nanoparticles 112 act as an etching mask and shield the layer 104 from etching where they are present. The result is shown in Fig. Ic and includes substrate 102 with etched layer 122 comprising nano features (in the form of nanopits where the reactive ion beam is not screened by the nanoparticles and etches away part of substrate 104 to form etched layer 122). As shown in Fig. Id, a negative photoresist 132 is then coated over the etched layer 122. The photoresist 132 is exposed though a photomask that includes a microstructured pattern. The photoresist is developed in a developer solution and the soluble portions removed. The result is the hierarchical article shown in Fig. Ie comprising substrate 102 with etched layer 122 (containing nano features) and a microstructured pattern with elements 142.
As shown in Fig If, replication material 152 is then cast over the article shown in Fig. Ie. After curing (by using heat, moisture, or actinic radiation), the replica 154 as shown in Fig. Ig is separated from the replica. Replica 154 has microstructure with nanofeatures as shown. Figs. 2a-2e illustrate a method that generates the nanofeatures by interference lithography. These nanofeatures are used in the sequences shown in Figs. 3a-3f, 4a-4c and 5a-5b to generate hierarchical articles or replicas.
In Fig 2a-2e, a thin aluminum layer 204 is deposited upon the substrate 202 which is made from SiC>2 deposited upon a silicon wafer. A positive photoresist 212 is then coated onto aluminum layer 204 as shown in Fig. 2b. Two exposures are made at right angles to form three-dimensional nanofeatures by interference lithography. The photoresist 212 is developed and the exposed areas are removed as shown in Fig. 2c to produce an article that includes substrate 202, aluminum layer 204 and a layer of undeveloped photoresist 206 that has nanofeatures 222. In the next step, shown in Fig. 2d, the aluminum layer 204 that is not covered by the photoresist 222 is wet-etched to remove aluminum and to expose the substrate 202. Nanofeatures are etched into the substrate as shown in Fig. 2e. Reactive ion etching removes the photoresist and the Siθ2 substrate but is resisted by the aluminum 206 which acts as an etching mask. The result, shown in Fig. 2e, is a Siθ2 substrate that includes nanofeatures. In Fig. 2e the etching has also eroded the aluminum layer away. The etch rate of the aluminum is much slower than that of the substrate. The sequence of Figs. 3a- 3 f illustrates an embodiment of the method of this disclosure to produce nanofeatures using interference lithography as shown in the sequence of Figs. 2a-2e and uses lithography and reactive ion etching to produce microstructure on the nanofeatures. As shown in Fig. 3a, polymeric resin layer 304 is coated onto nanofeature-containing substrate 302 and is cured by heat. A thick layer of aluminum 306 is coated on the cured resin 304 as shown in Fig. 3b. A positive photoresist 308 is then coated onto the aluminum layer as shown in Fig. 3c. Lithography by exposure through a contact mask is used to pattern the photoresist 310 by forming holes 312 as shown in Fig. 3d. After development of the photoresist (removal of the exposed areas) the exposed aluminum 306 is wet-etched away to give the article shown in Fig. 3e. Fig. 3e has substrate 302 with nanofeatures that has cured resin 304 on top of the nanofeatures and has patterned photoresist 309 with patterned aluminum 310 on top. The pattern is then transferred into the resin 304 using reactive ion etching to remove exposed resin. The resulting article is shown in Fig. 3f. This article can be used to produce replicas as described above.
Another embodiment of the invention is illustrated in the sequence of Figures 4a- 4c. An SiC>2 or nickel substrate that includes nanofeatures 402 (from Fig. 2e, Fig. 3a, or
Fig 4a) is filled with a positive photoresist 404. The photoresist is exposed through contact mask 410. The exposed photoresist 404 is then removed by exposure to developer. The result is a hierarchical article that has substrate 402 with nanofeatures and cured photoresist micro features 406. An additional embodiment that is used to make hierarchical articles is shown in the sequence of Figs. 5a - 5b. An Siθ2 or nickel substrate that includes nanofeatures 502
(from Fig. 2e or Fig. 3a) is used as is or is coated with a protective coating of nickel by plating processes disclosed earlier and known by those skilled in the art. A resin 504, for example a curable urethane acrylate, is poured into the substrate with nanofeatures 502 and cured thermally or photochemically. Excimer laser ablation through mask 506 is used to produce the microstructure 505 as shown in Fig. 5b. This structure is then used as a mold to produce replicas as disclosed above. In this application, although the term replica and mold can be used interchangeably depending upon whether the hierarchical article or its replica is used as the final product, it is assumed that the original hierarchical article is a negative mold used to prepare positive replicas. The figure sequences 7a-7e and 8a-8e illustrate some embodiments of a method of making an article comprising providing a substrate comprising microstructures, adding nanoparticles to the microstructures, and etching away at least a portion of the microstructures to form nanofeatures using the nanoparticles as an etch mask, wherein the nanoparticles etch at a substantially slower rate than the substrate. Fig. 7a illustrates an embodiment of making hierarchical structures wherein the micro structure is at 90° or normal to the substrate plane. Fig. 7a provides a substrate 702 that can be, for example, a silicon wafer. In this embodiment a negative photoresist 704 is coated onto the substrate. In Fig. 7b, a contact mask 708 is placed on the photoresist layer and the photoresist is exposed to ultraviolet radiation. This results in areas of unexposed photoresist 104 and areas of exposed photoresist 706. In the exposed areas, the photoresist has been crosslinked and is not insoluble in solvents. The contact mask is removed and a development solution is used to remove the soluble, unexposed regions. The result is shown in Fig. 7c and consists of substrate 702 with microstructures 706. The substrate with microstructures is then coated with a dispersion of nanoparticles. Indium- tin oxide nanoparticles are an example of useful nanoparticles. Fig. 7d shows substrate
702 with microstructures 706 coated with nanoparticles 710. The nanoparticles 710 act as an etch mask for reactive ion etching of the microstructures. The result is shown in Fig. 7e and consists of substrate 702 with microstructures that have nanopits 714 where the nanoparticles did not shield the reactive ion beam from the microstructure. The second figure sequence 8a-8e shows another embodiment of this invention.
As shown in Fig. 8a, substrate 802 is coated with a negative photoresist 804. Then the substrate is turned at an oblique angle to the plane of the contact mask and exposed to ultraviolet radiation through contact mask 808 as shown in Fig. 8b. This exposure forms uncrosslinked areas of photoresist 804 and crosslinked areas of photoresist 806 that are at an angle other than 90° with respect to the substrate 802. After development, as shown in Fig. 8c, the substrate 802 has angled microstructure 806 on it. Fig. 8d shows the substrate 802 and angled microstructure 806 that has been coated with nanoparticles 810. After reactive ion etching the result is shown in Fig. 8e in which there is substrate 802 with angled (inclined) microstructure that has nanopits 814.
The hierarchical articles of this invention can be used for a variety of purposes. They can have utility as sensors, optical devices, fluidic devices, medical devices, molecular diagnostics, plastic electronics, micro-electromechanical systems (MEMS), and nano-electromechanical systems (NEMS). They can be used as physical adhesives or as micro or nano brushes for use in the microelectronics field.
Objects and advantages of this invention are further illustrated by the following examples, but the particular materials and amounts thereof recited in these examples, as well as other conditions and details, should not be construed to unduly limit this invention.
Examples Unless otherwise stated or apparent, all materials used in the following examples are commercially available.
Example 1.
This example used a combination of conventional optical lithography and reactive ion etching using nanoparticles as an etching mask. This example followed the scheme illustrated in Figs. Ia-Ig.
A 4μm thick layer of polyimide (PI 5878G, obtained from HD MicroSystems, Parlin, NJ) was coated onto a silicon wafer (obtained from Montco Silicon Technologies, Inc., Spring City, PA). The polyimide-coated wafer was then dipped into a suspension of lwt% indium-tin oxide (ITO) nanoparticles (available from Advanced Nano Products Co.,
Ltd, Chungcheonbuk-do Korea) in a solution of 1 : 1 (by volume) isopropanol:water. The silicon wafer with the coating of ITO nanoparticles was then etched by reactive ion etching (RIE) to create a relief pattern on the polyimide layer. The RIE was done using a Model PLASMA LAB System 100, available from Oxford Instruments, Yatton, UK. The radio frequency (RF) power was 60 watts, the pressure was 15 mTorr, the ICP power was 1900 watts and the gas flow was 2 seem C4Hg and 20 seem 02- The etching time was 50 seconds. After etching, a 15 μm thick layer of SU-8 negative photoresist (MicroChem Corp., Newton, MA) was coated on the polyimide by spin coating at 2000 rpm for 40 seconds. The photoresist was nanofeatured, baked at a temperature of 650C for 2 minutes and then at 950C for 2 minutes. Following the baking steps, the photoresist was cooled to room temperature and then exposed using an optical lithography system (obtained from
Neutronix Quintal Corp., Morgan Hill, CA.). After exposure, a post-exposure bake (650C for 2 minutes and then 950C for 2 minutes) was performed to selectively crosslink the exposed portions of the photoresist. The photoresist was developed in propylene glycol methyl ether acetate (PGMEA, available from MicroChem Corp.). This resulted in a hierarchical multistructured article. A replica was then formed from this master by replication with polydimethylsiloxane (SYLGARD 184 Silicone Elastomer Kit, available from Dow Corning, Midland, MI). The polydimethylsiloxane was poured on the patterned, cured photoresist and then was cured on a hot plate (80oC for 1 hour). After the curing the polydimethylsiloxane replica was peeled off the photoresist master to yield a multistuctured replica of the original article.
Example 2.
Small features were made by interference lithography using a 244 nm frequency doubled argon laser with an output of 300 mW (Sabre FreD Laser, available from Coherent Inc., Santa Clara, CA) in a positive photoresist (Shipley UV5 resist, available from Rohm and Haas Electronic Materials Inc., Marlborough, MA) that was coated on a silicon wafer that had an SiC>2 layer deposited on it by plasma enhanced chemical vapor deposition using a Model PLASMALAB System 100 available from Oxford Instruments, Yatton, UK. Two serial exposures were made (the second exposure was made after rotating the substrate 90 degrees). The resist pattern was transferred by reactive ion etching into the Siθ2 layer by using an aluminum mask as follows.
The silica layer deposited Siθ2 on a Si wafer was coated with aluminum. Because of the strong reflectivity of aluminum, an anti-reflective coating (ARC UV-112 Brewer Science) was coated on the aluminum before applying photoresist in order to avoid degradation of the pattern due to reflected light. A negative photoresist (Shipley UVN 30, T = 0.5μm) was coated over the ARC, and the photoresist was exposed using optical interference lithography. To fabricate the square array of nano features, two exposures were performed, with the wafer rotated by 90 degrees between exposures. A cross-hatch exposure was formed from the linear diffraction pattern produced by interference lithography. After the interference lithography exposure and development of the photoresist, the ARC layer was removed by reactive ion etching and the aluminum was patterned by wet etching through the photoresist pattern. Finally nanofeatures were etched into the Siθ2 using reactive ion etching through the Al pattern.
A 21 μm layer of a urethane acrylate (PHOTOMER 6210, available from Cognis, Co., Cincinnati, OH) was coated on the nanofeatures. A thick layer of aluminum (2000 nm) was coated on the urethane acrylate layer by electron beam evaporation and then a photoresist (Shipley PR1813, available from Electronic Materials, Inc.) was coated on top of the stack. Standard contact mask lithography was used to pattern the photoresist to generate a 10 μm hole pattern. A wet etch using a mixed solution containing 500 ml H4PO3 19.4 ml HNO3 96.8 ml glacial acetic acid, 32.2 ml water, and 0.6 ml ethylene glycol was performed to transfer the pattern in the photoresist into the aluminum layer.
The aluminum microstructure was then transferred into the urethane acrylate by reactive ion etching. A UV-curing acrylate resin containing PHOTOMER 6210 and SARTOMER 238 (75:25 by weight) (both available from Sartomer, Co., Warrington, PA) was used to replicate the hierarchical structure which is shown in the photomicrograph in Fig. 6.
Example 3
An antireflective coating (ARC UV-112, available from Brewer Science, Rolla, MO) was applied to the surface of a silicon wafer (available from Montco Silicon Technologies, Inc., Spring City, PA). A 21 μm layer of SU-8 negative photoresist (available from MicroChem Corp., Newton, MA) was coated onto the antireflective coated silicon wafer by spin coating at 2000 r.p.m, followed by baking at a temperature of 650C for 2 minutes and then 950C for 2 minutes. The photoresist was exposed through a contact mask at a tilt of 4.5° from the normal to the plane of the contact mask using an inclined exposure lithography system. Inclined lithography is described for example, in Beuret et al., IEEE Micro Electro Mechanical Systems, Oiso, Japan, January 1994, pp.81- 85, and Han et al., Sensors and Actuators A, 111, 14-20 (2004). After the exposure, a post-exposure bake at 650C for 2 minutes followed by 950C for two minutes was performed to selectively crosslink the exposed portions of the photoresist. The photoresist was then developed in propylene glycol methyl ether acetate (PGMEA) and dipped into a solution of indium-tin oxide (ITO) nanoparticles (available from Advanced Nano Products Co., Ltd., Chungcheonbuk-do, Korea) 1 wt% suspended in a 1 :1 by volume solution of isiopropanol: water to give microstructures (microposts) covered with ITO nanoparticles. After drying the microposts were then etched using reactive ion etching (RIE) using the ITO nanoparticles as an etch mask. The RIE was done using a Model PLASMA LAB System 100, available from Oxford Instruments, Yatton, UK. The RF power was 60 watts, the pressure was 15 mTorr, the ICP power was 1900 watts and the gas flow has 2 seem C4Hg and 20 seem 02- The etching time was 50 seconds. The result is shown in
Figs. 9a and 9b and shows nanoposts that are between 100 and 200 nm in diameter on top of microstructures (posts) that are about 7 μm in diameter and about 21 μm in height.
Example 4
Example 4 was performed in a manner identical to Example 3 with the exception that the inclined exposure lithography was performed at an angle of about 12° to the normal to the plane of the contact mask. The result is shown in Fig. 10 and shows nanoposts that have dimensions of 100 - 200 nm in diameter and about 500 nm in height on top of microposts that are 6 μm in diameter and 21 μm in height.
Example 5
A mold was formed from above master (Example 4) using poly (dimethyl siloxane) (PDMS). PDMS (available as SYLGARD 184, from Dow Corning, Midland, MI) was poured on the patterned SU-8 photoresist with nano-features, and then cured on a hot plate (8O0C for Ih). After the curing, the PDMS mold was peeled off the SU-8/PI master, yielding the desired two-level structure negative mold. A UV-curing acrylate resin containing PHOTOMER 6210 and SARTOMER 238 (75:25 by weight) (both available from Sartomer, Co., Warrington, PA) were used to replicate the hierarchical structure and cured by UV radiation (Fusion"D" lamp, at 600W/2.54 cm) at a speed 10.7 m/min. The resulting replica is shown in the photomicrograph in Fig. 10. Various modifications and alterations to this invention will become apparent to those skilled in the art without departing from the scope and spirit of this invention. It should be understood that this invention is not intended to be unduly limited by the illustrative embodiments and examples set forth herein and that such examples and embodiments are presented by way of example only with the scope of the invention intended to be limited only by the claims set forth herein as follows.

Claims

What is claimed is:
1. A method of making a hierarchical article comprising: providing a substrate that comprises a nano featured pattern; adding a layer to the substrate; and generating a microstructured pattern in the layer, wherein generating a microstructured pattern comprises removing at least a portion of the layer to reveal at least a portion of the substrate.
2. The method according to claim 1 wherein the nano featured pattern is made by a process selected from anodization, photoreplication, laser ablation, electron beam lithography, nanoimprint lithography, optical contact lithography, projection lithography, inclined lithography, optical interference lithography, reactive ion etching, and ion beam etching.
3. The method according to claim 2 wherein the nano featured pattern is made by a process comprising reactive ion etching.
4. The method according to claim 1 wherein the layer comprises a photoresist.
5. The method according to claim 1 wherein the method wherein the microstructured pattern is at an angle other than 90° to that of the plane of the substrate.
6. The method according to claim 1 wherein the nano featured pattern is formed by a method comprising interference lithography.
7. A method of making a hierarchical article comprising: providing a substrate that comprises a nano featured pattern; adding a layer to the substrate; and generating a microstructured pattern in the layer, wherein generating a microstructured pattern comprises removing at least a portion of the layer to reveal at least a portion of the substrate, and wherein the nano featured pattern is formed by a method comprising interference lithography.
8. The method according to claim 1 further comprising: applying a polymer to the microstructured pattern; and separating the polymer from the pattern to produce a replica.
9. A method of making an article comprising: providing a substrate comprising microstructures; adding nanoparticles to the microstructures; and etching away at least a portion of the microstructures to form nano features using the nanoparticles as an etch mask, wherein the nanoparticles etch at a substantially slower rate than the substrate.
10. The method according to claim 9 wherein etching away at least a portion of the microstructures comprises reactive ion etching.
11. The method according to claims 9 wherein the nanoparticles comprise a metal oxide, a metal nitride, a metal, or a combination thereof.
12. The method according to claim 11 wherein the nanoparticles comprise indium-tin oxide.
13. The method according to claims 1, 7, or 9 further comprising: adding a polymer to the hierarchical article; and separating the polymer from the hierarchical article to produce a replica.
14. The method according to claim 13 further comprising adding a release coating to the hierarchical article before adding the polymer.
15. The method according to claim 14 wherein the release coating comprises a fluorosilane.
16. The method according to claim 13 further comprising adding a protective coating to the hierarchical article before adding the polymer.
17. The method according to claim 16 wherein the protective coating comprises metal
18. The method according to claim 17 further comprising adding a release coating to the metal.
19. The method according to claim 18 wherein the release coating comprises a fluorinated phosphonic acid.
20. The method according to claim 13 wherein the polymer comprises a thermosetting resin.
21. The method according to claim 20 further comprising curing the resin.
22. The method according to claim 21 wherein the resin comprises a polysiloxane.
23. A replica made from using the method of claims 1 , 7, or 9.
24. A mold made from the replica of claim 23.
PCT/US2008/064631 2007-06-21 2008-05-23 Methods of making hierarchical articles WO2009002644A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN200880103985A CN101827783A (en) 2007-06-21 2008-05-23 Methods of making hierarchical articles
EP08769665A EP2170764A4 (en) 2007-06-21 2008-05-23 Methods of making hierarchical articles

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US99975307P 2007-06-21 2007-06-21
US99975207P 2007-06-21 2007-06-21
US60/999,752 2007-06-21
US60/999,753 2007-06-21

Publications (3)

Publication Number Publication Date
WO2009002644A2 true WO2009002644A2 (en) 2008-12-31
WO2009002644A3 WO2009002644A3 (en) 2009-02-19
WO2009002644A8 WO2009002644A8 (en) 2011-12-22

Family

ID=40186234

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/064631 WO2009002644A2 (en) 2007-06-21 2008-05-23 Methods of making hierarchical articles

Country Status (3)

Country Link
EP (1) EP2170764A4 (en)
CN (1) CN101827783A (en)
WO (1) WO2009002644A2 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1068274C (en) * 1995-09-20 2001-07-11 危邦诺创新Ab Oriented polymeric products
WO2011154105A1 (en) * 2010-06-11 2011-12-15 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e. V. Three-dimensional metal-coated nanostructures on substrate surfaces, method for producing same and use thereof
WO2012004319A1 (en) * 2010-07-07 2012-01-12 Leibniz-Institut Für Neue Materialien Gemeinnützige Gmbh Method for producing finely structured surfaces
JP2014504165A (en) * 2010-10-28 2014-02-20 スリーエム イノベイティブ プロパティズ カンパニー Processed surface to reduce bacterial adhesion
US8877072B2 (en) 2011-10-10 2014-11-04 Ranjana Sahai Three-dimensional fractal graduated-branching hierarchical structures and fabrication method thereof
US9061892B2 (en) 2008-03-17 2015-06-23 Avery Dennison Corporation Functional micro- and/or nano-structure bearing constructions and/or methods for fabricating same
CN105374907A (en) * 2014-08-29 2016-03-02 展晶科技(深圳)有限公司 Substrate of light-emitting diode chip and manufacturing method thereof
US9296622B2 (en) 2012-08-22 2016-03-29 Hy-Power Coatings Limited Method for continuous preparation of indium-tin coprecipitates and indium-tin-oxide nanopowders with substantially homogeneous indium/tin composition, controllable shape and particle size
EP3208084A3 (en) * 2016-02-19 2017-11-08 Palo Alto Research Center, Incorporated Hierarchical laminates fabricated from micro-scale, digitally patterned films
US9993948B2 (en) 2010-10-28 2018-06-12 3M Innovative Properties Company Superhydrophobic films
KR101921670B1 (en) 2016-04-08 2018-11-26 재단법인 멀티스케일 에너지시스템 연구단 Hierarchial fine structures, a mold for forming same, and a method for manufacturing the mold

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102480001B (en) * 2011-03-25 2013-07-03 深圳光启高等理工研究院 Preparation method of metamaterial
CN102810504A (en) * 2011-05-31 2012-12-05 无锡华润上华半导体有限公司 Process for growing thick aluminium
CN102381679A (en) * 2011-10-28 2012-03-21 华中科技大学 Manufacturing method of gecko hair-imitating dry adhesive
CN103204460B (en) * 2013-03-21 2016-03-02 北京工业大学 Based on the preparation method of the metal micro-nanostructure of laser interference induction cross-linking reaction
CN108821229B (en) * 2018-06-15 2023-05-02 西北工业大学 Preparation method of ZnS infrared window antireflection microstructure surface
CN111830614A (en) * 2020-05-13 2020-10-27 华南师范大学 Solution for realizing nano grating imprinting by utilizing laser polarization state

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10282635A (en) * 1997-04-09 1998-10-23 Sony Corp Method for correcting pattern data, electron beam writing method, photomask and its manufacture, exposure method, semiconductor device and its production and pattern data correcting device
KR100480772B1 (en) * 2000-01-05 2005-04-06 삼성에스디아이 주식회사 Forming method of micro structure with surface roughness of nano scale
US6960327B2 (en) * 2003-01-30 2005-11-01 The Regents Of The University Of California Methods for removing organic compounds from nano-composite materials
US20050129844A1 (en) * 2003-06-06 2005-06-16 Colleen Legzdins Method of deposition of nano-particles onto micro and nano-structured materials
US7229936B2 (en) * 2004-05-03 2007-06-12 International Business Machines Corporation Method to reduce photoresist pattern collapse by controlled surface microroughening

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See references of EP2170764A4 *

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1068274C (en) * 1995-09-20 2001-07-11 危邦诺创新Ab Oriented polymeric products
US10065209B2 (en) 2008-03-17 2018-09-04 Avery Dennison Corporation Functional micro- and/or nano-structure bearing constructions and/or methods for fabricating same
US9061892B2 (en) 2008-03-17 2015-06-23 Avery Dennison Corporation Functional micro- and/or nano-structure bearing constructions and/or methods for fabricating same
WO2011154105A1 (en) * 2010-06-11 2011-12-15 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e. V. Three-dimensional metal-coated nanostructures on substrate surfaces, method for producing same and use thereof
WO2012004319A1 (en) * 2010-07-07 2012-01-12 Leibniz-Institut Für Neue Materialien Gemeinnützige Gmbh Method for producing finely structured surfaces
US10005103B2 (en) 2010-07-07 2018-06-26 Leibniz-Institut Fuer Neue Materialien Gemeinnuetzige Gmbh Method for producing finely structured surfaces
JP2017074455A (en) * 2010-10-28 2017-04-20 スリーエム イノベイティブ プロパティズ カンパニー Engineered surfaces for reducing bacterial adhesion
EP2632613B1 (en) * 2010-10-28 2017-08-30 3M Innovative Properties Company Engineered surfaces for reducing bacterial adhesion
US9993948B2 (en) 2010-10-28 2018-06-12 3M Innovative Properties Company Superhydrophobic films
JP2014504165A (en) * 2010-10-28 2014-02-20 スリーエム イノベイティブ プロパティズ カンパニー Processed surface to reduce bacterial adhesion
US8877072B2 (en) 2011-10-10 2014-11-04 Ranjana Sahai Three-dimensional fractal graduated-branching hierarchical structures and fabrication method thereof
US9296622B2 (en) 2012-08-22 2016-03-29 Hy-Power Coatings Limited Method for continuous preparation of indium-tin coprecipitates and indium-tin-oxide nanopowders with substantially homogeneous indium/tin composition, controllable shape and particle size
CN105374907A (en) * 2014-08-29 2016-03-02 展晶科技(深圳)有限公司 Substrate of light-emitting diode chip and manufacturing method thereof
CN105374907B (en) * 2014-08-29 2018-08-14 展晶科技(深圳)有限公司 The substrate and its manufacturing method of light-emitting diode chip for backlight unit
EP3208084A3 (en) * 2016-02-19 2017-11-08 Palo Alto Research Center, Incorporated Hierarchical laminates fabricated from micro-scale, digitally patterned films
US10384432B2 (en) 2016-02-19 2019-08-20 Palo Alto Research Center Incorporated Hierarchical laminates fabricated from micro-scale, digitally patterned films
KR101921670B1 (en) 2016-04-08 2018-11-26 재단법인 멀티스케일 에너지시스템 연구단 Hierarchial fine structures, a mold for forming same, and a method for manufacturing the mold

Also Published As

Publication number Publication date
EP2170764A4 (en) 2011-06-22
EP2170764A2 (en) 2010-04-07
CN101827783A (en) 2010-09-08
WO2009002644A3 (en) 2009-02-19
WO2009002644A8 (en) 2011-12-22

Similar Documents

Publication Publication Date Title
WO2009002644A2 (en) Methods of making hierarchical articles
US20090041986A1 (en) Method of making hierarchical articles
US20090114618A1 (en) Method of making hierarchical articles
JP5933077B2 (en) Array fabrication method and mold
US7479318B2 (en) Fibrillar microstructure and processes for the production thereof
EP2167299A2 (en) Articles and methods for replication of microstructures and nanofeatures
US9335629B2 (en) Self-assembly of block copolymers on topographically patterned polymeric substrates
KR100806513B1 (en) Method of patterning conductive layers, method of manufacturing polarizers, and polarizers manufactured using the same
JP6258227B2 (en) Anhydrous copolymer topcoat for controlling the orientation of thin film block copolymers
JP2005515617A (en) Replicated patterned structure using non-stick mold
JP2011243655A (en) High polymer thin film, pattern media and their manufacturing methods, and surface modifying material
TW200912546A (en) A method of making a secondary imprint on an imprinted polymer
Takei UV nanoimprint lithography of 70 nm half pitch line pattern using plant-based resist material with lactulose derivative derived from biomass and medicinal drugs
Psoma et al. Comparative assessment of different sacrificial materials for releasing SU-8 structures
JP4889316B2 (en) A manufacturing method of a three-dimensional structure, a three-dimensional structure, an optical element, a stencil mask, a manufacturing method of a finely processed product, and a manufacturing method of a fine pattern molded product.
US20220026799A1 (en) Nanoimprint lithography process using low surface energy mask
JP2011093123A (en) Method of manufacturing structure with comb type structure, method of manufacturing mold for molding resin structure, and resin molding
KR101542142B1 (en) Microtip arrays for nano lithography, manufacturing method of the same and nano lithography method using the same
KR20120072934A (en) A method for preparing pattern in large scale using laser interference lithography, a method for transferring the pattern onto non-uniform surface and an article transferred pattern using the same
CN106904571B (en) A kind of preparation method in nanoscale gap
Jo et al. Fabrication and surface treatment of silicon mold for polymer microarray
WO2010084918A1 (en) Application of benzocyclobutene resin to imprinting technique, and method for forming pattern using the technique
JP2011191766A (en) Method for patterning conductive layer, method for manufacturing polarizing element using the same, and polarizing element manufactured by the method
Kreindl et al. Soft UV-NIL at the 12.5 nm Scale
Alarcón et al. Topographical and physicochemical contrast in photopatterned SU-8 films for microfabrication of multilayer structures

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200880103985.3

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08769665

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2008769665

Country of ref document: EP