WO2007064471A1 - Technique pour améliorer l’adhésion de couches de métallisation en créant des trous d'interconnexion factices - Google Patents

Technique pour améliorer l’adhésion de couches de métallisation en créant des trous d'interconnexion factices Download PDF

Info

Publication number
WO2007064471A1
WO2007064471A1 PCT/US2006/044291 US2006044291W WO2007064471A1 WO 2007064471 A1 WO2007064471 A1 WO 2007064471A1 US 2006044291 W US2006044291 W US 2006044291W WO 2007064471 A1 WO2007064471 A1 WO 2007064471A1
Authority
WO
WIPO (PCT)
Prior art keywords
metal
vias
metal region
region
layer
Prior art date
Application number
PCT/US2006/044291
Other languages
English (en)
Inventor
Ralf Richter
Matthias Schaller
Ellen Claus
Eckhard Langer
Original Assignee
Advanced Micro Devices, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE102005057076A external-priority patent/DE102005057076A1/de
Application filed by Advanced Micro Devices, Inc. filed Critical Advanced Micro Devices, Inc.
Priority to JP2008543307A priority Critical patent/JP2009517884A/ja
Priority to KR1020087016007A priority patent/KR101278279B1/ko
Publication of WO2007064471A1 publication Critical patent/WO2007064471A1/fr
Priority to GB0809143A priority patent/GB2447573A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to the formation of integrated circuits, and, more particularly, to the formation of metallization layers including highly conductive metals, such as copper, embedded into a dielectric material.
  • circuit elements such as transistors, capacitors, resistors and the like
  • electrical connections of the individual circuit elements are generally not established within the same level on which the circuit elements are manufactured.
  • additional "wiring" layers also referred to as metallization layers.
  • These metallization layers generally include metal-containing lines, providing the inner-level electrical connection, and also include a plurality of inter-level connections, also referred to as vias, filled with an appropriate metal.
  • the vias provide electrical connection between two neighboring stacked metallization layers, wherein the metal-containing lines and vias may also be commonly referred to as interconnect structures.
  • the number of circuit elements for a given chip area that is the packing density
  • the number of stacked metallization layers may increase and the dimensions of the individual lines and vias may be reduced as the number of circuit elements per chip area becomes larger.
  • the fabrication of a plurality of metallization layers entails extremely challenging issues to be solved, such as mechanical, thermal and electrical reliability of a plurality of stacked layers.
  • copper may not be efficiently applied onto a substrate in larger amounts by well-established deposition methods, such as chemical vapor deposition (CVD), and also may not be effectively patterned by the usually employed anisotropic etch procedures. Consequently, in manufacturing metallization layers including copper, the so-called inlaid or damascene technique (single and 1 1t'-'JJI * Il “'' lLlt iUIII H-I'-'P' « ⁇ ' , ""11”.””11” 1CIm 11 IIiI 1 HiIIi.. , . ⁇ ,. , , , . , . dual) 'is preferably used, wherein a dielectric layer is first applied and then patterned to receive trenches and/or vias, which are subsequently filled with copper or copper alloys.
  • CVD chemical vapor deposition
  • Figure Ia schematically shows a cross-sectional view of a semiconductor device 100 comprising a substrate 101, which may be provided in the form of a bulk silicon substrate, a silicon-on-insulator (SOI) substrate and the like, wherein the substrate 101 may also represent a device layer having formed therein individual circuit elements, such as transistors, capacitors, lines, resistors, contact portions and the like. For convenience, any such circuit elements are not shown in Figure Ia.
  • the device 100 comprises a first device region 120A and a second device region 120B, wherein the first device region 120A may represent an "inner" region that receives metal lines and vias, whereas the second device region 120B may represent a device region for receiving a large metal area in the respective metallization layer together with corresponding metal lines in the first device region 120A.
  • a measurement region and the like may be formed in the second device region 120B, as is typically provided for evaluating so-called dishing effects occurring during the removal of excess copper by chemical mechanical polishing (CMP).
  • the device 100 further comprises a dielectric layer 102 formed above the substrate 101, wherein the layer 102 may represent a dielectric material enclosing the individual circuit elements, also referred to as a contact material, or the layer 102 may represent a portion of a lower-lying metallization layer, in which any metal-filled lines may be embedded.
  • the layer 102 may be comprised of a conventional dielectric material such as silicon dioxide, silicon nitride, or it may comprise a low-k dielectric material such as, for instance, hydrogen-enriched silicon oxycarbide (SiCOH) and the like.
  • a metal line 103 A is formed within the first device region 120A and above the substrate 101 and at least partially within the layer 102 for establishing an electric connection to circuit elements formed within the first device region 120A.
  • the metal line 103A may be comprised of a copper-containing metal including conductive barrier layers (not shown) so as to enhance adhesion of the metal line 103 A to the surrounding material and reduce diffusion of copper into sensitive device regions.
  • An etch stop layer 104 is formed on the dielectric layer 102 and the metal line 103 A, wherein the etch stop layer 104 may be comprised of a material that exhibits a high etch selectivity to the material of a dielectric layer 105 formed on the etch stop layer 104. Furthermore, the etch stop layer 104 may also act as a diffusion barrier between the metal line 103 A and neighboring materials to reduce the out-diffusion of metal, such as copper, and diffusion of dielectric material into the metal line 103 A.
  • the dielectric layer 105 which may be comprised of a low-k dielectric material, is formed on the etch stop layer 104, followed by an anti-reflective coating (ARC) layer or capping layer 106, which may be formed from two or more sub-layers so as to achieve the desired performance with respect to the optical behavior, mechanical strength and masking characteristics.
  • the capping layer 106 may be provided as a stack including a silicon dioxide layer (acting to impart improved mechanical strength to the layer 105 when formed of a low-k material), a silicon oxynitride layer for adapting the optical behavior and a thin silicon dioxide layer acting as a nitrogen barrier for a resist mask 107 formed on the capping layer 106.
  • the resist mask 107 includes a first opening 107A above the first device region 120A that corresponds to a via opening 105 A for electrically connecting the metal line 103 A with a metal line still to be formed in the dielectric layer 105.
  • a typical process flow for forming the semiconductor device 100 as shown in Figure Ia may comprise the following processes.
  • the dielectric layer 102 may be deposited by well-established deposition recipes based on plasma enhanced chemical vapor deposition (PECVD).
  • PECVD plasma enhanced chemical vapor deposition
  • the layer 102 may be comprised of silicon dioxide, fluorine-doped silicon dioxide or SiCOH and hence deposition recipes on the basis of appropriate precursors may be employed to form the layer 102.
  • the metal line 103A may be formed in accordance with processes as will be described in the following with reference to the layer 105.
  • the etch stop layer 104 is deposited by, for instance, well- established PECVD techniques with a thickness that is sufficient to reliably stop a via and trench etch process to be performed later on.
  • the dielectric layer 105 is formed by CVD or spin-coating, depending on the material used.
  • the capping layer 106 is formed by PECVD techniques on the basis of well-established recipes to provide the desired characteristics in the further processing of the device 100.
  • the resist mask 107 may be formed by advanced photolithography to form the respective opening 107A.
  • an anisotropic etch process is performed, wherein, in an initial phase, the exposed portion of the layer 106 is removed and, in a subsequent process, the dielectric material of the layer 105 is removed to form the via opening 105 A.
  • Figure Ib schematically illustrates the device 100 in an advanced manufacturing stage.
  • the device 100 now comprises a resist mask 109 having formed therein a trench 109 A above the via opening 105 A with dimensions corresponding to design dimensions of a metal line to be formed above and around the via opening 105 A.
  • the resist mask 109 further comprises an opening 109B in the second device region 120B formed in accordance with the design dimensions for a corresponding metal region, such as a test region, wherein the dimensions of the opening 109B may be significantly greater compared to the dimension of the trench 109 A, at least in one dimension.
  • the opening 109B may have a design dimension of 100 ⁇ m x 100 ⁇ m in advanced semiconductor devices of minimal critical dimensions of 50 nm or even less.
  • a fill material 108 is formed underneath the resist mask 109, wherein the fill material 108 is also provided within the opening 105A.
  • the fill material may be comprised of a photoresist of different type compared to the resist mask 109, or the fill material 108 may represent any other polymer material that may be applied in a low viscous state to fill tlie opening l'O ' SA " ' while providing " a substantially planar surface.
  • the fill material 108 may also serve as an ARC layer during the patterning of the resist mask 109.
  • the resist mask 109 may be formed by first applying the fill material 108 by, for example, spin-coating a resist or a polymer material, then applying a photoresist by spin-coating, performing a well-established photolithography process and etching or dry-developing the fill material 108 on the basis of the resist mask 109. Thereafter, the device 100 is subjected to an etch ambient 110 on the basis of carbon and fluorine to etch through the layer 106 and remove a portion of the layer 105 to form a trench around the via opening 105 A and an opening in the second device region 120B corresponding to the opening 109B, while the fill material 108 in the via opening 105A prevents substantial material removal therein.
  • the fill material 108 within the opening 105 A although partially removed during the etch process 110, protects the remaining etch stop layer 104 in the opening 105A so that the metal line 103A is not exposed to the etch ambient 110. Thereafter, a trench of specified depth is formed around the via opening 105 A and a corresponding opening in the second device region 120B, the resist mask 109 and the fill material 108 are removed by, for instance, an oxygen-based plasma treatment.
  • the removal rate for material of the dielectric layer 105 may significantly depend on the geometric structure of the trenches and openings to be formed in the dielectric layer 105.
  • the etch rate at the trench opening 109 A when for instance representing an isolated trench, may be significantly higher compared to the rate at the opening 109B designed to represent a test region.
  • substantially continuous non-tiled metal plates of increased dimensions compared to metal lines in product areas may be required for a variety of test and measurement tasks. Consequently, due to the structure and geometry dependent etch behavior, the etch depth and thus the finally achieved thickness of the large-area metal regions may be reduced compared to actual metal lines, thereby potentially resulting in an overall reduced stability of the respective metallization layer.
  • Figure Ic schematically shows the device after the above process sequence with a trench 11 IA and an opening H lB formed in the layer 106 and the dielectric layer 105 in the first and second device regions 120A and 120B, respectively.
  • the device is subjected to a further etch process 112 to remove the remaining etch stop layer 104 to thereby connect the via opening 105 A to the metal region 103.
  • the via opening 105 A, the trench H lA and the opening 11 IB may then be filled with metal, such as copper or copper alloys, by electrochemical deposition techniques, wherein, prior to the electrochemical deposition, corresponding barrier and seed layers may be formed.
  • Figure Id schematically depicts the device 100 after completion of the above-described process sequence.
  • the device 100 comprises a metal-filled via 113A connecting to the metal region 103 and a metal line 112A formed above the via 113A.
  • a metal area 112B is formed, whose thickness may be reduced compared to the thickness of the metal line 112A due to potential etch non- uniformities during the etch process 110, as previously explained.
  • the metal area 112B may exhibit a reduced adhesion to the adjacent dielectric material of the layer 105, which may cause delamination of metal during * manufacturing processes after the metal deposition, such as CMP and the like, during which increased mechanical stress may be applied to the device 100. Consequently, production yield may be compromised and device performance reduced.
  • the present invention is directed to a technique that enables the formation of metallization layers of semiconductor devices including large-area metal regions with enhanced stability in that the large-area metal region is formed above at least some dummy vias connected thereto, thereby increasing the adhesion to the surrounding dielectric material and potentially reducing etch non-uniformities during the formation of respective openings within the dielectric materials.
  • a dummy via may be understood as a metal-filled plug extending at least partially through the dielectric material towards a lower-lying material layer, wherein the dummy via, in contrast to functional vias provided in product areas of a semiconductor device, may not be electrically connected to any semiconductor circuit elements that are required for a specified circuit layout of an integrated circuit to be operational.
  • the effective adhesion area with respect to the neighboring dielectric material of an overlaying metal region may be significantly increased, which may reduce the probability for the occurrence of metal delamination and other defect mechanisms during the formation of metallization layers in advanced semiconductor devices.
  • a method comprises identifying a region of reduced via density in a metallization layer of a semiconductor device and forming a dummy via in the identified region. Moreover, the method comprises forming a metal region above the identified region, wherein the metal region is connected to the dummy via.
  • a method comprises forming a plurality of vias in a first portion of a first dielectric layer of a semiconductor device, wherein at least some of the plurality of vias are electrically non-functional vias. Furthermore, the method comprises forming a first metal region in a second portion of the first dielectric layer, wherein the second portion is located above the first portion and the first metal region is connected to at least one of the electrically non-functional vias.
  • a semiconductor device comprises one or more semiconductor circuit elements formed above the substrate and a metallization layer formed above the one or more semiconductor circuit elements.
  • the metallization layer comp ⁇ ses a first metal region and one or more dummy vias located below the first metal region, wherein one end of the one or more dummy vias is connected to the first metal region, while the other end remains insulated from the one or more semiconductor circuit elements.
  • Figures Ia- Id schematically show cross-sectional views of a semiconductor device during the formation of a metallization layer including metal lines and a large-area metal region for test purposes during various manufacturing stages in accordance with a conventional process technique;
  • Figures 2a-2b schematically show a top view and a cross-sectional view, respectively, of a semiconductor device in the form of a design layout, which may be used for identifying areas in a metallization layer having a reduced via density;
  • Figure 2c schematically shows a top view of a semiconductor device comprising a plurality of dummy vias within a specified metallization layer in an area that has previously been identified as having a reduced via density;
  • Figures 2d-2e schematically illustrate cross-sectional views of a semiconductor device having formed therein a plurality of dummy vias
  • Figure 3 schematically illustrates a cross-sectional view of a semiconductor device including a large- area metal region formed above a plurality of dummy vias, which in turn are connected to a dummy metal region in a lower-lying metallization layer.
  • a metallization layer is to be understood as a dielectric layer formed above a device layer, i.e., one or more layers having formed therein semiconductor circuit elements, such as transistors, capacitors, resistors and the like, wherein metal lines and metal regions are provided in the dielectric material, which provide the inner layer electrical connection of circuit elements, whereas vias may be connected at certain locations to the respective metal lines to establish an electrical connection to a lower-lying metal region, thereby finally providing an electrical connection to one or more of the semiconductor circuit elements within the device layer.
  • semiconductor circuit elements such as transistors, capacitors, resistors and the like
  • highly conductive metals such as copper and copper alloys
  • copper and copper alloys are typically used which may be formed on the basis of the damascene technique, in which the dielectric material is provided with respective openings that are subsequently filled with the copper or copper alloy, thereby requiring advanced anisotropic etch techniques.
  • copper and alloys thereof are frequently used in combination with low-k dielectric materials, i.e., materials having a dielectric constant of 3.0 or even less, which may exhibit a reduced adhesion to the filled-in metal. Consequently, an increased probability for metal delamination from the surrounding dielectric material may be observed, in particular, when metal regions of increased lateral dimensions are to be formed in a specified metallization layer.
  • metal regions may be provided for test purposes which may have no electrical connection to a lower-lying metal or contact region. Due to the reduced adhesion to the surrounding dielectric material, particularly if a low-k dielectric material is considered, a high risk of metal delamination may exist in subsequent process steps, such as CMP, and/or the metal thickness may vary significantly within a metallization layer, or from layer to layer, due to a significant non-uniformity of the geometric structure of a metallization layer, which may include a plurality of metal lines having design dimensions in accordance with the design rules, while metal regions with significantly increased lateral dimensions compared to the regular metal lines are also provided.
  • a width of a metal line in a lower metallization layer may be in the range of 1 ⁇ m or even less, while, on the other hand, large-area metal regions are included having lateral dimensions of, for instance, 80 ⁇ m x 80 ⁇ m so that at least one lateral dimension is significantly larger compared to the width of the regular metal lines.
  • the present invention contemplates the introduction of additional dummy vias, i.e., vias that are not required for the electrical functionality of the semiconductor device under consideration, so as to reduce process non-uniformities during the formation of metal lines and large-area metal regions, wherein the additional dummy vias significantly enhance the overall adhesion surface area that is provided for the overlying metal region, thereby significantly enhancing the overall adhesion to the surrounding dielectric material.
  • Figure 2a schematically illustrates a top view of a semiconductor device 200, wherein Figure 2a may be understood as representing a circuit layout of an integrated circuit that may be included in the semiconductor device 200.
  • the semiconductor device 200 may be understood as representing a certain type of semiconductor device including all the functional and non-functional components as required for the fabrication of a specific type of semiconductor device.
  • the semiconductor device 200 may represent the layout or a real version of a semiconductor device similar to that shown in Figures Ia-Ib, in which areas of reduced via density in a specific metallization layer may be located and identified.
  • the semiconductor device 200 or the layout thereof may comprise one or more metallization layers, one of which is indicated in the top view of Figure 2a by reference number 230.
  • the device 200 may comprise at least a first device region 220A and a second device region 220B, which may not necessarily be located in the same die region, when the second device region 220B is to represent a specified test region that has to be provided at a few specified substrate locations only.
  • the first and second device regions 220A and 220B may be located within the same die region, i.e., within a portion formed above an appropriate substrate, which may act as a functional unit after dicing the substrate and separating the individual die regions.
  • the first device region 220A may include a plurality of metal lines 212A, 212C, 212D that may be connected by respective vias 213A to any lower-lying contact regions or metal regions.
  • the metal lines 212A, 212C, 212D of the metallization layer 230 formed in the first device region 220A may have substantially the same configuration or may differ in size, depending on the design requirements.
  • one of the exemplary metal lines, Le., the line 212A may have a greater width compared to the metal lines 212C, 212D.
  • the vias 213A are shown to have substantially the same design dimensions, whereas, in other illustrative embodiments, respective vias may have different dimensions.
  • a metal region 212B may be provided, which may exhibit significantly increased dimensions, at least in one lateral direction, wherein an area corresponding to the metal region 212B may have a significantly reduced via density compared to the corresponding metal-containing regions represented by the metal lines 212A, 212C and 212D.
  • via density is to be understood as the number or the area of vias formed below a respective metal region and being connected thereto.
  • a via density may be understood as the ratio of the total area occupied by the vias 213A with respect to the total area of a corresponding metal region, such as the metal line 212A.
  • the via density of the area corresponding to the metal region 212B may be zero, since in this stage of design or manufacture no vias are provided for the metal region 212B, since no electrical connection is required to any lower-lying circuit elements.
  • the metal line 212A may exhibit a moderately high via density, depending on the total area of the metal line 212A and the size and number of vias 213A connected thereto.
  • Figure 2b schematically shows a cross-sectional view of the layout of the device 200, wherein the cross- section is taken along the line indicated in Figure 2a as lib.
  • the device 200 or its layout may comprise a substrate 201 in and on which is provided a device layer 240, which may include a plurality of circuit elements, such as semiconductor circuit elements in the form of transistors, resistors, capacitors and the like.
  • the corresponding circuit elements are collectively indicated as 241, which may represent, in the illustrative embodiment depicted in Figure 2b, a field effect transistor, wherein the cross-section is taken along the transistor width direction, that is, the horizontal direction in Figure 2b may represent the width direction of the transistor
  • the device layer 240 may include metal-containing contact plugs 242 that are formed within an interlayer dielectric material 243 and which may be connected to respective contact regions of the circuit elements 241.
  • a first metallization layer which may be represented by a dielectric layer 202 and a plurality of metal lines included therein, which are represented by a metal line 203 A which extends along, for instance, the transistor width direction, i.e., along the horizontal direction in
  • the metal lines of one metallization layer are substantially parallel to each other, while the metal lines of an adjacent metallization layer are also substantially parallel but perpendicular to the metal lines of the adjacent metallization layers. It should be appreciated, however, that the principles of the present invention are not restricted to any particular configuration or orientation of metal lines within a specific metallization layer.
  • first metallization layer represented by the dielectric layer 202 and the metal line 203A may be a further metallization layer, such as the metallization layer 230, as illustrated in Figure 2a. Consequently, in the first device region 220A, the respective metal lines 212A, 212D and 212C may extend substantially perpendicularly to the metal line 203 A and may be formed in an upper portion 205U of a dielectric layer 205.
  • the vias 213 A may extend through a lower portion 205L of the dielectric layer 205 so as to connect a respective metal line with a corresponding metal region or metal line of a lower-lying metallization layer.
  • the metal line 212 A may be connected to the metal line 203 A by means" of tfLe via 2 ' 13A corresponding to the location as specified in Figure 2a.
  • the other metal lines 212D, 212C may also be connected by respective vias 213 A with other metal lines contained in the dielectric layer 202, which, however, may not be visible in the cross-section of Figure 2b.
  • the dielectric layer 205 is defined by a thickness of the corresponding metal lines 212A, which is, in the design, identical for all metal lines and regions.
  • the dielectric layer 205 may be provided as a substantially continuous dielectric layer, typically comprised of a low-k dielectric material, wherein the finally obtained thickness and thus height of the metal lines 212A, 212D and 212C is defined by an etch process.
  • the metal region 212B is provided in the upper portion 205U, wherein, as previously explained, in a real device, the height of the metal region 212B may differ significantly from the corresponding heights of the metal lines 212A, 212D and 212C due to any etch non-uniformities when the thickness of the upper portion 205U is defined by the etch process rather than by any etch stop layers or other process techniques, in which the vias 213 A and the metal lines and metal regions in the upper portion 205U are formed in separate processes, as will be explained in more detail later on. Moreover, the manufacturing sequence for forming the semiconductor device 200 in an actual hardware configuration will be described later on with reference to Figure 2d.
  • the metal region 212B may represent a test structure, for instance for providing process data with respect to a CMP process with respect to dishing effects and the like, so that, with respect to any electrical considerations, the region 212B may be regarded as a non- functional region, which, however, may significantly influence the manufacturing process and also the subsequent behavior of the device 200, for instance with respect to metal delamination and other defect sources.
  • the region 212B Due to the non-required electrical function of the region 212B, initially no vias may be provided below the region 212B. Consequently, the area including the metal region 212B may be identified as an area with reduced via density, wherein a corresponding threshold or other comparison criterion for indicating an area as an area of reduced via density may be established on the basis of empirical data, process models and the like. In one illustrative embodiment, in addition to any electrically non-functional metal regions, such as the region 212B, electrically functional regions may also be examined with respect to their via density so as to identify a reduced via density.
  • the metal line 212C may be identified as an area of reduced via density, wherein, depending on the configuration of the underlying metallization layer, specific areas may be determined which may be appropriate for receiving additional dummy vias to enhance the overall performance of the metal line 212C.
  • the semiconductor device 200 may be re-designed to include at least some dummy vias in the one or more identified regions of reduced via density.
  • the area of the lower portion 205L of the dielectric layer 205, located below the metal region 212B, may be identified as a corresponding region of reduced via density and tt ⁇ us the design or t ⁇ ie semiconductor device 200 may be altered to include one or more dummy vias located below the metal region 212B and connected thereto.
  • functional metal regions such as the metal line 212C, may be identified as being located above a region of reduced via density, wherein, in this case also, certain areas in the lower portion 205L of the dielectric layer 205 may be identified which may be appropriate for receiving additional dummy vias without providing electrical contact to any lower-lying metallization layers.
  • Figure 2c schematically shows the semiconductor device 200 or its modified layout in a top view, wherein at least some additional dummy vias are provided in order to enhance the performance of the respective overlying metal regions.
  • a plurality of dummy vias 213B are provided, which are connected to the metal region 212B and which may terminate in a dielectric material, such as the layer 202 ( Figure 2b), without affecting the electric functionality of the semiconductor device 200.
  • additional dummy vias 213B may also be provided in one or more of the electrically functional metal lines, such as the line 212C, wherein a sufficient lateral distance with respect to any metal lines provided in the dielectric layer 202, such as the metal line 203A, may be maintained in order to reliably avoid any shortage between the metal line 212C and a lower-lying metal line for which no electrical connection is included in the original design of the device 200.
  • the dummy vias 213B may have substantially the same configuration as the functional vias 213A, thereby ensuring a high degree of process uniformity during the formation of the vias 213A and the dummy vias 213B.
  • the dummy vias 213B or a portion thereof may be formed on the basis of different design criteria, thereby providing the potential for specifically enhancing the performance in combination with the respective overlying metal regions. For example, it may be advantageous to increase the size of the dummy vias 213B and/or alter the distance between adjacent vias in order to enhance the mechanical stability of the dielectric material that remains between the dummy vias 213B.
  • the shape of the dummy vias 213B may be selected on the basis of mechanical criteria rather than adopting design criteria appropriate for the functional vias 213 A.
  • the cross-section when viewed in the top view of Figure 2c, may have any appropriate shape, such as circular, polygonal, square, rectangular and the like.
  • Figure 2d schematically shows a cross-sectional view of the semiconductor device 200 according to a real implementation on the basis of the device 200 as shown in Figure 2c, which comprises the additional dummy vias 213B.
  • the cross-section of Figure 2d may be taken along the line indicated by Hd, similar to the cross-section as shown in Figure 2b.
  • the semiconductor device 200 as shown in Figure 2d comprises the substrate 201, which may represent any appropriate substrate having formed thereon a semiconductor layer suitable for the formation of the circuit elements 241, such as transistors, capacitors, resistors and the like.
  • the substrate 201 may represent, in some embodiments, a bulk silicon substrate having formed thereon an appropriate crystalline semiconductor layer, or, in other embodiments, the substrate 201 may represent an SOI substrate having formed thereon a semiconductor layer separated from the rest of the substrate by a buried insulating layer, wherein this arrangement may provide enhanced performance in terms of operating speed, radiation immunity and the like. It should be appreciated, however, that any other appropriate semiconductor materials may be used, wherein, in . particular, in sophisticated applications, the substrate 201 may have formed therein crystalline regions of
  • the substrate 201 comprises the first device region 220A, which may represent a region of the device 200 including the plurality of circuit elements 241 and interconnect structures providing the electrical connections between the individual circuit elements 241.
  • the second device region 220B may represent a region that may include circuit elements (not shown) which may not need to be connected by any overlying metallization layer,
  • the 10 or the region 220B may represent an area of the substrate 201 reserved for test and measurement purposes,
  • the first and the second device regions 220A, 220B may be provided within the same die or, in other embodiments, the second device region 220B may be provided at specified locations across the entire substrate 201.
  • Formed in and on the substrate 201 are the circuit elements 241 and the corresponding contact plugs 242, thereby forming the device layer 240.
  • circuit elements 241 may have a minimum critical dimension, such as a gate length of field effect transistors, i.e., in Figure 2d, a dimension in the direction perpendicular to the drawing plane of Figure 2d, of approximately 50 nm and even less.
  • the circuit elements 241 and the respective contact plugs 242 may be formed in the dielectric i • layer 243, which may be provided as a layer stack including dielectric materials, such as silicon nitride, silicon dioxide, silicon oxynitride, silicon carbide, nitrogen-enriched silicon carbide and the like.
  • the semiconductor device 200 further comprises a first metallization layer comprised of the dielectric layer 202 and a plurality of metal lines, which are represented by the metal line 203 A. As is shown, the metal line 203 A may extend across a significant portion of the first device region 220A, while substantially no metal lines are formed in the dielectric layer 202 corresponding to the second device region 220B. In advanced
  • the layer 202 may comprise a low-k dielectric material, wherein, in some illustrative embodiments,' an appropriate low-k. material may be hydrogenated silicon oxycarbide (SiCOH), whereas, in other illustrative embodiments, other- suitable low-k polymer material may be used.
  • the etch stop layer 204 Formed above the dielectric layer 202 and the metal line 203A is the etch stop layer 204, which may be comprised of silicon nitride, silicon carbide, nitrogen-enriched silicon carbide and the like! Formed above the etch stop layer 204, which also acts as
  • The- metallization layer 230 may represent, in this illustrative embodiment, the second metallization layer.
  • The- metallization layer 230 may comprise the dielectric layer 205, which may include the ' upper- layer portion 205U and the lower portion 205L, wherein the lower portion 205L may be defined by the vias 213A, 213B extending through the lower portion 205L.
  • the upper portion 205U may be defined by the- vertical extension of the respective metal lines 212A, 212D, 212C and the metal 5 region 212B.
  • a lateral direction is to be considered as a direction substantially extending parallel to a surface 20 IS of the substrate 201.
  • a component or layer is located below another layer when the distance of the former component or layer with respect to the surface 20 IS is less compared to the 0 latter layer.
  • the dummy vias 213B are provided below the metal region 212B and are connected thereto with one end, while the other end of the
  • . .dummy vias.213B may terminate in the. dielectric material of the layer 202.
  • the overall surface of the metal comprising the region 212B and the dummy vias 213B that is in contact with the dielectric material of the layer 205 is significantly increased, thereby providing an enhanced adhesion so that a delamination of the metal region 212B during the formation of the semiconductor device 200 may be significantly reduced.
  • copper-based metals are typically used in combination with low-k dielectric materials, which per se exhibit a reduced mechanical stability.
  • a reduced adhesion of the copper-based metal to the surrounding dielectric material may result in an increased defect rate, a partial or even total delamination of a specified metal region, or any other defect mechanisms.
  • a reduced adhesion of the metal line to the surrounding dielectric material may also reduce the resistance against electromigration, thereby significantly affecting the overall reliability of the metal line under consideration and thus of the entire semiconductor device 200.
  • a reduced adhesion during the subsequent manufacturing processes may result in a reduced reliability of the corresponding metal regions in the first device region 220A, since the reduced mechanical stability during, for instance, a CMP process, which may be substantially caused by the reduced adhesion of the regions 212B, may also affect adjacent die regions including the first device region 220A, thereby also rendering these device regions less reliable during the subsequent processing and even later on during the operation of the finally completed semiconductor device 200, which may no longer include the metal region 212B .
  • a typical process flow for forming the semiconductor device 200 may comprise substantially the same processes as previously described with reference to the semiconductor device 100.
  • the first metallization layer represented by the dielectric layer 202 and the one or more metal lines 203 A, may be formed on the basis of well-established techniques wherein, as previously explained, a low-k dielectric material is frequently used in combination with copper or copper alloys.
  • metallization layer 230 may be formed by providing an appropriate dielectric material, such as a low-k dielectric material, wherein, in one illustrative embodiment, corresponding via openings may be formed in the upper and lower portions 205U, 205L of the dielectric layer 205 on the basis of the modified layout design as shown in
  • respective via openings are also formed at least below an area corresponding to the metal region 212B still to be formed.
  • respective via openings may also be formed in any device areas that may have been identified as areas of reduced via density on the basis of the initial design layout of the device 200 described with reference to Figures 2a-2b. " Thereafter * " By 'well-estab' ⁇ isr ⁇ ecf techniques, corresponding openings for the metal lines 212A, 212D,
  • the 212C and the metal region 212B may be formed above the corresponding via openings including openings for the functional vias 213A and the dummy vias 213B.
  • a significantly increased process uniformity may be achieved, since similar etch conditions may be established for forming the opening for the region 212B and for the formation of the trench openings corresponding to the regions 212A, 212D, 212C.
  • the respective openings for the regions 212A, 212D, 212C and 212B may be formed with substantially a similar depth in the upper layer portion 205U so that, in general, a more uniform and enhanced metal thickness may be obtained in the metallization layer 230.
  • the corresponding openings may be filled with appropriate barrier and seed layers followed by the deposition of the actual metal in a common manufacturing process.
  • the respective openings for the metal lines 212A, 212D, 212C and for the metal region 212B may be formed first in the upper portion of dielectric layer 205 and thereafter the corresponding via openings for the vias 213A, 213B may be formed. Even in this case, an enhanced reliability of the resulting metal region 212B may be achieved, since even after any etch non-uniformities may have occurred, which may result in a reduced depth of the opening corresponding to the metal region 212B, the dummy vias 213B may nevertheless provide enhanced adhesion of the region 212B, thereby significantly reducing the risk for any metal delamination in subsequent processes.
  • Figure 2e schematically shows the semiconductor device 200 according to another illustrative embodiment, wherein the vias 213 A and the dummy vias 213B are completely formed prior to the formation of the corresponding metal lines and metal regions.
  • the lower portion 205L may be formed and may be subsequently patterned to receive corresponding via openings in accordance with the design of the semiconductor device 200 as shown in Figure 2c.
  • the respective openings may be coated with appropriate barrier and seed materials and thereafter the actual metal, such as copper, may be deposited on the basis of well-established techniques.
  • any excess material may be removed, for instance by CMP and/or electrochemical etch techniques.
  • the upper portion 205U may be formed, possibly by providing an intermediate etch stop layer, and may then be patterned to provide the corresponding openings for the metal lines 212A, 212D, 212C and the metal region 212B, which are then filled with barrier material and the actual metal.
  • an enhanced reliability for the metal region 202B may also be obtained for the device 200 as fabricated according to Figure 2e.
  • FIG. 3 schematically shows a cross-sectional view of a semiconductor device 300 in accordance with yet another illustrative embodiment of the present invention.
  • the semiconductor device 300 may comprise substantially the same components as -the semiconductor device 200.
  • the device 300 may comprise a substrate 301 on which is formed a device layer 340 including a plurality of circuit elements 341, such as field effect transistors, which may have, for instance, a minimal critical dimension 341 A of 50 run or even less.
  • the device layer 340 may further comprise corresponding contact plugs 342 which may be connected to respective metal lines 303A formed in a dielectric layer 302.
  • the device 300 may comprise, in a second device region 320B, one or more dummy metal regions 303B, which may comprise, together with ' the metals " ⁇ ries " 303A and the dielectric layer 302, the first metallization layer of the device 300.
  • the metal lines 303A and the dummy metal regions 303B may be part of a higher metallization layer so that the metal lines 303A may not be directly in contact with the respective contact plugs.342.
  • a further metallization layer 330 in the illustrated embodiment the second metallization layer, is formed to include a plurality of functional vias 313A connecting to respective metal lines 303 A, while a plurality of dummy vias 313B may be provided, wherein at least some of the dummy vias 313B may connect to a respective dummy metal region 303B.
  • corresponding metal lines 312A may be formed in the first device region 320A, while a metal region 312B, such as a large-area test region and the like, may be formed above the dummy vias 313B.
  • the same criteria apply as previously explained with reference to the semiconductor devices 100 and 200. It should be appreciated, however, that a corresponding design of the semiconductor device 300 is altered with respect to the design of the semiconductor device 200 so as to now obtain the dummy metal regions 303B in any appropriate size and shape.
  • the dummy metal regions 303B may represent metal lines extending substantially parallel to the metal lines 303A, whereas, in other embodiments, the dummy metal regions 303B may represent metal islands on which one or more dummy vias 303B terminate.
  • the dummy metal regions 303B are electrically nonfunctional in the sense that these dummy metal regions 303B may not provide an electrical contact to any of the circuit elements 341.
  • a plurality of corresponding dummy metal regions 303B may be provided in other adjacent metallization layers, wherein at least the very first dummy metal region, i.e., the dummy metal region located closest to the substrate 301, may not be electrically connected to respective circuit elements 341. Due to the provision of the dummy metal regions 303B, which act as "anchors" for the dummy vias 313B and thus for the corresponding metal regions 312B, the mechanical stability of the metallization layer 330 may be even more enhanced.
  • the present invention provides a new technique for the formation of metallization layers including electrically non-functional metal regions, the mechanical stability of which may be significantly enhanced by forming one or more dummy vias below the corresponding metal regions.
  • the mechanical stability of which may be significantly enhanced by forming one or more dummy vias below the corresponding metal regions.
  • an enhanced process uniformity may be achieved, thereby also contributing to an overall performance gain and/or to an enhanced production yield.
  • the provision of dummy vias may not be restricted to electrically non-functional metal regions but may also be applied to metal lines and other metal regions, thereby also enhancing the electrical as well as mechanical performance of these functional metal lines and regions. For example, by providing any dummy vias for a metal line, the overall resistance thereof may be reduced and at the same time the mechanical stability thereof may be significantly enhanced.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

La présente invention permet, en créant des trous d’interconnexion factices (213B, 313B) sous des zones métalliques non fonctionnelles sur le plan électrique (212B, 312B), de réduire sensiblement le risque de délaminage du métal lors de traitements ultérieurs. En outre, dans certains modes de réalisation, la résistance mécanique des couches de métallisation résultantes (330) peut être encore plus améliorée en créant des zones métalliques factices (303B) qui peuvent servir d’ancres pour une zone métallique non fonctionnelle (312B) surjacente. De plus, des trous d’interconnexion factices (213B, 313B) peuvent également être créés conjointement avec des lignes (212A, 212C, 212D, 312A) et des zones (220A, 320A) métalliques fonctionnelles sur le plan électrique, ce qui améliore aussi leur stabilité mécanique et leurs performances électriques.
PCT/US2006/044291 2005-11-30 2006-11-15 Technique pour améliorer l’adhésion de couches de métallisation en créant des trous d'interconnexion factices WO2007064471A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2008543307A JP2009517884A (ja) 2005-11-30 2006-11-15 ダミービアの供給によりメタライゼーション層の密着性を向上させる技術
KR1020087016007A KR101278279B1 (ko) 2005-11-30 2006-11-15 더미 비아를 제공함으로써 금속화 층의 접착력을증가시키는 기술
GB0809143A GB2447573A (en) 2005-11-30 2008-05-20 A technique for increasing adhesion of metallization layers by providing dummy vias

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
DE102005057076A DE102005057076A1 (de) 2005-11-30 2005-11-30 Technik zum Verbessern der Haftung von Metallisierungsschichten durch Vorsehen von Platzhalterkontaktdurchführungen
DE102005057076.3 2005-11-30
US11/470,024 2006-09-05
US11/470,024 US7611991B2 (en) 2005-11-30 2006-09-05 Technique for increasing adhesion of metallization layers by providing dummy vias

Publications (1)

Publication Number Publication Date
WO2007064471A1 true WO2007064471A1 (fr) 2007-06-07

Family

ID=37770918

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/044291 WO2007064471A1 (fr) 2005-11-30 2006-11-15 Technique pour améliorer l’adhésion de couches de métallisation en créant des trous d'interconnexion factices

Country Status (1)

Country Link
WO (1) WO2007064471A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010045255A (ja) * 2008-08-15 2010-02-25 Fujitsu Microelectronics Ltd 半導体装置及び半導体装置の製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6109775A (en) * 1991-07-19 2000-08-29 Lsi Logic Corporation Method for adjusting the density of lines and contact openings across a substrate region for improving the chemical-mechanical polishing of a thin-film later disposed thereon
US6468894B1 (en) * 2001-03-21 2002-10-22 Advanced Micro Devices, Inc. Metal interconnection structure with dummy vias
US6864171B1 (en) * 2003-10-09 2005-03-08 Infineon Technologies Ag Via density rules
US20050121788A1 (en) * 2001-12-21 2005-06-09 Fujitsu Limited Semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6109775A (en) * 1991-07-19 2000-08-29 Lsi Logic Corporation Method for adjusting the density of lines and contact openings across a substrate region for improving the chemical-mechanical polishing of a thin-film later disposed thereon
US6468894B1 (en) * 2001-03-21 2002-10-22 Advanced Micro Devices, Inc. Metal interconnection structure with dummy vias
US20050121788A1 (en) * 2001-12-21 2005-06-09 Fujitsu Limited Semiconductor device
US6864171B1 (en) * 2003-10-09 2005-03-08 Infineon Technologies Ag Via density rules

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010045255A (ja) * 2008-08-15 2010-02-25 Fujitsu Microelectronics Ltd 半導体装置及び半導体装置の製造方法
US8836126B2 (en) 2008-08-15 2014-09-16 Fujitsu Semiconductor Limited Semiconductor device having insulating layers containing oxygen and a barrier layer containing manganese
US9704740B2 (en) 2008-08-15 2017-07-11 Fujitsu Semiconductor Limited Semiconductor device having insulating layers containing oxygen and a barrier layer containing manganese

Similar Documents

Publication Publication Date Title
US7611991B2 (en) Technique for increasing adhesion of metallization layers by providing dummy vias
US9330974B2 (en) Through level vias and methods of formation thereof
US8062971B2 (en) Dual damascene process
US8791013B2 (en) Pattern forming method
US7511349B2 (en) Contact or via hole structure with enlarged bottom critical dimension
US7514354B2 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US20080157380A1 (en) Method for forming metal interconnection of semiconductor device
US20100230815A1 (en) Semiconductor device
US20060246718A1 (en) Technique for forming self-aligned vias in a metallization layer
US20100155949A1 (en) Low cost process flow for fabrication of metal capping layer over copper interconnects
US20120153405A1 (en) Semiconductor Device Comprising a Contact Structure with Reduced Parasitic Capacitance
JP2006344965A (ja) 配線構造の形成方法,配線構造およびデュアルダマシン構造
US6495448B1 (en) Dual damascene process
US6030896A (en) Self-aligned copper interconnect architecture with enhanced copper diffusion barrier
US7196423B2 (en) Interconnect structure with dielectric barrier and fabrication method thereof
US6638849B2 (en) Method for manufacturing semiconductor devices having copper interconnect and low-K dielectric layer
US20090137119A1 (en) Novel seal isolation liner for use in contact hole formation
US20090294921A1 (en) Semiconductor device comprising metal lines with a selectively formed dielectric cap layer
US10923423B2 (en) Interconnect structure for semiconductor devices
US20100055902A1 (en) Reducing critical dimensions of vias and contacts above the device level of semiconductor devices
US7528059B2 (en) Method for reducing polish-induced damage in a contact structure by forming a capping layer
US20020127849A1 (en) Method of manufacturing dual damascene structure
WO2007064471A1 (fr) Technique pour améliorer l’adhésion de couches de métallisation en créant des trous d'interconnexion factices
US20060226549A1 (en) Semiconductor device and fabricating method thereof
US20070120264A1 (en) A semiconductor having a copper-based metallization stack with a last aluminum metal line layer

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680045080.6

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)
ENP Entry into the national phase

Ref document number: 0809143

Country of ref document: GB

Kind code of ref document: A

Free format text: PCT FILING DATE = 20061115

WWE Wipo information: entry into national phase

Ref document number: 0809143.1

Country of ref document: GB

WWE Wipo information: entry into national phase

Ref document number: 2008543307

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020087016007

Country of ref document: KR

122 Ep: pct application non-entry in european phase

Ref document number: 06827817

Country of ref document: EP

Kind code of ref document: A1