WO2006116770A2 - Method of passivating chemical mechanical polishing compositions for copper film planarization processes - Google Patents

Method of passivating chemical mechanical polishing compositions for copper film planarization processes Download PDF

Info

Publication number
WO2006116770A2
WO2006116770A2 PCT/US2006/016705 US2006016705W WO2006116770A2 WO 2006116770 A2 WO2006116770 A2 WO 2006116770A2 US 2006016705 W US2006016705 W US 2006016705W WO 2006116770 A2 WO2006116770 A2 WO 2006116770A2
Authority
WO
WIPO (PCT)
Prior art keywords
cmp composition
copper
cmp
acid
ammonium
Prior art date
Application number
PCT/US2006/016705
Other languages
French (fr)
Other versions
WO2006116770A3 (en
Inventor
Jun Liu
Mackenzie King
Michael Darsillo
Karl Boggs
Jeffrey Roeder
Peter Wrschka
Thomas H. Baum
Original Assignee
Advanced Technology Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/117,274 external-priority patent/US7361603B2/en
Application filed by Advanced Technology Materials, Inc. filed Critical Advanced Technology Materials, Inc.
Publication of WO2006116770A2 publication Critical patent/WO2006116770A2/en
Publication of WO2006116770A3 publication Critical patent/WO2006116770A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Definitions

  • the present invention relates to a chemical mechanical polishing composition and to methods of using same for the polishing of wafer substrates having copper thereon, e.g., copper interconnects, electrodes, or metallization, as part of a microelectronic device structure.
  • Copper is widely employed in semiconductor manufacturing as a material of construction for components of microelectronic device structures (e.g., contacts, electrodes, conductive vias, field emitter base layers, etc.), and it is rapidly becoming the interconnect metal of choice in semiconductor manufacturing due to its higher conductivity and increased electromigration resistance relative to aluminum and aluminum alloys.
  • the process scheme for utilizing copper in semiconductor manufacturing involves the damascene approach, wherein features are etched in a dielectric material. In the dual damascene process a single step is used to form both plugs and lines.
  • barrier layers such as Ta or TaN deposited by various deposition methods, are often used to seal the copper interconnects.
  • a thin seed layer of copper is deposited on the barrier material via physical vapor deposition, followed by electrodeposition of copper to fill the features.
  • the deposited copper must then be planarized to render it of suitable form to accommodate subsequent process steps in the fabrication of the finished semiconductor product, and in order to satisfactorily operate in the microcircuitry in which it is present.
  • the planarization typically involves chemical mechanical polishing (CMP), using a CMP composition formulated for such purpose.
  • the Step I slurry is used to rapidly planarize the topography and remove the copper, with the Step I polish stopping at the barrier layer material.
  • the Step II slurry removes the barrier layer material at a high removal rate and stops at the dielectric layer, or alternatively at a cap layer that has been applied to protect the dielectric.
  • Step I chemical mechanical polishing (CMP) compositions for planarization and polishing of copper typically are in the form of slurries containing an abrasive of suitable type, e.g., an abrasive selected from among silica, alumina, and other oxides and mineralic materials, in a solvent medium containing one or more solvent species, e.g., water, organic solvents, etc.
  • an abrasive of suitable type e.g., an abrasive selected from among silica, alumina, and other oxides and mineralic materials
  • solvent medium containing one or more solvent species, e.g., water, organic solvents, etc.
  • Step I slurries have a high copper removal rate, and a copper to barrier material removal rate selectivity of greater than 100:1.
  • One type of CMP composition for planarizing copper surfaces includes an aqueous slurry of abrasive particles containing hydrogen peroxide as an oxidizing component and glycine as a chelating agent.
  • Glycine has been found to react with solution phase Cu +2 ions formed by the oxidation of the Cu metal to form a Cu 2+ -glycine complex.
  • the complexing of Cu +2 ions through formation of a water soluble Cu 2+ -glycine chelate assists in removal of Cu in protruded regions via a direct dissolution mechanism, and the Cu 2+ -glycine complex decomposes hydrogen peroxide to yield hydroxyl radicals which have a higher oxidation potential than hydrogen peroxide itself.
  • step I CMP slurries the compound benzotriazole (BTA) is often included as a
  • BTA ( N > ⁇ FW: 119.13) complexes with copper to form an insoluble Cu-BTA complex on the copper surface.
  • the resulting insoluble protective film is meant to facilitate the planarization of the topography of the device structure being fabricated, since the recessed areas on the wafer surface are protected from dissolution, while mechanical action of the abrasive species on the protruding areas enables material removal and planarization to be carried out.
  • the Cu-BTA complex minimizes corrosion and preserves the functional integrity of the copper device structures for their intended use. [0008] It is known that BTA functions well as a copper corrosion inhibitor in the absence of OH radicals.
  • step I copper CMP slurries containing hydrogen peroxide and glycine, the formation of highly oxidizing OH radicals under dynamic CMP conditions cannot be avoided, since copper metal is readily oxidized in such slurry environment.
  • Experiments involving the addition OfCu 2+ to an H 2 ⁇ 2 /glycine/BTA system have shown that the presence of Cu 2+ increases the static etch rate of Cu dramatically, and at the same time, the Cu corrosion potential is shifted to less noble ranges.
  • Dishing occurs when too much copper is removed such that the copper surface is recessed relative to the barrier and/or dielectric surface of the semiconductor wafer. Dishing occurs when the copper and barrier material removal rates are disparate. Oxide erosion occurs when too much dielectric material is removed.
  • An alternative to the use of BTA as a corrosion inhibitor in CMP compositions includes 5-aminotetrazole (ATA), which is compatible with H 2 ⁇ 2 /glycine-based CMP compositions and effective to passivate copper surfaces when significant amounts of Cu ions are present in bulk solution and/or near the metal/solution interface during CMP processing.
  • ATA 5-aminotetrazole
  • Step I bulk copper is rapidly removed followed by the "soft landing" or "touchdown,” whereby the polishing conditions are altered until the underlying barrier material is exposed, as determinable using an endpoint detection system such as an in situ rate monitor (ISRM). Although the endpoint has been detected, signaling the exposure of the barrier layer material, copper overburden remains which must be removed and as such, an over-polishing step is often performed.
  • ISRM in situ rate monitor
  • Step I CMP slurries advantageously remove copper rapidly during the step I CMP process.
  • this rapid rate of copper removal may become disadvantageous as various surface defects such as recesses, erosion, dishing, etc., form on the copper layer surface.
  • the net result of the overly aggressive step I slurry during soft landing and/or over-polish is a wafer substrate having a non-uniform planar surface, which can render the wafer unusable.
  • the present invention relates to a chemical mechanical polishing composition and method of using same for the polishing of microelectronic device substrates having copper thereon.
  • the present invention further relates to a method for slowing down the rate of copper removal during the soft landing and/or overpolishing steps of the step I polishing process.
  • the invention relates to a method of polishing copper on a substrate having copper thereon, including:
  • the invention relates to a method of polishing copper on a substrate having copper thereon, including:
  • the first CMP composition includes 5-aminotetrazole (ATA) and at least one chelating agent, wherein the chelating agent comprises at least one amino acid selected from the group consisting of glycine, serine, praline, leucine, alanine, asparagines, aspartic acid, glutamine, valine and lysine;
  • the invention relates to a method of polishing copper on a substrate having copper thereon, including:
  • CMP chemical mechanical polishing
  • the present invention relates to a method of manufacturing a microelectronic device, said method comprising:
  • the present invention relates to a method of manufacturing a microelectronic device, said method comprising:
  • the first CMP composition includes 5- aminotetrazole (ATA) and at least one chelating agent, wherein the chelating agent comprises at least one amino acid selected from the group consisting of glycine, serine, praline, leucine, alanine, asparagines, aspartic acid, glutamine, valine and lysine;
  • the present invention relates to a method of manufacturing a microelectronic device, said method comprising:
  • contacting copper on a substrate under CMP conditions for a sufficient time and under sufficient contacting conditions to planarize bulk copper with a first CMP composition wherein the first CMP composition includes 5- aminotetrazole (ATA), at least one oxidizing agent, at least one chelating agent and solvent;
  • ATA 5- aminotetrazole
  • the present invention relates to a method of determining a relationship between static etch rate and chemical mechanical polishing (CMP) composition dilution, said method comprising:
  • steps (e) and (d) optionally repeating steps (c) and (d) to produce a third CMP composition and measuring a third static etch rate;
  • the present invention relates to a kit comprising, in one or more containers, chemical mechanical polishing (CMP) composition reagents, wherein the CMP composition comprises 5-aminotetrazole (ATA) and at least one chelating agent, wherein the chelating agent comprises at least one amino acid selected from the group consisting of glycine, serine, praline, leucine, alanine, asparagines, aspartic acid, glutamine, valine and lysine, and wherein the kit is adapted to form a CMP composition suitable to planarize bulk copper and remove copper overburden from a microelectronic device having said copper thereon.
  • CMP chemical mechanical polishing
  • the present invention relates to a kit comprising, in one or more containers, chemical mechanical polishing (CMP) composition reagents, wherein the CMP composition comprises 5-aminotetrazole (ATA), at least one oxidizing agent, at least one chelating agent and solvent, and wherein the kit is adapted to form a CMP composition suitable to planarize bulk copper and remove copper overburden from a microelectronic device having said copper thereon.
  • CMP chemical mechanical polishing
  • Figure 1 is a plot of copper corrosion rate, in Angstroms per minute, as a function of
  • Figure 2 is a logarithmic plot of the copper corrosion rate as a function of the
  • Figure 3 is a plot of copper corrosion rate, in Angstroms per minute, as a function of
  • Figure 4 is a logarithmic plot of the copper corrosion rate as a function of the
  • Figure 5 is a plot of copper corrosion rate, in Angstroms per minute, as a function of 1.2 % ATA/H 2 ⁇ 2 /glycine slurry dilution ratio ( ⁇ ) and 0.1 % BTA/H 2 O 2 /glycine slurry dilution ratio ( ⁇ ).
  • Figure 6 is a logarithmic plot of the copper corrosion rate as a function of the ATA/H 2 O 2 /glycine slurry dilution ratio and the BTA/H 2 O 2 /glycine slurry dilution ratio illustrated in Figure 5.
  • the present invention is based on the discovery that 5-aminotetrazole
  • H 2 N ⁇ % (ATA, HN-N ⁇ FW: 85.06) is unexpectedly effective as a replacement for BTA as a copper corrosion inhibitor in Step I CMP compositions for planarizing copper films.
  • ATA is compatible with CMP compositions containing hydrogen peroxide as an oxidizer and glycine as a chelator.
  • the ATA-containing CMP composition achieves active passivation of copper surfaces even when significant amounts of copper ions, e.g., Cu 2+ cations, are present in bulk solution and/or at the metal/solution interface during CMP processing.
  • the present invention is further based on the discovery that dilution of a CMP slurry composition during the soft landing and/or over polishing step of a CMP process achieves active passivation of exposed copper.
  • Soft landing corresponds to some point in the Step I polishing process whereby the downforce pressure of the polisher may be decreased and/or the Step I composition altered to reduce dishing and/or erosion of the copper lines and plugs.
  • soft landing may be effectuated when the thickness of the layer of copper over the barrier material has been decreased to a range of from about 0.05 ⁇ m to about 0.4 ⁇ m.
  • "Over-polishing” is performed subsequent to soft landing to remove the copper overburden from the surface of the barrier material, while minimizing additional dishing or erosion of the copper features.
  • “about” is intended to correspond to ⁇ 5 % of the stated value.
  • Microelectronic device corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term “microelectronic device” is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly.
  • MEMS microelectromechanical systems
  • barrier layer material includes layers that may be deposited/positioned between copper interconnect layers and dielectric material layers to significantly prevent leakage of copper ions into said dielectric material, wherein said barrier material layer includes compounds selected from the group consisting of Ta, TaN, Ti, TiN, W, WN, suicides thereof, and combinations thereof.
  • suitable to planarize bulk copper and remove copper overburden from a microelectronic device having said copper thereon corresponds to at least partial removal of said copper from the microelectronic device.
  • at least 90 % of the copper, more preferably at least 95% of the copper, and most preferably at least 99% of the copper is removed from the microelectronic device using the compositions of the invention.
  • dilution of the CMP composition "subsequent" to the planarization of bulk copper corresponds to immediately before the soft landing step, during the soft landing step and during the over-polishing step.
  • the ATA-containing CMP compositions of the invention in its broad contemplation, includes ATA, at least one chelating agent, solvent media, optionally at least one oxidizing agent, optionally at least one additional corrosion inhibitor, optionally abrasive, and optionally any suitable additives, adjuvants, excipients, etc., such as stabilizing agents, acids, bases (e.g., amines), surfactants, buffering agents, etc.
  • the range of mole ratios of chelating agent(s) relative to ATA in the CMP composition is about 1:1 to about 20:1, more preferably about 3:1 to about 10:1; the range of mole ratios of oxidizing agent(s) (when present) relative to ATA is about 5:1 to about 30:1, more preferably about 10:1 to about 20:1; and the range of mole ratios of abrasive (when present) relative to ATA is about 0.1:1 to about 10:1, more preferably about 1 : 1 to about 3:1.
  • Oxidizing agents employed in the broad practice of the invention may be of any suitable type, including for example hydrogen peroxide (H 2 O 2 ), ferric nitrate (Fe(NO 3 ) 3 ), potassium iodate (KIO 3 ), potassium permanganate (KMnO 4 ), nitric acid (HNO 3 ), ferric ammonium oxalate, ferric ammonium citrate, ammonium chlorite (NH 4 ClO 2 ), ammonium chlorate (NH 4 ClO 3 ), ammonium iodate (NH 4 IO 3 ), ammonium perborate (NH 4 BO 3 ), ammonium perchlorate (NH 4 ClO 4 ), ammonium periodate (NH 4 IO 3 ), ammonium persulfate ((NH t ) 2 S 2 O 8 ), tetramethylammonium chlorite ((N(CH 3 ) 4 )C1O 2 ), tetramethylammonium chlorate ((N(N(CH
  • Preferred oxidizers include peracetic acid, urea-hydrogen peroxide, di-t-butyl peroxide, benzyl peroxide, hydrogen peroxide and compatible mixtures including two or more of such oxidizer species. Most preferably, the oxidizer includes hydrogen peroxide.
  • Chelating agents in the CMP compositions of the invention may be of any appropriate type, including, for example: amino acids such as glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, lysine, etc.; polyamine complexes and their salts, including ethylenediaminetetraacetic acid, N-hydroxyethylethylenediaminetriacetic acid, nitrilotriacetic acid, iminodiacetic acid, diethylenetriaminepentaacetic acid, and ethanoldiglycinate; polycarboxylic acids, including phthalic acid, oxalic acid, malic acid, succinic acid, mandelic acid, and mellitic acid; and compatible mixtures including two or more of the foregoing species.
  • Preferred chelating agents include amino acids, with glycine being most preferred.
  • the corrosion inhibitor component in the CMP composition of the invention comprises ATA, and may additionally include other corrosion inhibitor components in combination with ATA, in specific embodiments of the invention.
  • Such other corrosion inhibitor components may be of any suitable type, including for example, imidazole, benzotriazole, benzimidazole, amino, imino, carboxy, mercapto, nitro, alkyl, urea and thiourea compounds and derivatives thereof, etc.
  • Preferred inhibitors include tetrazoles and their derivatives, and the invention therefore contemplates the provision of ATA alone or in combination with other tetrazole (or other corrosion inhibitor) species, as the corrosion inhibitor in compositions according to the present invention.
  • ATA is employed in CMP compositions of the invention in any suitable concentration. Suitable concentrations of ATA in a specific formulation are readily empirically determinable within the skill of the art, based on the disclosure herein, to provide a CMP composition with suitable copper surface passivation characteristics even in CMP environments containing high levels of copper cations.
  • the amount of ATA in the CMP composition is in a range of from about 0.001 to about 10% by weight, based on the total weight of the CMP composition, with an amount of ATA in a range of from about 0.01 to about 5% by weight being more preferred, and an amount of ATA in a range of from about 0.10 to about 1.5% by weight being most preferred, based on the same total weight basis, although greater or lesser percentages may be employed to advantage in specific applications within the broad scope of the present invention.
  • the abrasive may be of any suitable type, including, without limitation, metal oxides, silicon nitrides, carbides, etc. Specific examples include silica, alumina, silicon carbide, silicon nitride, iron oxide, ceria, zirconium oxide, tin oxide, titanium dioxide, and mixtures of two or more of such components in suitable form, such as grains, granules, particles, or other divided form.
  • the abrasive may include composite particles lbrmed of two or more materials, e.g., NYACOL® alumina-coated colloidal silica (Nyacol Nano Teclinologies, Inc., Ashland, MA).
  • Alumina is a preferred inorganic abrasive and may be employed in the form of boehmite or transitional ⁇ , ⁇ or ⁇ -phase alumina.
  • Organic polymer particles e.g., including thermoset and/or thermoplastic resin(s), may be utilized as abrasives.
  • Useful resins in the broad practice of the present invention include epoxies, urethanes, polyesters, polyamides, polycarbonates, polyolefins, polyvinylchloride, polystyrenes, polyolefins, and (meth)acrylics. Mixtures of two or more organic polymer particles may be used as the abrasive medium, as well as particles comprising both inorganic and organic components.
  • Bases may be optionally employed for pH adjustment in compositions of the invention.
  • Illustrative bases include, by way of example, potassium hydroxide, ammonium hydroxide and tetramethylammoniumliydroxide (TMAH), tetraethylammonium hydroxide, trimethyl hydroxyethylammonium hydroxide, methyl tri (hydroxyethyl) ammonium hydroxide, tetra(hydroxyethyl)ammonium hydroxide, and benzyl trimethylammonium hydroxide.
  • Acids may also be optionally employed for pH adjustment in compositions of the invention.
  • the acids used may be of any suitable type, including, by way of example, formic acid, acetic acid, propanoic acid, butanoic acid, pentanoic acid, isovaleric acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, lactic acid, hydrochloric acid, nitric acid, phosphoric acid, sulfuric acid, hydrofluoric acid, malic acid, fumaric acid, malonic acid, glutaric acid, glycolic acid, salicylic acid, 1,2,3-benzenetricarboxylic acid, tartaric acid, gluconic acid, citric acid, phthalic acid, pyrocatechoic acid, pyrogallol carboxylic acid, gallic acid, tannic acid, and mixtures including two or more acids of the foregoing or other types.
  • Amines when present may be of any suitable type, including, by way of example, hydroxylamine, monoethanolamine, diethanolamine, triethanolamine, diethyleneglycolamine, N-hydroxylethylpiperazine, N-methylethanolamine, N,N-dimethylethanolamine, N- ethyletlianolamine, N,N-diethylethanolamine, propanolamine, N,N-dimethylpropanolamine, N- ethylpropanolamine, N,N-diethylpropanolamine, 4-(2-hydroxyethyl)morpholine, aminoethylpiperazine, and mixtures including two or more of the foregoing or other amine species.
  • Surfactants when optionally employed in compositions of the invention may be of any suitable type, including non-ionic, anionic, cationic, and amphoteric surfactants, and polyelectrolytes including, for example: salts of organic acids; alkane sulfates (e.g., sodium dodecyl sulfate); alkane sulfonates; substituted amine salts (e.g., cetylpyridium bromide); betaines; polyethylene oxide; polyvinyl alcohol; polyvinyl acetate; polyacrylic acid; polyvinyl pyrrolidone; polyethyleneimine; and esters of anhydrosorbitols, such as those commercially available under the trademarks Tween ® and Span ® , as well as mixtures including two or more of the foregoing or other surfactant species.
  • alkane sulfates e.g., sodium dodecyl sulfate
  • the pH of CMP compositions of the invention may be at any suitable value that is efficacious for the specific polishing operation employed.
  • the pH of the CMP composition may be in a range of from about 2 to about 11 , more preferably in a range of from about 2 to about 7, and most preferably in a range of from about 3 to about 6.
  • the solvents employed in CMP compositions of the invention may be single component solvents or multicomponent solvents, depending on the specific application.
  • the solvent in the CMP composition is water.
  • the solvent comprises an organic solvent, e.g., methanol, ethanol, propanol, butanol, ethylene glycol, propylene glycol, glycerin, etc.
  • the solvent comprises a water-organic solvent solution.
  • solvent types and specific solvent media may be employed in the general practice of the invention to provide a solvating/suspending medium in which the abrasive is dispersed and in which the other components are incorporated to provide a composition of appropriate character, e.g., of slurry form, for application to the platen of the CMP unit to provide a desired level of polishing of the copper on the wafer substrate.
  • the ATA-containing CMP composition includes ATA and a chelating agent, wherein the chelating agent comprises at least one amino acid selected from the group consisting of glycine, serine, praline, leucine, alanine, asparagine, aspartic acid, glutamine, valine and lysine.
  • the range of mole ratios of chelating agent(s) relative to ATA in the CMP composition is about 1:1 to about 20:1, more preferably about 3:1 to about 10:1.
  • the ATA-containing CMP composition includes
  • the invention provides a Step I CMP composition useful for chemical mechanical polishing of substrates having copper thereon, e.g., copper interconnects, metallization, device structural elements, etc., in which the composition includes hydrogen peroxide, glycine, ATA, and solvent.
  • the invention provides a Step I CMP composition useful for chemical mechanical polishing of substrates having copper thereon, e.g., copper interconnects, metallization, device structural elements, etc., in which the composition includes hydrogen peroxide, glycine, ATA, abrasive and solvent.
  • the CMP composition of the invention is an aqueous abrasive composition, and includes an aqueous medium, abrasive, ATA, H 2 O 2 and glycine, wherein ATA, H 2 O 2 and glycine have the following composition by weight, based on the total weight of the composition:
  • the CMP composition comprises the following components by weight, based on the total weight of the composition:
  • e ATA-containing CMP composition includes ATA, at least one metal chelating agent, solvent, CMP residue material, optionally at least one oxidizing agent, and optionally abrasive, wherein the CMP residue material includes polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, and any other materials that are the by-products of the CMP process.
  • the CMP compositions of the invention may be provided as a single package formulation or a multi-part formulation that is mixed at the point of use or in a storage tank upstream of the tool.
  • the advantage of a multi-part formulation resides in its extended shelf life relative to single-package formulations.
  • a single package formulation is more susceptible to decomposition and change of its properties over time, in relation to a multi-part formulation, due to the presence of the oxidizer in the single-package CMP composition.
  • concentrations of the single-package formulation or the individual packages of the multi-part formulations may be widely varied in specific multiples, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the CMP compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
  • each single ingredient of the CMP composition is individually delivered to the polishing table for combination at the table, to constitute the CMP composition for use.
  • the CMP composition is formulated as a two-part composition in which the first part comprises abrasive and corrosion inhibitor in aqueous medium, and the second part comprises oxidizing agent and chelating agent.
  • the CMP composition is formulated as a two-part composition in which the first part comprises abrasive, corrosion inhibitor and chelating agent in an aqueous medium, and the second part comprises the oxidizer.
  • kits including, in one or more containers, the components adapted to form the compositions of the invention as described hereinabove.
  • the kit includes, in one or more containers, at least one corrosion inhibitor and at least one chelating agent for combining with the at least one oxidizing agent at the fab.
  • the kit includes at least one corrosion inhibitor, abrasive, and at least one chelating agent for combining with the at least one oxidizing agent at the fab.
  • the kit includes at least ATA, abrasive, and glycine for combining with the at least one oxidizing agent at the fab.
  • the containers of the kit must be suitable for storing and shipping said CMP composition components, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
  • the copper CMP composition of the invention may be utilized in a conventional manner in the CMP operation, by application of the CMP composition to the copper surface on the microelectronic device substrate in a conventional fashion, and polishing of the copper surface may be carried out using a conventional polishing element such as a polishing pad, polishing belt, or the like.
  • the CMP composition of the invention is advantageously employed to polish surfaces of copper elements on microelectronic device substrates, without the occurrence of dishing or other adverse planarization deficiencies in the polished copper, even when significant amounts of copper ions, e.g., Cu 2+ ions, are present in the bulk CMP slurry composition and/or at the copper/CMP slurry interface during CMP processing.
  • Step I CMP slurries advantageously remove copper rapidly during the step I CMP process. However, as the step I process enters the soft landing and/or overpolishing phases, this rapid rate of copper removal may become disadvantageous as various surface defects such as recesses, erosion, dishing, etc., form on the copper layer surface.
  • the Step I CMP slurry of the invention may be diluted in a serial fashion.
  • another embodiment of the present invention relates to a process of using a CMP composition to efficiently and uniformly planarize copper- containing microelectronic device substrates. Following rapid removal of the bulk copper layer using a more concentrated CMP composition, the CMP composition is diluted, either by in-line mixing or directly at the platen, to form a diluted CMP composition(s) for the soft landing and over-polishing steps.
  • the diluting media preferably includes the solvent of die Step I CMP composition, and may or may not further include at least one pH adjusting agent(s) to shift the pH of the diluted CMP composition, or an additional corrosion inhibitor(s) for improved soft- landing. Additional oxidizer(s) or selectivity enhancing agents such as rheology agents that minimize Ta removal rate may be included as well as promote passivation.
  • Step I CMP compositions were diluted twice in a step-wise fashion and the Cu corrosion rates determined using electrochemical methods. As defined herein, "Cu corrosion rate" is equivalent to "static etch rate.”
  • the initial Step I CMP compositions included 5 wt.
  • Step I CMP composition including ATA was compared to a Step I CMP composition including 5 wt. % H 2 O 2 , 6 wt. % glycine, 1 wt. % abrasive, 0.1 wt. % BTA, and the balance water, said BTA-containing solution also including 0.5 wt. % CuSO 4 -5H 2 O.
  • Step I CMP compositions (hereinafter Slurry 1) were diluted step-wise with water to yield second CMP slurries (1 part Slurryl to 5 parts water; hereinafter Slurry2), and third CMP slurries (1 part Slurryl to 50 parts water; hereinafter Slurry3).
  • Blanketed copper wafers were immersed in each respective slurry and the Cu corrosion rates in Angstroms per minute were measured. The average Cu corrosion rate plotted as a function of CMP slurry dilution using both a linear and logarithmic scale.
  • the number of serial dilutions of Slurryl i.e., the most concentrated CMP slurry, is not limited to the aforementioned two dilutions.
  • the number of dilutions depends on the desired end result of the Step I CMP polish and may vary from one step-wise dilution to ten or more step-wise dilutions.
  • the dilution may be effectuated in a continuous manner whereby diluting solvent is continuously added to the CMP slurry during the soft-landing and/or over-polishing steps.
  • Slurryl is not diluted per se, but rather diluted CMP compositions are prepared for delivery of clean, diluted chemistries to the tool subsequent to the polish using Slurryl.
  • Figures 1 and 2 correspond to linear and logarithmic plots of the average Cu corrosion rate as a function of CMP slurry dilution for a Step I CMP slurry having an ATA concentration of 0.1 wt. %, respectively.
  • Non-linear regression of the best-fit straight line in Fig. 2 for the slurry having ATA therein yields a power relation between corrosion rate and
  • Figures 3 and 4 correspond to linear and logarithmic plots of the average Cu corrosion rate as a function of CMP slurry dilution for a Step I CMP slurry having an ATA concentration of 0.8 wt. %, respectively.
  • Non-linear regression of the best-fit straight line in Fig. 4 for the slurry having ATA therein yields a power relation between corrosion rate and
  • Figures 5 and 6 correspond to linear and logarithmic plots of the average Cu corrosion rate as a function of CMP slurry dilution for a Step I CMP slurry having an ATA concentration of 1.2 wt. %, respectively.
  • Non-linear regression of the best-fit straight line in Fig. 6 for the slurry having ATA therein yields a power relation between corrosion rate and
  • the copper corrosion rate decreases with increasing slurry dilution. This may prove advantageous during the soft-landing and over-polishing steps to slow the copper corrosion rate and concomitantly minimize the dishing and erosion of the copper lines, vias, and/or plugs. Further, compared to compositions including BTA, ATA leads to a lower overall corrosion rate as well as a more rapid corrosion decrease with increasing dilution, as evidenced by the slopes of the regression lines.
  • bulk layer represents the copper layer that is rapidly removed by the Step I CMP composition of the invention, e.g., Slurryl
  • residual layer represents the copper layer that remains following the removal of the bulk layer, including the copper overburden, which is intended to be removed at a slower rate, e.g., using Slurry2, Slurry3, etc.
  • the diluting solvent e.g., water
  • the diluting solvent may be delivered to the polishing table at the appropriate time and in the appropriate amount based on the regression equation specific to the slurry composition of choice, as readily determinable by one skilled in the art.
  • Slurryl may be diluted in a serial manner and the Cu corrosion rate of each composition measured using Tafel or some equivalent measurement. Thereafter, a logarithmic plot of the Cu corrosion rate as a function of the slurry dilution may be plotted and the non-linear regression equation calculated.
  • one skilled in the art may determine the appropriate time and in the appropriate amount of diluting solvent to deliver to the polishing table to slow the static etch rate of copper to a rate that minimizes the formation of polishing defects such as dishing.
  • the CMP composition of the invention may be diluted in a serial manner to effectuate substantial removal of the residual layer of copper while simultaneously minimizing dishing and erosion of the copper lines and plugs, as readily determined by one skilled in the art.
  • substantial removal corresponds to at least 80%, preferably at least 90%, more preferably at least 95%, and most preferably at least 99% of the residual layer of copper is removed using the series of Step I CMP compositions.
  • the dilution process may be automated whereby the polishing table and a programmable logic control (PLC) unit may be communicatively connected and the regression equation for the CMP slurry of choice input into the PLC. At the appropriate time, the appropriate amount of diluting solvent will be delivered to the polishing table, as controlled by the PLC.
  • PLC programmable logic control
  • the platen and substrate are rinsed with solvent.
  • the solvent is the same as that used in the Step I CMP compositions described herein, e.g., water.
  • the rinse time may be in a range from about 5 sec to about 30 sec, preferably about 10 sec to about 20 sec.
  • the wafer substrate maybe transferred to another platen for Step II polishing thereon.
  • Tool fault corrosion studies were performed to demonstrate the effectiveness of dilution at slowing the corrosion, i.e., etch rate, of exposed copper surfaces.
  • a Sematech 854 (TEOS) wafer was polished on a Mirra tool using a Step I CMP slurry and following completion of the Step I polish at the second platen, i.e., following removal of all of the copper residual material but before transfer of the wafer to the third platen for the Step II polish, the tool was manually placed into tool fault condition, which positions the wafer over the interplaten cleaners. During the tool fault mode, the wafer was sprayed with deionized water for 15 minutes.
  • the wafer surface consisted of copper features surrounded by barrier layer material and separated from dielectric material for electrical insulation. It has been reported that conventional Step I CMP slurries including BTA are ineffective at eliminating the corrosion, i.e., etching, of the exposed copper features during the interplaten tool fault spray.
  • the CMP composition of the invention including ATA was used during the Step I polish and the corrosion of the exposed copper features evaluated following an interplaten tool fault spray with deionized water.
  • the wafer polished with the ATA-containing composition of the invention showed no evidence of corrosion of the copper features after the 15 minute tool fault condition, even as the ATA-containing composition underwent constant dilution.

Abstract

A method of passivating a CMP composition by dilution and determining the relationship between the extent of dilution and the static etch rate of copper. Such relationship may be used to control the CMP composition during the CMP polish to minimize the occurrence of dishing or other adverse planarization deficiencies in the polished copper, even in the presence of substantial levels of copper ions in the CMP composition and at the copper/CMP composition interface.

Description

METHOD OF PASSIVATING CHEMICAL MECHANICAL POLISHING COMPOSITIONS FOR COPPER FILM PLANARIZATION PROCESSES
FIELD OF THE INVENTION
[0001] The present invention relates to a chemical mechanical polishing composition and to methods of using same for the polishing of wafer substrates having copper thereon, e.g., copper interconnects, electrodes, or metallization, as part of a microelectronic device structure.
DESCRIPTION OF THE RELATED ART
[0002] Copper is widely employed in semiconductor manufacturing as a material of construction for components of microelectronic device structures (e.g., contacts, electrodes, conductive vias, field emitter base layers, etc.), and it is rapidly becoming the interconnect metal of choice in semiconductor manufacturing due to its higher conductivity and increased electromigration resistance relative to aluminum and aluminum alloys. [00P3] Typically, the process scheme for utilizing copper in semiconductor manufacturing involves the damascene approach, wherein features are etched in a dielectric material. In the dual damascene process a single step is used to form both plugs and lines. Since copper has a propensity to diffuse into the dielectric material, leading to leakage between metal lines, barrier layers, such as Ta or TaN deposited by various deposition methods, are often used to seal the copper interconnects. Following deposition of the barrier layer material, a thin seed layer of copper is deposited on the barrier material via physical vapor deposition, followed by electrodeposition of copper to fill the features. The deposited copper must then be planarized to render it of suitable form to accommodate subsequent process steps in the fabrication of the finished semiconductor product, and in order to satisfactorily operate in the microcircuitry in which it is present. The planarization typically involves chemical mechanical polishing (CMP), using a CMP composition formulated for such purpose. [0004] Due to the difference in chemical reactivity between copper and the barrier layer material, e.g. Ta and/or TaN, two chemically distinct slurries are often used in the copper CMP process. The Step I slurry is used to rapidly planarize the topography and remove the copper, with the Step I polish stopping at the barrier layer material. The Step II slurry removes the barrier layer material at a high removal rate and stops at the dielectric layer, or alternatively at a cap layer that has been applied to protect the dielectric.
[0005] Step I chemical mechanical polishing (CMP) compositions for planarization and polishing of copper typically are in the form of slurries containing an abrasive of suitable type, e.g., an abrasive selected from among silica, alumina, and other oxides and mineralic materials, in a solvent medium containing one or more solvent species, e.g., water, organic solvents, etc. Typically, Step I slurries have a high copper removal rate, and a copper to barrier material removal rate selectivity of greater than 100:1.
[0006] One type of CMP composition for planarizing copper surfaces includes an aqueous slurry of abrasive particles containing hydrogen peroxide as an oxidizing component and glycine as a chelating agent. Glycine has been found to react with solution phase Cu+2 ions formed by the oxidation of the Cu metal to form a Cu2+-glycine complex. The complexing of Cu+2 ions through formation of a water soluble Cu2+-glycine chelate assists in removal of Cu in protruded regions via a direct dissolution mechanism, and the Cu2+-glycine complex decomposes hydrogen peroxide to yield hydroxyl radicals which have a higher oxidation potential than hydrogen peroxide itself.
[0007] In step I CMP slurries, the compound benzotriazole (BTA) is often included as a
corrosion inhibitor. In theory, BTA (
Figure imgf000004_0001
N > } FW: 119.13) complexes with copper to form an insoluble Cu-BTA complex on the copper surface. The resulting insoluble protective film is meant to facilitate the planarization of the topography of the device structure being fabricated, since the recessed areas on the wafer surface are protected from dissolution, while mechanical action of the abrasive species on the protruding areas enables material removal and planarization to be carried out. Additionally, the Cu-BTA complex minimizes corrosion and preserves the functional integrity of the copper device structures for their intended use. [0008] It is known that BTA functions well as a copper corrosion inhibitor in the absence of OH radicals. However, in step I copper CMP slurries containing hydrogen peroxide and glycine, the formation of highly oxidizing OH radicals under dynamic CMP conditions cannot be avoided, since copper metal is readily oxidized in such slurry environment. Experiments involving the addition OfCu2+ to an H2θ2/glycine/BTA system have shown that the presence of Cu2+ increases the static etch rate of Cu dramatically, and at the same time, the Cu corrosion potential is shifted to less noble ranges.
[0009] The significance of this finding is that in the presence OfHaO2 and glycine, BTA is not effective in protecting the low features of copper wafer surfaces during the CMP process, and thus undesired "dishing" and erosion occurs in high-density patterned areas on the wafer substrate.
[0010] Dishing occurs when too much copper is removed such that the copper surface is recessed relative to the barrier and/or dielectric surface of the semiconductor wafer. Dishing occurs when the copper and barrier material removal rates are disparate. Oxide erosion occurs when too much dielectric material is removed.
[0011] An alternative to the use of BTA as a corrosion inhibitor in CMP compositions includes 5-aminotetrazole (ATA), which is compatible with H2θ2/glycine-based CMP compositions and effective to passivate copper surfaces when significant amounts of Cu ions are present in bulk solution and/or near the metal/solution interface during CMP processing. [0012] During Step I, bulk copper is rapidly removed followed by the "soft landing" or "touchdown," whereby the polishing conditions are altered until the underlying barrier material is exposed, as determinable using an endpoint detection system such as an in situ rate monitor (ISRM). Although the endpoint has been detected, signaling the exposure of the barrier layer material, copper overburden remains which must be removed and as such, an over-polishing step is often performed. Unfortunately, the "soft landing" and the over-polishing steps often result in dishing and/or erosion into copper features and thus, loss of wafer surface planarity and uniformity.
[0013] Step I CMP slurries advantageously remove copper rapidly during the step I CMP process. However, during the soft landing and/or over-polish, this rapid rate of copper removal may become disadvantageous as various surface defects such as recesses, erosion, dishing, etc., form on the copper layer surface. The net result of the overly aggressive step I slurry during soft landing and/or over-polish is a wafer substrate having a non-uniform planar surface, which can render the wafer unusable.
[0014] It would therefore be a significant advance in the art to provide a method that overcomes the deficiencies of the prior art relating to the rapid rate of copper removal during the soft landing and/or overpolishing steps of the step I CMP process. Specifically, it would be an advance in the art to provide a method to reduce the static etch rate of copper, and hence copper corrosion, during the soft landing and/or overpolishing steps of the step I polishing process.
SUMMARY OF THE INVENTION
[0015] The present invention relates to a chemical mechanical polishing composition and method of using same for the polishing of microelectronic device substrates having copper thereon. In addition, the present invention further relates to a method for slowing down the rate of copper removal during the soft landing and/or overpolishing steps of the step I polishing process.
[0016] In one aspect, the invention relates to a method of polishing copper on a substrate having copper thereon, including:
(a) contacting copper on the substrate under chemical mechanical polishing (CMP) conditions for a sufficient time and under sufficient contacting conditions to planarize bulk copper with a first CMP composition;
(b) diluting the first CMP composition with solvent to produce a second CMP composition; (c) contacting copper on the substrate with the second CMP composition under CMP conditions for a sufficient time and under sufficient contacting conditions effective to remove copper overburden and expose a barrier material layer.
[0017] In another aspect, the invention relates to a method of polishing copper on a substrate having copper thereon, including:
(a) contacting copper on the substrate under chemical mechanical polishing (CMP) conditions for a sufficient time and under sufficient contacting conditions to planarize bulk copper with a first CMP composition, wherein the first CMP composition includes 5-aminotetrazole (ATA) and at least one chelating agent, wherein the chelating agent comprises at least one amino acid selected from the group consisting of glycine, serine, praline, leucine, alanine, asparagines, aspartic acid, glutamine, valine and lysine;
(b) diluting the first CMP composition with solvent to produce a second CMP composition;
(c) contacting copper on the substrate with the second CMP composition under CMP conditions for a sufficient time and under sufficient contacting conditions effective to remove copper overburden and expose a barrier material layer.
[0018] In another aspect, the invention relates to a method of polishing copper on a substrate having copper thereon, including:
(a) contacting copper on the substrate under chemical mechanical polishing (CMP) conditions for a sufficient time and under sufficient contacting conditions to planarize bulk copper with a first CMP composition, wherein the first CMP composition includes 5-aminotetrazole (ATA), at least one oxidizing agent, at least one chelating agent and solvent;
(b) diluting the first CMP composition with solvent to produce a second CMP composition;
(c) contacting copper on the substrate with the second CMP composition under CMP conditions for a sufficient time and under sufficient contacting conditions effective to remove copper overburden and expose a barrier material layer. [0019] In yet another aspect, the present invention relates to a method of manufacturing a microelectronic device, said method comprising:
(a) contacting copper on a substrate under CMP conditions for a sufficient time and under sufficient contacting conditions to planarize bulk copper with a first CMP composition;
(b) diluting the first CMP composition with solvent to produce a second CMP composition; and
(c) contacting copper on the substrate with the second CMP composition under CMP conditions for a sufficient time and under sufficient contacting conditions effective to remove copper overburden and expose a barrier material layer.
[0020] In yet another aspect, the present invention relates to a method of manufacturing a microelectronic device, said method comprising:
(a) contacting copper on a substrate under CMP conditions for a sufficient time and under sufficient contacting conditions to planarize bulk copper with a first CMP composition, wherein the first CMP composition includes 5- aminotetrazole (ATA) and at least one chelating agent, wherein the chelating agent comprises at least one amino acid selected from the group consisting of glycine, serine, praline, leucine, alanine, asparagines, aspartic acid, glutamine, valine and lysine;
(b) diluting the first CMP composition with solvent to produce a second CMP composition; and
(c) contacting copper on the substrate with the second CMP composition under CMP conditions for a sufficient time and under sufficient contacting conditions effective to remove copper overburden and expose a barrier material layer.
[0018] In yet another aspect, the present invention relates to a method of manufacturing a microelectronic device, said method comprising:
(a) contacting copper on a substrate under CMP conditions for a sufficient time and under sufficient contacting conditions to planarize bulk copper with a first CMP composition, wherein the first CMP composition includes 5- aminotetrazole (ATA), at least one oxidizing agent, at least one chelating agent and solvent;
(b) diluting the first CMP composition with solvent to produce a second CMP composition; and
(c) contacting copper on the substrate with the second CMP composition under CMP conditions for a sufficient time and under sufficient contacting conditions effective to remove copper overburden and expose a barrier material layer.
[0019] In yet another aspect, the present invention relates to a method of determining a relationship between static etch rate and chemical mechanical polishing (CMP) composition dilution, said method comprising:
(a) preparing a first CMP composition;
(b) measuring a first static etch rate of a material to be polished using the first CMP composition;
(c) diluting the first CMP composition with a solvent to produce a second CMP composition;
(d) measuring a second static etch rate of the material to be polished using the second CMP composition;
(e) optionally repeating steps (c) and (d) to produce a third CMP composition and measuring a third static etch rate;
(f) plotting static etch rate as a function of CMP composition dilution ratio using a logarithmic scale; and
(g) calculating the non-linear regression equation, wherein said regression equation is the relationship between static etch rate and CMP composition dilution.
[0020] In another aspect, the present invention relates to a kit comprising, in one or more containers, chemical mechanical polishing (CMP) composition reagents, wherein the CMP composition comprises 5-aminotetrazole (ATA) and at least one chelating agent, wherein the chelating agent comprises at least one amino acid selected from the group consisting of glycine, serine, praline, leucine, alanine, asparagines, aspartic acid, glutamine, valine and lysine, and wherein the kit is adapted to form a CMP composition suitable to planarize bulk copper and remove copper overburden from a microelectronic device having said copper thereon. [0021] In still another aspect, the present invention relates to a kit comprising, in one or more containers, chemical mechanical polishing (CMP) composition reagents, wherein the CMP composition comprises 5-aminotetrazole (ATA), at least one oxidizing agent, at least one chelating agent and solvent, and wherein the kit is adapted to form a CMP composition suitable to planarize bulk copper and remove copper overburden from a microelectronic device having said copper thereon.
[0022] Other aspects, features and embodiments of the invention will be more fully apparent from the ensuing disclosure and appended claims.
BRIEF DESCRIPTION OF THE DRAWINGS
[0023] Figure 1 is a plot of copper corrosion rate, in Angstroms per minute, as a function of
0.1 % ATA/H2O2/glycine slurry dilution ratio (♦) and 0.1 % BTA/H2O2/glycine slurry dilution ratio (■).
[0024] Figure 2 is a logarithmic plot of the copper corrosion rate as a function of the
ATA/H2O2/glycine slurry dilution ratio and the BTA/H2O2/glycine slurry dilution ratio illustrated in Figure 1.
[0025] Figure 3 is a plot of copper corrosion rate, in Angstroms per minute, as a function of
0.8 % ATA/H2O2/glycine slurry dilution ratio (♦) and 0.1 % BTA/H2O2/glycine slurry dilution ratio (■).
[0026] Figure 4 is a logarithmic plot of the copper corrosion rate as a function of the
ATA/H2O2/glycine slurry dilution ratio and the BTA/H2O2/glycine slurry dilution ratio illustrated in Figure 3. [0027] Figure 5 is a plot of copper corrosion rate, in Angstroms per minute, as a function of 1.2 % ATA/H2θ2/glycine slurry dilution ratio (♦) and 0.1 % BTA/H2O2/glycine slurry dilution ratio (■).
[0028] Figure 6 is a logarithmic plot of the copper corrosion rate as a function of the ATA/H2O2/glycine slurry dilution ratio and the BTA/H2O2/glycine slurry dilution ratio illustrated in Figure 5.
DETAILED DESCRIPTION OF THE INVENTION. AND PREFERRED EMBODIMENTS THEREOF
[0029] The present invention is based on the discovery that 5-aminotetrazole
H2N γ% (ATA, HN-N } FW: 85.06) is unexpectedly effective as a replacement for BTA as a copper corrosion inhibitor in Step I CMP compositions for planarizing copper films. ATA is compatible with CMP compositions containing hydrogen peroxide as an oxidizer and glycine as a chelator. The ATA-containing CMP composition achieves active passivation of copper surfaces even when significant amounts of copper ions, e.g., Cu2+ cations, are present in bulk solution and/or at the metal/solution interface during CMP processing.
[0030] The present invention is further based on the discovery that dilution of a CMP slurry composition during the soft landing and/or over polishing step of a CMP process achieves active passivation of exposed copper.
[0031] "Soft landing" or "touchdown," as defined herein, corresponds to some point in the Step I polishing process whereby the downforce pressure of the polisher may be decreased and/or the Step I composition altered to reduce dishing and/or erosion of the copper lines and plugs. Preferably, soft landing may be effectuated when the thickness of the layer of copper over the barrier material has been decreased to a range of from about 0.05 μm to about 0.4 μm. [0032] "Over-polishing" is performed subsequent to soft landing to remove the copper overburden from the surface of the barrier material, while minimizing additional dishing or erosion of the copper features. [0033] As used herein, "about" is intended to correspond to ± 5 % of the stated value. [0034] "Microelectronic device," as used herein, corresponds to semiconductor substrates, flat panel displays, and microelectromechanical systems (MEMS), manufactured for use in microelectronic, integrated circuit, or computer chip applications. It is to be understood that the term "microelectronic device" is not meant to be limiting in any way and includes any substrate that will eventually become a microelectronic device or microelectronic assembly. [0035] As defined herein, barrier layer material includes layers that may be deposited/positioned between copper interconnect layers and dielectric material layers to significantly prevent leakage of copper ions into said dielectric material, wherein said barrier material layer includes compounds selected from the group consisting of Ta, TaN, Ti, TiN, W, WN, suicides thereof, and combinations thereof.
[0036] As used herein, "suitability" to planarize bulk copper and remove copper overburden from a microelectronic device having said copper thereon corresponds to at least partial removal of said copper from the microelectronic device. Preferably, at least 90 % of the copper, more preferably at least 95% of the copper, and most preferably at least 99% of the copper is removed from the microelectronic device using the compositions of the invention. [0037] As used herein, dilution of the CMP composition "subsequent" to the planarization of bulk copper corresponds to immediately before the soft landing step, during the soft landing step and during the over-polishing step.
[0038] The ATA-containing CMP compositions of the invention, in its broad contemplation, includes ATA, at least one chelating agent, solvent media, optionally at least one oxidizing agent, optionally at least one additional corrosion inhibitor, optionally abrasive, and optionally any suitable additives, adjuvants, excipients, etc., such as stabilizing agents, acids, bases (e.g., amines), surfactants, buffering agents, etc. The range of mole ratios of chelating agent(s) relative to ATA in the CMP composition is about 1:1 to about 20:1, more preferably about 3:1 to about 10:1; the range of mole ratios of oxidizing agent(s) (when present) relative to ATA is about 5:1 to about 30:1, more preferably about 10:1 to about 20:1; and the range of mole ratios of abrasive (when present) relative to ATA is about 0.1:1 to about 10:1, more preferably about 1 : 1 to about 3:1.
[0039] Oxidizing agents employed in the broad practice of the invention may be of any suitable type, including for example hydrogen peroxide (H2O2), ferric nitrate (Fe(NO3)3), potassium iodate (KIO3), potassium permanganate (KMnO4), nitric acid (HNO3), ferric ammonium oxalate, ferric ammonium citrate, ammonium chlorite (NH4ClO2), ammonium chlorate (NH4ClO3), ammonium iodate (NH4IO3), ammonium perborate (NH4BO3), ammonium perchlorate (NH4ClO4), ammonium periodate (NH4IO3), ammonium persulfate ((NHt)2S2O8), tetramethylammonium chlorite ((N(CH3)4)C1O2), tetramethylammonium chlorate ((N(CH3X)ClO3), tetramethylammonium iodate ((N(CH3)4)IO3), tetramethylammonium perborate ((N(CH3)4)BO3), tetramethylammonium perchlorate ((N(CH3)4)C1O4), tetramethylammonium periodate ((N(CH3)4)IO4), tetramethylammonium persulfate ((N(CHj)4)S2O8), urea hydrogen peroxide ((CO(NH2)2)H2O2), peracetic acid (CH3(CO)OOH), potassium peroxoborate, bromate salts, benzoquinone, hypochlorite salts, hypoiodite salts, oxybromide salts, percarbonate salts, periodate salts, eerie salts (e.g., ammonium eerie sulfate), chromate and dichromate compounds, cupricyanide and ferricyanide salts, ferriphenanthroline, ferripyridine, ferrocinium, amine-N-oxides such as N-methylmorpholine-N-oxide (NMMO), trimethylamine-N-oxide, triemylamine-N-oxide, pyridine-N-oxide, N-ethylmorpholine-N- oxide, N-methylpyrrolidine-N-oxide, N-ethylpyrrolidine-N-oxide; and substituted derivatives or combinations thereof. Preferred oxidizers include peracetic acid, urea-hydrogen peroxide, di-t-butyl peroxide, benzyl peroxide, hydrogen peroxide and compatible mixtures including two or more of such oxidizer species. Most preferably, the oxidizer includes hydrogen peroxide. [0040] Chelating agents in the CMP compositions of the invention may be of any appropriate type, including, for example: amino acids such as glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, lysine, etc.; polyamine complexes and their salts, including ethylenediaminetetraacetic acid, N-hydroxyethylethylenediaminetriacetic acid, nitrilotriacetic acid, iminodiacetic acid, diethylenetriaminepentaacetic acid, and ethanoldiglycinate; polycarboxylic acids, including phthalic acid, oxalic acid, malic acid, succinic acid, mandelic acid, and mellitic acid; and compatible mixtures including two or more of the foregoing species. Preferred chelating agents include amino acids, with glycine being most preferred.
[0041] The corrosion inhibitor component in the CMP composition of the invention comprises ATA, and may additionally include other corrosion inhibitor components in combination with ATA, in specific embodiments of the invention. Such other corrosion inhibitor components may be of any suitable type, including for example, imidazole, benzotriazole, benzimidazole, amino, imino, carboxy, mercapto, nitro, alkyl, urea and thiourea compounds and derivatives thereof, etc. Preferred inhibitors include tetrazoles and their derivatives, and the invention therefore contemplates the provision of ATA alone or in combination with other tetrazole (or other corrosion inhibitor) species, as the corrosion inhibitor in compositions according to the present invention.
[0042] ATA is employed in CMP compositions of the invention in any suitable concentration. Suitable concentrations of ATA in a specific formulation are readily empirically determinable within the skill of the art, based on the disclosure herein, to provide a CMP composition with suitable copper surface passivation characteristics even in CMP environments containing high levels of copper cations. In one preferred embodiment of the invention, the amount of ATA in the CMP composition is in a range of from about 0.001 to about 10% by weight, based on the total weight of the CMP composition, with an amount of ATA in a range of from about 0.01 to about 5% by weight being more preferred, and an amount of ATA in a range of from about 0.10 to about 1.5% by weight being most preferred, based on the same total weight basis, although greater or lesser percentages may be employed to advantage in specific applications within the broad scope of the present invention.
[0043] The abrasive may be of any suitable type, including, without limitation, metal oxides, silicon nitrides, carbides, etc. Specific examples include silica, alumina, silicon carbide, silicon nitride, iron oxide, ceria, zirconium oxide, tin oxide, titanium dioxide, and mixtures of two or more of such components in suitable form, such as grains, granules, particles, or other divided form. Alternatively, the abrasive may include composite particles lbrmed of two or more materials, e.g., NYACOL® alumina-coated colloidal silica (Nyacol Nano Teclinologies, Inc., Ashland, MA). Alumina is a preferred inorganic abrasive and may be employed in the form of boehmite or transitional δ, θ or γ-phase alumina. Organic polymer particles, e.g., including thermoset and/or thermoplastic resin(s), may be utilized as abrasives. Useful resins in the broad practice of the present invention include epoxies, urethanes, polyesters, polyamides, polycarbonates, polyolefins, polyvinylchloride, polystyrenes, polyolefins, and (meth)acrylics. Mixtures of two or more organic polymer particles may be used as the abrasive medium, as well as particles comprising both inorganic and organic components.
[0044] Bases may be optionally employed for pH adjustment in compositions of the invention. Illustrative bases include, by way of example, potassium hydroxide, ammonium hydroxide and tetramethylammoniumliydroxide (TMAH), tetraethylammonium hydroxide, trimethyl hydroxyethylammonium hydroxide, methyl tri (hydroxyethyl) ammonium hydroxide, tetra(hydroxyethyl)ammonium hydroxide, and benzyl trimethylammonium hydroxide. [0045] Acids may also be optionally employed for pH adjustment in compositions of the invention. The acids used may be of any suitable type, including, by way of example, formic acid, acetic acid, propanoic acid, butanoic acid, pentanoic acid, isovaleric acid, hexanoic acid, heptanoic acid, octanoic acid, nonanoic acid, lactic acid, hydrochloric acid, nitric acid, phosphoric acid, sulfuric acid, hydrofluoric acid, malic acid, fumaric acid, malonic acid, glutaric acid, glycolic acid, salicylic acid, 1,2,3-benzenetricarboxylic acid, tartaric acid, gluconic acid, citric acid, phthalic acid, pyrocatechoic acid, pyrogallol carboxylic acid, gallic acid, tannic acid, and mixtures including two or more acids of the foregoing or other types. [0046] Amines when present may be of any suitable type, including, by way of example, hydroxylamine, monoethanolamine, diethanolamine, triethanolamine, diethyleneglycolamine, N-hydroxylethylpiperazine, N-methylethanolamine, N,N-dimethylethanolamine, N- ethyletlianolamine, N,N-diethylethanolamine, propanolamine, N,N-dimethylpropanolamine, N- ethylpropanolamine, N,N-diethylpropanolamine, 4-(2-hydroxyethyl)morpholine, aminoethylpiperazine, and mixtures including two or more of the foregoing or other amine species.
[0047] Surfactants when optionally employed in compositions of the invention may be of any suitable type, including non-ionic, anionic, cationic, and amphoteric surfactants, and polyelectrolytes including, for example: salts of organic acids; alkane sulfates (e.g., sodium dodecyl sulfate); alkane sulfonates; substituted amine salts (e.g., cetylpyridium bromide); betaines; polyethylene oxide; polyvinyl alcohol; polyvinyl acetate; polyacrylic acid; polyvinyl pyrrolidone; polyethyleneimine; and esters of anhydrosorbitols, such as those commercially available under the trademarks Tween® and Span®, as well as mixtures including two or more of the foregoing or other surfactant species.
[0048] The pH of CMP compositions of the invention may be at any suitable value that is efficacious for the specific polishing operation employed. In one embodiment, the pH of the CMP composition may be in a range of from about 2 to about 11 , more preferably in a range of from about 2 to about 7, and most preferably in a range of from about 3 to about 6. [0049] The solvents employed in CMP compositions of the invention may be single component solvents or multicomponent solvents, depending on the specific application. In one embodiment of the invention, the solvent in the CMP composition is water. In another embodiment, the solvent comprises an organic solvent, e.g., methanol, ethanol, propanol, butanol, ethylene glycol, propylene glycol, glycerin, etc. In yet another embodiment, the solvent comprises a water-organic solvent solution. A wide variety of solvent types and specific solvent media may be employed in the general practice of the invention to provide a solvating/suspending medium in which the abrasive is dispersed and in which the other components are incorporated to provide a composition of appropriate character, e.g., of slurry form, for application to the platen of the CMP unit to provide a desired level of polishing of the copper on the wafer substrate.
[0050] In a particularly preferred embodiment, the ATA-containing CMP composition includes ATA and a chelating agent, wherein the chelating agent comprises at least one amino acid selected from the group consisting of glycine, serine, praline, leucine, alanine, asparagine, aspartic acid, glutamine, valine and lysine. The range of mole ratios of chelating agent(s) relative to ATA in the CMP composition is about 1:1 to about 20:1, more preferably about 3:1 to about 10:1.
[0051] In another preferred embodiment, the ATA-containing CMP composition includes
ATA and glycine.
[0052] In yet another preferred embodiment, the invention provides a Step I CMP composition useful for chemical mechanical polishing of substrates having copper thereon, e.g., copper interconnects, metallization, device structural elements, etc., in which the composition includes hydrogen peroxide, glycine, ATA, and solvent.
[0053] In still another preferred embodiment, the invention provides a Step I CMP composition useful for chemical mechanical polishing of substrates having copper thereon, e.g., copper interconnects, metallization, device structural elements, etc., in which the composition includes hydrogen peroxide, glycine, ATA, abrasive and solvent.
[0054] In another embodiment, the CMP composition of the invention is an aqueous abrasive composition, and includes an aqueous medium, abrasive, ATA, H2O2 and glycine, wherein ATA, H2O2 and glycine have the following composition by weight, based on the total weight of the composition:
ATA 0.001 - 10 wt.%
H2O2 0.1 - 30 wt.%
Glycine 0.1 -25 wt.%
Water 35 - 99 wt.%.
[0055] In a further specific illustrative embodiment, the CMP composition comprises the following components by weight, based on the total weight of the composition:
ATA 0.001 - 10 wt.%
H2O2 0.1 - 30 wt.%
Glycine 0.1 - 25 wt.%.
Abrasive 0.1 - 30 wt.%
Water 5 - 99 wt.% with the total wt.% of all components in the composition totaling to 100 wt.%. [0056] In still another preferred embodiment, e ATA-containing CMP composition includes ATA, at least one metal chelating agent, solvent, CMP residue material, optionally at least one oxidizing agent, and optionally abrasive, wherein the CMP residue material includes polishing slurry, carbon-rich particles, polishing pad particles, brush deloading particles, equipment materials of construction particles, copper, copper oxides, and any other materials that are the by-products of the CMP process.
[0057] The CMP compositions of the invention may be provided as a single package formulation or a multi-part formulation that is mixed at the point of use or in a storage tank upstream of the tool. The advantage of a multi-part formulation resides in its extended shelf life relative to single-package formulations. A single package formulation is more susceptible to decomposition and change of its properties over time, in relation to a multi-part formulation, due to the presence of the oxidizer in the single-package CMP composition. The concentrations of the single-package formulation or the individual packages of the multi-part formulations may be widely varied in specific multiples, i.e., more dilute or more concentrated, in the broad practice of the invention, and it will be appreciated that the CMP compositions of the invention can variously and alternatively comprise, consist or consist essentially of any combination of ingredients consistent with the disclosure herein.
[0057] In one embodiment, each single ingredient of the CMP composition is individually delivered to the polishing table for combination at the table, to constitute the CMP composition for use. In another embodiment, the CMP composition is formulated as a two-part composition in which the first part comprises abrasive and corrosion inhibitor in aqueous medium, and the second part comprises oxidizing agent and chelating agent. In still another embodiment, the CMP composition is formulated as a two-part composition in which the first part comprises abrasive, corrosion inhibitor and chelating agent in an aqueous medium, and the second part comprises the oxidizer. In all of these various embodiments, the mixing of ingredients or parts to form the final composition occurs at the point of use, with mixing at the polishing table, polisning belt, in the delivery lines, or the like, in an appropriate container shortly before reaching the polishing table, or at the CMP composition manufacturer and/or supplier. [0058] Accordingly, another aspect of the invention relates to a kit including, in one or more containers, the components adapted to form the compositions of the invention as described hereinabove. Preferably, the kit includes, in one or more containers, at least one corrosion inhibitor and at least one chelating agent for combining with the at least one oxidizing agent at the fab. According to another embodiment, the kit includes at least one corrosion inhibitor, abrasive, and at least one chelating agent for combining with the at least one oxidizing agent at the fab. According to yet another embodiment, the kit includes at least ATA, abrasive, and glycine for combining with the at least one oxidizing agent at the fab. The containers of the kit must be suitable for storing and shipping said CMP composition components, for example, NOWPak® containers (Advanced Technology Materials, Inc., Danbury, Conn., USA).
[0059] The copper CMP composition of the invention may be utilized in a conventional manner in the CMP operation, by application of the CMP composition to the copper surface on the microelectronic device substrate in a conventional fashion, and polishing of the copper surface may be carried out using a conventional polishing element such as a polishing pad, polishing belt, or the like.
[0060] The CMP composition of the invention is advantageously employed to polish surfaces of copper elements on microelectronic device substrates, without the occurrence of dishing or other adverse planarization deficiencies in the polished copper, even when significant amounts of copper ions, e.g., Cu2+ ions, are present in the bulk CMP slurry composition and/or at the copper/CMP slurry interface during CMP processing. [0061] Step I CMP slurries advantageously remove copper rapidly during the step I CMP process. However, as the step I process enters the soft landing and/or overpolishing phases, this rapid rate of copper removal may become disadvantageous as various surface defects such as recesses, erosion, dishing, etc., form on the copper layer surface. 10062] To limit the overly aggressive CMP slurry composition during the soft landing and/or overpolishing steps of the Step I polishing step, the Step I CMP slurry of the invention may be diluted in a serial fashion. Accordingly, another embodiment of the present invention relates to a process of using a CMP composition to efficiently and uniformly planarize copper- containing microelectronic device substrates. Following rapid removal of the bulk copper layer using a more concentrated CMP composition, the CMP composition is diluted, either by in-line mixing or directly at the platen, to form a diluted CMP composition(s) for the soft landing and over-polishing steps. The diluting media preferably includes the solvent of die Step I CMP composition, and may or may not further include at least one pH adjusting agent(s) to shift the pH of the diluted CMP composition, or an additional corrosion inhibitor(s) for improved soft- landing. Additional oxidizer(s) or selectivity enhancing agents such as rheology agents that minimize Ta removal rate may be included as well as promote passivation. [0063] To demonstrate the effect of dilution on copper corrosion rates, Step I CMP compositions were diluted twice in a step-wise fashion and the Cu corrosion rates determined using electrochemical methods. As defined herein, "Cu corrosion rate" is equivalent to "static etch rate." By way of example, the initial Step I CMP compositions included 5 wt. % H2O2, 6 wt. % glycine, 1 wt. % abrasive, varying concentrations of ATA, and the balance water. To simulate the acceleration effect of corrosive copper ions in the solution, i.e., the formation of the Cu2+-glycine complex which decomposes H2O2 to form the highly oxidizing OH radicals, 0.5 wt. % CuSO4-5 H2O was added to the initial Step I CMP compositions. In each case, the Step I CMP composition including ATA was compared to a Step I CMP composition including 5 wt. % H2O2, 6 wt. % glycine, 1 wt. % abrasive, 0.1 wt. % BTA, and the balance water, said BTA-containing solution also including 0.5 wt. % CuSO4-5H2O.
[0064] Both Step I CMP compositions (hereinafter Slurry 1) were diluted step-wise with water to yield second CMP slurries (1 part Slurryl to 5 parts water; hereinafter Slurry2), and third CMP slurries (1 part Slurryl to 50 parts water; hereinafter Slurry3). Blanketed copper wafers were immersed in each respective slurry and the Cu corrosion rates in Angstroms per minute were measured. The average Cu corrosion rate plotted as a function of CMP slurry dilution using both a linear and logarithmic scale.
[0065] Importantly, the number of serial dilutions of Slurryl, i.e., the most concentrated CMP slurry, is not limited to the aforementioned two dilutions. The number of dilutions depends on the desired end result of the Step I CMP polish and may vary from one step-wise dilution to ten or more step-wise dilutions. Alternatively, the dilution may be effectuated in a continuous manner whereby diluting solvent is continuously added to the CMP slurry during the soft-landing and/or over-polishing steps. In yet another alternative, Slurryl is not diluted per se, but rather diluted CMP compositions are prepared for delivery of clean, diluted chemistries to the tool subsequent to the polish using Slurryl.
[0066] Figures 1 and 2 correspond to linear and logarithmic plots of the average Cu corrosion rate as a function of CMP slurry dilution for a Step I CMP slurry having an ATA concentration of 0.1 wt. %, respectively. Non-linear regression of the best-fit straight line in Fig. 2 for the slurry having ATA therein yields a power relation between corrosion rate and
slurry dilution of y = 14.396x~α4881.
[0067] Figures 3 and 4 correspond to linear and logarithmic plots of the average Cu corrosion rate as a function of CMP slurry dilution for a Step I CMP slurry having an ATA concentration of 0.8 wt. %, respectively. Non-linear regression of the best-fit straight line in Fig. 4 for the slurry having ATA therein yields a power relation between corrosion rate and
slurry dilution of y = 10.306x"0-5024.
[0068] Figures 5 and 6 correspond to linear and logarithmic plots of the average Cu corrosion rate as a function of CMP slurry dilution for a Step I CMP slurry having an ATA concentration of 1.2 wt. %, respectively. Non-linear regression of the best-fit straight line in Fig. 6 for the slurry having ATA therein yields a power relation between corrosion rate and
slurry dilution of y = 8.0513x~0-5517.
[0069] In each example, the copper corrosion rate decreases with increasing slurry dilution. This may prove advantageous during the soft-landing and over-polishing steps to slow the copper corrosion rate and concomitantly minimize the dishing and erosion of the copper lines, vias, and/or plugs. Further, compared to compositions including BTA, ATA leads to a lower overall corrosion rate as well as a more rapid corrosion decrease with increasing dilution, as evidenced by the slopes of the regression lines.
[0070] These power relationships between copper corrosion rate and slurry dilution provide a means to control the static etch of Cu during Step I CMP polishing. In order to achieve acceptable planarization of the wafer surface at an efficient etch rate with the minimum amount of dishing, Slurryl may be diluted at some point prior to the soft-landing, during the soft-landing and/or during the over-polishing steps of the Step I CMP polish, as readily determined by one skilled in the art. As defined herein, "bulk layer" represents the copper layer that is rapidly removed by the Step I CMP composition of the invention, e.g., Slurryl, and "residual layer" represents the copper layer that remains following the removal of the bulk layer, including the copper overburden, which is intended to be removed at a slower rate, e.g., using Slurry2, Slurry3, etc. By diluting the overly aggressive Slurryl at the appropriate point in the CMP polish, polishing defects such as dishing and erosion are substantially eliminated. [0071] The diluting solvent, e.g., water, may be delivered to the polishing table at the appropriate time and in the appropriate amount based on the regression equation specific to the slurry composition of choice, as readily determinable by one skilled in the art. In practice, Slurryl may be diluted in a serial manner and the Cu corrosion rate of each composition measured using Tafel or some equivalent measurement. Thereafter, a logarithmic plot of the Cu corrosion rate as a function of the slurry dilution may be plotted and the non-linear regression equation calculated. Using the regression equation and knowledge of the wafer to be polished, e.g., thickness of the film, removal rate, etc., one skilled in the art may determine the appropriate time and in the appropriate amount of diluting solvent to deliver to the polishing table to slow the static etch rate of copper to a rate that minimizes the formation of polishing defects such as dishing.
[0072] The CMP composition of the invention may be diluted in a serial manner to effectuate substantial removal of the residual layer of copper while simultaneously minimizing dishing and erosion of the copper lines and plugs, as readily determined by one skilled in the art. As defined herein, "substantial removal" corresponds to at least 80%, preferably at least 90%, more preferably at least 95%, and most preferably at least 99% of the residual layer of copper is removed using the series of Step I CMP compositions.
[0073] It is also contemplated herein that the dilution process may be automated whereby the polishing table and a programmable logic control (PLC) unit may be communicatively connected and the regression equation for the CMP slurry of choice input into the PLC. At the appropriate time, the appropriate amount of diluting solvent will be delivered to the polishing table, as controlled by the PLC.
[0074] Following completion of the Step I CMP process, the platen and substrate are rinsed with solvent. Preferably, the solvent is the same as that used in the Step I CMP compositions described herein, e.g., water. The rinse time may be in a range from about 5 sec to about 30 sec, preferably about 10 sec to about 20 sec. Thereafter, the wafer substrate maybe transferred to another platen for Step II polishing thereon.
[0075] The features and advantages of the invention are more fully illustrated by the following non-limiting examples, wherein all parts and percentages are by weight, unless otherwise expressly stated.
Example 1
[0076] Tool fault corrosion studies were performed to demonstrate the effectiveness of dilution at slowing the corrosion, i.e., etch rate, of exposed copper surfaces. A Sematech 854 (TEOS) wafer was polished on a Mirra tool using a Step I CMP slurry and following completion of the Step I polish at the second platen, i.e., following removal of all of the copper residual material but before transfer of the wafer to the third platen for the Step II polish, the tool was manually placed into tool fault condition, which positions the wafer over the interplaten cleaners. During the tool fault mode, the wafer was sprayed with deionized water for 15 minutes. 10077] Following the completion of the Step I polish, the wafer surface consisted of copper features surrounded by barrier layer material and separated from dielectric material for electrical insulation. It has been reported that conventional Step I CMP slurries including BTA are ineffective at eliminating the corrosion, i.e., etching, of the exposed copper features during the interplaten tool fault spray. Towards that end, the CMP composition of the invention including ATA was used during the Step I polish and the corrosion of the exposed copper features evaluated following an interplaten tool fault spray with deionized water. [0078] It was discovered that the wafer polished with the ATA-containing composition of the invention showed no evidence of corrosion of the copper features after the 15 minute tool fault condition, even as the ATA-containing composition underwent constant dilution. In fact the number of defects calculated on the tool fault wafer (obtained on a AIT (KLA-Tencor) defectivity tool) was actually less than that of a control wafer that was not subjected to the manual tool fault condition. Topdown SEM images of the copper features on the wafer surface show no signs of corrosion which corroborates the defectivity results.
[0079] The results show that the ATA-containing composition of the invention provides better copper corrosion protection upon dilution or extended rinsing than the conventional BTA-containing compositions.
[0080] While the invention has been described herein in reference to specific aspects, features and illustrative embodiments of the invention, it will be appreciated that the utility of the invention is not thus limited, but rather extends to and encompasses numerous other variations, modifications and alternative embodiments, as will suggest themselves to those of ordinary skill in the field of the present invention, based on the disclosure herein. Correspondingly, the invention as hereinafter claimed is intended to be broadly construed and interpreted, as including all such variations, modifications and alternative embodiments, within its spirit and scope.

Claims

THE CLAIMSWhat is claimed is:
1. A method of polishing copper on a substrate having copper thereon, including:
(a) contacting copper on the substrate under chemical mechanical polishing (CMP) conditions for a sufficient time and under sufficient contacting conditions to planarize bulk copper with a first CMP composition;
(b) diluting the first CMP composition with solvent to produce a second CMP composition;
(c) contacting copper on the substrate with the second CMP composition under CMP conditions for a sufficient time and under sufficient contacting conditions effective to remove copper overburden and expose a barrier material layer.
2. The method of claim 1, wherein the first CMP composition includes 5-aminotetrazole (ATA) and at least one chelating agent.
3. The method of claim 2, wherein the first CMP composition further comprises at least one oxidizing agent, and wherein the at least one oxidizing agent comprises at least one compound selected from the group consisting of hydrogen peroxide, ferric nitrate, potassium iodate, potassium permanganate, nitric acid, ferric ammonium oxalate, ferric ammonium citrate, ammonium chlorite, ammonium chlorate, ammonium iodate, ammonium perborate, ammonium perchlorate, ammonium periodate, ammonium persulfate, tetramethylammonium chlorite, tetramethylammonium chlorate, tetramethylammonium iodate, tetramethylammonium perborate, tetramethylammonium perchlorate, tetramethylammonium periodate, tetramethylammonium persulfate, urea hydrogen peroxide, peracetic acid, potassium peroxoborate, bromate salts, benzoquinone, hypochlorite salts, hypoiodite salts, oxybromide salts, percarbonate salts, periodate salts, ammonium eerie sulfate, chromate compounds, dichromate compounds, cupricyanide salts, ferricyanide salts, ferriphenanthroline, ferripyridine, ferrocinium, N-methylmorpholine-N-oxide (NMMO), trimethylamine-N-oxide, triethylamine-N-oxide, pyridine-N-oxide, N-ethylmorpholine-N-oxide, N- methylpyrrolidine-N-oxide, N-ethylpyrrolidine-N-oxide; and substituted derivatives or combinations thereof.
4. The method of claim 2, wherein the at least one chelating agent comprises at least one chelant selected from the group consisting of glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, lysine, ethylenediaminetetraacetic acid, N- hydroxyethylethylenediaminetriacetic acid, nitrilotriacetic acid, iminodiacetic acid, diethylenetriaminepentaacetic acid, ethanoldiglycinate, phthalic acid, oxalic acid, malic acid, succinic acid, mandelic acid, mellitic acid, and combinations thereof.
5. The method of claim 2, wherein the at least one chelating agent comprises at least one amino acid selected from the group consisting of glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, and lysine.
6. The method of claim 2, wherein the first CMP composition further comprises at least one additional corrosion inhibitor in combination with ATA, wherein said another corrosion inhibitor comprises at least one inhibitor selected from the group consisting of imidazole, benzotriazole, benzimidazole, tetrazole, tetrazole derivatives, amino, imino, carboxy, mercapto, nitro, alkyl, urea compounds, thiourea compounds and derivatives thereof.
7. The method of claim 2, wherein the first CMP composition further comprises abrasive, wherein the abrasive comprises at least one abrasive selected from the group consisting of silica, alumina, silicon carbide, silicon nitride, iron oxide, ceria, zirconium oxide, tin oxide, titanium dioxide, alumina-coated colloidal silica, thermoset resins, thermoplastic resins, and mixtures of two or more of such components in suitable form.
8. The method of claim 2, wherein the first CMP composition further comprises a pH adjustment agent selected from the group consisting of an acid and abase.
9. The method of claim 1, wherein the first CMP composition has a pH in a range of from about 2 to about 11.
10. The method of claim 2, wherein the first CMP composition has a pH in a range of from about 2 to about 11.
11. The method of claim 1, wherein the solvent comprises a species selected from the group consisting of water, methanol, ethanol, propanol, butanol, ethylene glycol, propylene glycol, glycerin, and combinations thereof.
12. The method of claim 2, wherein the first CMP composition further comprises solvent, and wherein the solvent comprises a species selected from the group consisting of water, methanol, ethanol, propanol, butanol, ethylene glycol, propylene glycol, glycerin, and combinations thereof.
13. The method of claim 1, wherein the first CMP composition is diluted with solvent in a dilution range from about 1:2 to about 1:100.
14. The method of claim 1, wherein the first CMP composition is diluted with solvent in a dilution range from about 1 :5 to about 1 :50.
15. The method of claim 1, wherein a static etch rate of copper using the first CMP composition is greater than the static etch rate of copper using the second CMP composition.
16. The method of claim 1, wherein said CMP conditions comprise use of a polishing element on said copper, wherein said polishing element includes at least one element selected from the group consisting of polishing pads and polishing belts.
17. The method of claim 1, further comprising diluting the second CMP composition with solvent to produce a third CMP composition and contacting copper on the substrate with the third CMP composition under CMP conditions for a sufficient time and under sufficient contacting conditions to substantially remove the copper overburden and expose the barrier material layer.
18. The method of claim 1, wherein a static etch rate of copper using the first CMP composition is from about 2 to about 10 times more rapid that the static etch rate of copper using the second CMP composition.
19. The method of claim 1, wherein dilution is effectuated in steps.
20. The method of claim 1, wherein dilution is effectuated continuously.
21. The method of claim 1, wherein the barrier layer material comprises a compound selected from the group consisting of tantalum, tantalum nitride, titanium, titanium nitride, tungsten, tungsten nitride, suicides thereof, and combinations thereof.
22. The method of claim 2, wherein the first CMP composition further comprises a surfactant.
23. The method of claim 1, wherein the first CMP composition is diluted to produce the second CMP composition by in-line mixing.
24. The method of claim 1, wherein the first CMP composition is diluted to produce the second CMP composition directly at a CMP platen.
25. The method of claim 1, wherein the first CMP composition is diluted to produce the second CMP composition prior to soft-landing, during soft landing, or during over- polishing.
26. The method of claim 2, wherein the mole ratio of chelating agent(s) relative to ATA is in a range from about 1 : 1 to about 20: 1.
27. The method of claim 7, wherein the mole ratio of abrasive relative to ATA is in a range from about 0.1 : 1 to about 10:1.
28. The method of claim 3, wherein the mole ratio of oxidizing agent(s) relative to ATA is in a range from about 5: 1 to about 30: 1.
29. A process for manufacturing a semiconductor device comprising the method of polishing copper on the substrate of claim 1.
30. The process of claim 29, further comprising manufacturing said semiconductor device using said substrate.
31. A method of determining a relationship between static etch rate and chemical mechanical polishing (CMP) composition dilution, said method comprising:
(a) preparing a first CMP composition; (b) measuring a first static etch rate of a material to be polished using the first CMP composition;
(c) diluting the first CMP composition with a solvent to produce a second CMP composition;
(d) measuring a second static etch rate of the material to be polished using the second CMP composition;
(e) optionally repeating steps (c) and (d) to produce a third CMP composition and measuring a third static etch rate;
(f) plotting static etch rate as a function of CMP composition dilution ratio using a logarithmic scale; and
(g) calculating the non-linear regression equation, wherein said regression equation is the relationship between static etch rate and CMP composition dilution.
32. The method of claim 31 , further comprising repeating step (e) at least once.
33. The method of claim 31, wherein the first CMP composition includes 5-aminotetrazole (ATA).
34. The method of claim 31 , wherein the solvent comprises water.
35. The method of claim 33, wherein the first CMP composition further comprises abrasive, wherein the abrasive comprises at least one abrasive selected from the group consisting of silica, alumina, silicon carbide, silicon nitride, iron oxide, ceria, zirconium oxide, tin oxide, titanium dioxide, alumina-coated colloidal silica, thermoset resins, thermoplastic resins, and mixtures of two or more of such components in suitable form.
36. The method of claim 33, wherein the first CMP composition further comprises at least one oxidizing agent, wherein the oxidizing agent comprises at least one compound selected from the group consisting of hydrogen peroxide, ferric nitrate, potassium iodate, potassium permanganate, nitric acid, ferric ammonium oxalate, ferric ammonium citrate, ammonium chlorite, ammonium chlorate, ammonium iodate, ammonium perborate, ammonium perchlorate, ammonium periodate, ammonium persulfate, tetramethylammonium chlorite, tetramethylammonium chlorate, tetramethylammonium iodate, tetramethylammonium perborate, tetramethylammonium perchlorate, tetramethylammonium periodate, tetramethylammonium persulfate, urea hydrogen peroxide, peracetic acid, potassium peroxoborate, bromate salts, benzoquinone, hypochlorite salts, hypoiodite salts, oxybromide salts, percarbonate salts, periodate salts, ammonium eerie sulfate, chromate compounds, dichromate compounds, cupricyanide salts, ferricyanide salts, ferriphenanthroline, ferripyridine, ferrocinium, N-methylmorpholine-N-oxide (NMMO), trimethylamine-N-oxide, triethylamine-N-oxide, pyridine-N-oxide, N-ethylmorpholine-N-oxide, N- methylpyrrolidine-N-oxide, N-ethylpyrrolidine-N-oxide; and substituted derivatives or combinations thereof.
37. The method of claim 33, wherein the first CMP composition further comprises at least one chelating agent, wherein the at least one chelating agent comprises at least one chelant selected from the group consisting of glycine, serine, proline, leucine, alanine, asparagine, aspartic acid, glutamine, valine, lysine, ethylenediaminetetraacetic acid, N- hydroxyethylethylenediaminetriacetic acid, nitrilotriacetic acid, diethylenetriaminepentaacetic acid, ethanoldiglycinate, phthalic acid, oxalic acid, malic acid, succinic acid, mandelic acid, and mellitic acid
38. The method of claim 33, wherein the first CMP composition further comprises solvent, wherein the solvent comprises a species selected from the group consisting of water, methanol, ethanol, propanol, butanol, ethylene glycol, propylene glycol, glycerin, and combinations thereof.
39. The method of claim 31 , wherein the first CMP composition is diluted with solvent in a dilution range from about 1:2 to about 1:100.
40. The method of claim 31 , wherein dilution is effectuated in steps.
41. The method of claim 31 , wherein dilution is effectuated continuously.
42. The method of claim 31, wherein the regression equation is used to control the static etch rate of copper.
43. The method of claim 31, wherein solvent is delivered to the first CMP composition to slow the static etch rate of copper.
44. A kit comprising, in one or more containers, chemical mechanical polishing (CMP) composition reagents, wherein the CMP composition comprises 5-aminotetrazole (ATA) and at least one chelating agent, wherein the chelating agent comprises at least one amino acid selected from the group consisting of glycine, serine, praline, leucine, alanine, asparagines, aspartic acid, glutamine, valine and lysine, and wherein the kit is adapted to form a CMP composition suitable to planarize bulk copper and remove copper overburden from a microelectronic device having said copper thereon.
5. The kit of claim 44, wherein the CMP composition further comprises at least one additional species selected from the group consisting of an abrasive, at least one oxidizing agent, at least one additional corrosion inhibitor, at least one solvent, and at least one pH adjustment agent.
PCT/US2006/016705 2005-04-28 2006-04-28 Method of passivating chemical mechanical polishing compositions for copper film planarization processes WO2006116770A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/117,282 2005-04-28
US11/117,274 US7361603B2 (en) 2002-12-10 2005-04-28 Passivative chemical mechanical polishing composition for copper film planarization
US11/117,274 2005-04-28
US11/117,282 US20050263490A1 (en) 2002-12-10 2005-04-28 Method of passivating chemical mechanical polishing compositions for copper film planarization processes

Publications (2)

Publication Number Publication Date
WO2006116770A2 true WO2006116770A2 (en) 2006-11-02
WO2006116770A3 WO2006116770A3 (en) 2007-03-29

Family

ID=37215596

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/016705 WO2006116770A2 (en) 2005-04-28 2006-04-28 Method of passivating chemical mechanical polishing compositions for copper film planarization processes

Country Status (2)

Country Link
TW (1) TWI421931B (en)
WO (1) WO2006116770A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011064734A1 (en) * 2009-11-30 2011-06-03 Basf Se Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process
US8765002B2 (en) 2011-03-04 2014-07-01 Mitsubishi Gas Chemical Company, Inc. Substrate processing apparatus and substrate processing method

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI393181B (en) * 2008-07-02 2013-04-11 Anji Microelectronics Co Ltd Chemical-mechanical polishing slurry for barrier layer
TWI463002B (en) * 2011-12-01 2014-12-01 Uwiz Technology Co Ltd Slurry composition
US9978609B2 (en) * 2015-04-27 2018-05-22 Versum Materials Us, Llc Low dishing copper chemical mechanical planarization
KR102405560B1 (en) * 2017-02-28 2022-06-07 후지필름 가부시키가이샤 Polishing solution, method for producing polishing solution, polishing solution stock solution, polishing solution stock solution containing body, and chemical mechanical polishing method
CN115595585A (en) * 2022-11-10 2023-01-13 江西省科学院应用物理研究所(Cn) Preparation method of special metallographic polishing agent for easily-oxidized metal

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020005017A1 (en) * 2000-03-31 2002-01-17 Jsr Corporation Aqueous dispersion for chemical mechanical polishing
US20040025444A1 (en) * 2002-02-11 2004-02-12 Ekc Technology, Inc. Fenton's reagent composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US6805812B2 (en) * 2001-10-11 2004-10-19 Cabot Microelectronics Corporation Phosphono compound-containing polishing composition and method of using same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020111024A1 (en) * 1996-07-25 2002-08-15 Small Robert J. Chemical mechanical polishing compositions
JP4095731B2 (en) * 1998-11-09 2008-06-04 株式会社ルネサステクノロジ Semiconductor device manufacturing method and semiconductor device
US6811470B2 (en) * 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020005017A1 (en) * 2000-03-31 2002-01-17 Jsr Corporation Aqueous dispersion for chemical mechanical polishing
US6805812B2 (en) * 2001-10-11 2004-10-19 Cabot Microelectronics Corporation Phosphono compound-containing polishing composition and method of using same
US20040025444A1 (en) * 2002-02-11 2004-02-12 Ekc Technology, Inc. Fenton's reagent composition for chemical-mechanical polishing, method of using same, and substrate treated with same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011064734A1 (en) * 2009-11-30 2011-06-03 Basf Se Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process
US10392531B2 (en) 2009-11-30 2019-08-27 Basf Se Process for removing a bulk material layer from a substrate and a chemical mechanical polishing agent suitable for this process
US8765002B2 (en) 2011-03-04 2014-07-01 Mitsubishi Gas Chemical Company, Inc. Substrate processing apparatus and substrate processing method

Also Published As

Publication number Publication date
WO2006116770A3 (en) 2007-03-29
TW200731382A (en) 2007-08-16
TWI421931B (en) 2014-01-01

Similar Documents

Publication Publication Date Title
US7361603B2 (en) Passivative chemical mechanical polishing composition for copper film planarization
US7736405B2 (en) Chemical mechanical polishing compositions for copper and associated materials and method of using same
KR101332302B1 (en) Integrated chemical mechanical polishing composition and process for single platen processing
JP4081064B2 (en) Tunable composition and method for chemical mechanical planarization using aspartic acid / tolyltriazole
US20060249482A1 (en) Chemical mechanical polishing compositions for step-ll copper line and other associated materials and method of using same
JP6023125B2 (en) Chemical mechanical polishing slurry composition and method for copper using it and through silicon via application
CN109456704B (en) Metal Chemical Mechanical Planarization (CMP) compositions and methods thereof
TWI452099B (en) Method and composition for chemical mechanical planarization of a metal-containing substrate
US20050076579A1 (en) Bicine/tricine containing composition and method for chemical-mechanical planarization
US20080254628A1 (en) High throughput chemical mechanical polishing composition for metal film planarization
US20100081279A1 (en) Method for Forming Through-base Wafer Vias in Fabrication of Stacked Devices
JP2004502860A (en) Ready-to-use stable chemical mechanical polishing slurry
KR101053712B1 (en) Combinations, Methods, and Compositions for Chemical Mechanical Planarization of Tungsten-Containing Substrates
WO2006116770A2 (en) Method of passivating chemical mechanical polishing compositions for copper film planarization processes

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)
NENP Non-entry into the national phase in:

Ref country code: DE

NENP Non-entry into the national phase in:

Ref country code: RU

122 Ep: pct application non-entry in european phase

Ref document number: 06758882

Country of ref document: EP

Kind code of ref document: A2