WO2006113186A2 - Interconnect structure and method of fabrication of same - Google Patents

Interconnect structure and method of fabrication of same Download PDF

Info

Publication number
WO2006113186A2
WO2006113186A2 PCT/US2006/013179 US2006013179W WO2006113186A2 WO 2006113186 A2 WO2006113186 A2 WO 2006113186A2 US 2006013179 W US2006013179 W US 2006013179W WO 2006113186 A2 WO2006113186 A2 WO 2006113186A2
Authority
WO
WIPO (PCT)
Prior art keywords
electrically conductive
electrical conductor
core electrical
liner
trench
Prior art date
Application number
PCT/US2006/013179
Other languages
French (fr)
Other versions
WO2006113186A3 (en
Inventor
Chih-Chao Yang
Lawrence A. Clevenger
Andrew P. Cowley
Timothy J. Dalton
Meeyoung H. Yoon
Original Assignee
International Business Machines Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corporation filed Critical International Business Machines Corporation
Priority to AT06740771T priority Critical patent/ATE535013T1/en
Priority to EP06740771A priority patent/EP1869700B1/en
Priority to JP2008506549A priority patent/JP5089575B2/en
Priority to CN2006800120492A priority patent/CN101390203B/en
Publication of WO2006113186A2 publication Critical patent/WO2006113186A2/en
Publication of WO2006113186A3 publication Critical patent/WO2006113186A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to the field of integrated circuit manufacture; more specifically, it relates to an interconnect structure and method of fabricating the interconnect structure for wiring levels of an integrated circuit.
  • Advanced integrated circuits utilize copper and other metallurgy in the interconnect or wiring levels in order to increase performance of the integrated circuit. Because of the possibility of copper and other metal diffusion through interlevel dielectric layers, copper and other metal interconnects are fabricated with conductive diffusion barrier liners on the sides and bottoms of the wires and dielectric copper and other metal diffusion barrier caps on the top surface of the wires. However, it has been found that wires using dielectric diffusion barrier caps are susceptible to reliability failures.
  • the present invention utilizes electrically conductive diffusion barrier caps to seal surfaces of damascene and dual damascene interconnect structures not covered by electrically conductive liners or dielectric layers that may also act as diffusion barriers.
  • the caps and electrically conductive liners and dielectric layers, when acting as diffusion barrier) are diffusion barriers to a material contained in the core electrical conductor of a damascene or dual damascene line.
  • a first aspect of the present invention is a method, comprising: providing a substrate having a dielectric layer; forming a hard mask layer on a top surface of the dielectric layer; forming an opening in the hard mask layer; forming a trench in the dielectric layer where the dielectric layer is not protected by the hard mask layer, the trench having sidewalls and a bottom; recessing the sidewalls of the trench under the hard mask layer; forming a c ⁇ nformal electrically conductive liner on all exposed surfaces of the trench and the hard mask layer; filling the trench with a core electrical conductor; removing portions of the electrically conductive liner extending above the top surface of the dielectric layer and removing the mask layer; and forming an electrically conductive cap on a top surface of the core electrical conductor.
  • a second aspect of the present invention is a method comprising: providing a substrate having a dielectric layer; forming a hard mask layer on a top surface of the dielectric layer; forming an opening in the hard mask layer; forming a trench in the dielectric layer where the dielectric layer is not protected by the hard mask layer, the trench having sidewalls and a bottom, the sidewalls of the trench aligned with the opening in the hard mask; performing an isotropic etch of the sidewalls and bottom of the trench, the isotropic etch undercutting the hard mask layer and forming a hard mask overhang projecting over the trench; forming a conformal electrically conductive liner on all exposed surfaces of the trench and on all exposed surfaces of the hard mask layer, an upper portion of the electrically conductive liner in physical contact with the hard mask overhang and forming an electrically conductive overhang projecting over the trench; forming a core electrical conductor over the electrically conductive liner, the core electrical conductor filling the trench; performing a chemical-mechanical polish to remove
  • a third aspect of the present invention is a structure, comprising: a core electrical conductor having a top surface, an opposite bottom surface and sides between the top and bottom surfaces; an electrically conductive liner in direct physical contact with and covering the bottom surface and the sides of the core electrical conductor, embedded portions of the electrically conductive liner in direct physical contact with and extending over the core electrical conductor in regions of the core electrical conductor adjacent to both the top surface and the sides of the core electrical conductor; and an electrically conductive cap in direct physical contact with the top surface of the core electrical conductor that is exposed between the embedded portions of the electrically conductive liner.
  • a fourth aspect of the present invention is a structure, comprising: a core electrical conductor having a top surface, an opposite bottom surface and sides between the top and bottom surfaces; a dielectric liner formed on the sides of the core electrical conductor; an electrically conductive liner in direct physical contact with and covering the bottom surface of the core electrical conductor and the dielectric liner, embedded portions of the electrically conductive liner extending over the dielectric liner and the core electrical conductor in regions of the core electrical conductor adjacent to both the top surface and the sides of the core electrical conductor; and an electrically conductive cap in direct physical contact with the top surface of die core electrical conductor that is exposed between the embedded portions of the electrically conductive liner.
  • FIGs. IA through IH are cross-sectional views illustrating common process steps for fabricating an interconnect structure according to both first and second embodiments of the present invention.
  • FIGs. 2 A through 2C are cross-sectional views illustrating process steps for fabricating an interconnect structure according to the first embodiment of the present invention
  • FIGs. 3A through 3E are cross-sectional views illustrating process steps for fabricating an interconnect structure according to the second embodiment of the present invention.
  • FIG. 4 is a cross-sectional view illustrating multiple wiring levels fabricated according to the first embodiment of the present invention.
  • FIG. 5 is a cross-sectional view illustrating multiple wiring levels fabricated with additional diffusion barriers applicable to the first and the second embodiments of the present invention.
  • conductor and conductive should be reads as electrical conductor and electrically conductive.
  • a (single) damascene process is one in which wire trench or via openings are formed in a dielectric layer, an electrical conductor deposited on a top surface of the dielectric of sufficient thickness to fill the trenches and a chemical-mechanical-polish (CMP) process performed to remove excess conductor and make the surface of the conductor co-planer with the surface of the dielectric layer to form damascene wires (or damascene vias).
  • CMP chemical-mechanical-polish
  • a dual damascene process is one in which via openings are formed through the entire thickness of a dielectric layer followed by formation of trenches part of the way through the dielectric layer in any given cross-sectional view.
  • All via openings are intersected by integral wire trenches above and by a wire trench below, but not all trenches need intersect a via opening.
  • An electrical conductor is deposited on a top surface of the dielectric of sufficient thickness to fill the trenches and via opening and a CMP process performed to make the surface of the conductor in the trench co-planer with the surface the dielectric layer to form dual damascene wire and dual damascene wires having integral dual damascene vias.
  • a contact level is a transitional level, connecting devices such as metal-oxide-silicon field effect transistors (MOSFETs) to the first of wiring level of an integrated circuit, where the individual devices are "wired" into circuits.
  • MOSFETs metal-oxide-silicon field effect transistors
  • FIGs. IA through IH are cross-sectional views illustrating common process steps for fabricating an interconnect structure according to both first and second embodiments of the present invention.
  • a dielectric layer 105 formed on a substrate 100 is a dielectric layer 105.
  • a dielectric diffusion barrier 110 is formed on a top surface 115 of dielectric layer 105.
  • Formed through diffusion barrier 110 and dielectric layer 105 is a stud contact 120.
  • a top surface 125 of stud contact 120 is coplanar with a top surface 130 of barrier layer 110.
  • barrier 110 is a diffusion barrier to materials contained in subsequently formed wires.
  • barrier 110 is a diffusion barrier to copper.
  • dielectric layer 135 is formed on top surface 130 of barrier layer 110 and a hard mask layer 140 is formed on a top surface 145 of dielectric layer 135.
  • dielectric layer 135 is a low K (dielectric constant) material, examples of which include but are not limited to hydrogen silsesquioxane polymer (HSQ), methyl silsesquioxane polymer (MSQ) and polyphenylene oligomer (SiO x (CH 3 ) y ).
  • a low K dielectric material has a relative permittivity of about 4 or less.
  • dielectric layer 135 comprises SiO 2 .
  • Dielectric layer 135 may be, for example, between about 50 nm and about 1,000 nm thick.
  • hard mask layer 140 may comprise, for example, silicon dioxide (SiO 2 ), silicon nitride (Si 3 N 4 ), silicon carbide (SiC), silicon oxy nitride (SiON), silicon oxy carbide (SiOC), hydrogen doped silica glass (SiCOH), plasma-enhanced silicon nitride (PSiN x ) or NBLoK (SiC(N 5 H)).
  • Hard mask layer 140 may be, for example, between about 5 nm and about 100 nm thick. It is possible for hard mask layer 140 to comprise a metal.
  • a patterned photoresist layer 150 is formed on a top surface 155 of hard mask layer 140, the photoresist is layer patterned by any number of well known lithographic processes and a trench 155 etched through hard mask layer 140, exposing top surface 145 of dielectric layer 140.
  • patterned photoresist layer 150 (see FIG. 1C) is removed and a trench 160 is formed, for example using a reactive ion etch (RIE) process, into dielectric layer 135 to expose top surface 125 of stud contact 120 using patterned hard mask layer 140 as an etch mask.
  • RIE reactive ion etch
  • FIG. IE another patterned photoresist layer 165 is formed on a top surface 155 of hard mask layer 140, the photoresist is layer patterned by any number of well known lithographic processes and trenches 155A (trench 155 of FIG. 1C widened) and 170 are etched through hard mask layer 140, exposing top surface 145 of dielectric layer 140.
  • patterned photoresist layer 165 (see FIG. IE) is removed and a trenches 175 and 180 are etched, for example using an RIE process, part way into dielectric layer 135. Trench 180 intersects trench 160.
  • overhangs 185 of hard mask layer 140 are created by isotropic removal of a layer of dielectric layer 135 exposed in trenches 160, 175 and 180.
  • the isotropic removal of a layer of dielectric layer 135 may be accomplished by wet etching in solution comprising HNO 3 , HCl, H 2 SO 4 , HF, NH 4 OH, NH 4 F or combinations thereof.
  • the isotropic removal of a layer of dielectric layer 135 may be accomplished by a high-pressure plasma etch having low directionality.
  • the ratio W2/W1 may be between about 0.03 and about 0.48
  • a conformal conductive liner 190 is formed over top surface 155 of hard mask layer 140, all exposed surfaces of overhangs 185, including bottom surfaces 195 of the overhangs, exposed surfaces 200 of trenches 160, 175 and 180, and a top surface 125 A of stud contact 120.
  • liner 190 is a diffusion barrier to the material(s) of a core conductor 210 (see FIG. 2 A or 3C) that will be later formed over the liner.
  • liner 190 is a diffusion barrier to copper.
  • liner 190 comprises Ta, TaN, Ti, TiN, TiSiN, W, Ru or combinations thereof.
  • liner 190 is between about 2 nm and about 100 nm thick. Liner 190 may be formed, for example by chemical vapor deposition (CVD) or atomic layer deposition (ALD).
  • liner 190 may be formed in a process of conformal deposition of liner material followed by a simultaneous sputter etch (using a charged sputtering species) and liner deposition as metal neutrals process as taught in United States Patent 6,784,105 to Yang et al., issued on Aug. 31, 2004 which is hereby incorporated by reference in its entirety.
  • metal neutrals comprises include Ta, TaN, Ti, TiN, TiSiN, W, Ru or combinations thereof and the gas used to generate the sputtering species comprises Ar, He, Ne, Xe, N 2 , H 2 NH 3 , N 2 H 2 or combinations thereof.
  • the liner material previously deposited is removed from the bottom of the trench along with any metal oxide that may be present on top surface 125A of stud contact 120 (or any core conductor as illustrated in FIGs. 5 and 6). When sputtering is stopped but metal neutral deposition continued, a new layer of liner 190 is formed to replace that which was removed.
  • FIGs. 2A through 2C are cross-sectional views illustrating process steps for fabricating an interconnect structure according to the first embodiment of the present invention.
  • FIG. 2A continues from FIG. IH.
  • a core conductor 210 is formed on top of liner 190.
  • core conductor 210 comprises Al, AlCu, Cu, W, Ag, Au or combinations thereof.
  • core conductor 210 being copper, a thin copper layer is evaporated or deposited and then a thicker layer of copper is electroplated. The thickness of core conductor 210 is sufficient to completely fill trenches 160, 175 and 180.
  • a chemical-mechanical-polish (CMP) process is performed to co-planarize a top surface 145 A of dielectric layer 135, a top surface 215 of liner 190 and a top surface 220 of core conductor 210.
  • CMP chemical-mechanical-polish
  • conductive diffusion barrier caps 240 are selectively formed on top surface 220 of core conductor 210.
  • barrier caps 240 comprises CoWP, CoSnP, CoP and Pd or combinations thereof.
  • caps 240 are about 5 nm to about 80 nm thick.
  • caps 240 are diffusion barriers to the material(s) of core conductor 210.
  • caps 240 is a diffusion barrier to copper.
  • caps 240 are formed by a process that includes electroless plating. Methods of forming CoWP, CoSnP, CoP and Pd layers are disclosed in United States Patent 5,695,810 to Bubin et al, issued on Dec. 9, 1997 and United States Patent 6,342,733 to Hu et al., issued on Jan. 29, 2002 which are hereby incorporated by reference in their entireties. Barrier caps 240 are in direct physical contact with top surface 220 of core conductor 210.
  • FIGs. 3A through 3E are cross-sectional views illustrating process steps for fabricating an interconnect structure according to the second embodiment of the present invention.
  • FIG. 3 A continues from FIG. IH.
  • a dielectric liner 245 is formed on all exposed surfaces of liner 190.
  • dielectric liner 245 may comprise, for example, silicon dioxide (SiO 2 ), silicon nitride (Si 3 N 4 ), silicon carbide (SiC), silicon oxy nitride (SiON), silicon oxy carbide (SiOC), hydrogen doped silica glass (SiCOH), plasma-enhanced silicon nitride (PSiN x ) or NBLoK (SiC(N 5 H)) or combinations thereof.
  • dielectric liner 245 is about 5 nm to about 100 run thick. Dielectric liner 245 may be formed, for example by CVD or ALD.
  • a directional etch process (such as an RIE) is performed to remove dielectric liner 245 from horizontal surfaces of liner 190 disposed on bottom surfaces of trenches 160. 175 and 180.
  • the directional etch process may be followed by a simultaneous sputter etch and liner deposition as metal neutrals process as described supra, in reference to FIG. IH.
  • core conductor 210 is formed as described supra ion reference to FIG. 2A.
  • the thickness of core conductor 210 is sufficient to completely fill trenches 160, 175 and 180.
  • a CMP process is performed to co-planarize top surface 145 A of dielectric layer 135, top surface 215 of liner 190, top surface 220 of core conductor 210 and a top surface 250 of dielectric liner 245.
  • a damascene wire 255 and a dual damascene wire 260 having with an integral damascene via 265 are formed.
  • caps 240 are selectively formed on top surface 220 of core conductor 210. Caps 240 are in direct physical contact with and completely covers top surface 220 of core conductor 210.
  • FIG. 4 is a cross-sectional view illustrating multiple wiring levels fabricated according to the first embodiment of the present invention.
  • an interlevel dielectric layer 270 containing a damascene wire 275 and dual damascene wire 280 having with an integral damascene via 285 is formed over dielectric layer 135 (which can also be considered an interlevel dielectric layer).
  • An interlevel dielectric layer 290 containing a dual damascene wire 295 with an integral damascene via 300 and dual damascene wire 305 having with an integral damascene via 310 is formed over interlevel dielectric layer dielectric layer 270.
  • Interlevel dielectric layers 270 and 275 are similar to dielectric layer 135.
  • Damascene wire 275 is similar to damascene wire 225 and dual damascene wires 280, 295 and 305 with respective integral vias 285, 300 and 310 are similar to dual damascene wire 230 and integral via 235.
  • Caps 240A and 240B are similar to caps 240. While three wiring levels are illustrated in FIG. 4, any number of similar wiring levels may be so stacked. Damascene wires and vias and dual damascene wires and vias having structures of the second embodiment of the present invention may be similarly formed in stacked interlevel dielectric layers.
  • FIG. 5 is a cross-sectional view illustrating multiple wiring levels fabricated with additional diffusion barriers applicable to the first and the second embodiments of the present invention.
  • FIG. 5 is similar to FIG. 4 with the difference that a dielectric layer 135A includes dielectric layer 135 and a dielectric diffusion barrier 315, an interlevel dielectric layer 270A includes dielectric layer 270 and a dielectric diffusion barrier layer 320 and an interlevel dielectric layer 290A includes dielectric layer 290 and a dielectric diffusion barrier layer 325.
  • Diffusion barrier 315 is formed between dielectric layer 135 and interlevel dielectric layer 275, diffusion barrier 320 is formed on top of interlevel dielectric layer 275.
  • Diffusion barriers 315, 320 and 325 are similar to diffusion barrier 110.
  • diffusion barriers 315, 320 and 325 are diffusion barriers to materials contained in wires 225, 230, 275, 280, 295 and 305. In one example, diffusion barriers 315, 320 and 325 are diffusion barriers to copper. While three wiring levels are illustrated in FIG. 5, any number of similar wiring levels may be so stacked. Damascene wires and vias and dual damascene wires and vias having structures of the second embodiment of the present invention may be similarly formed in stacked interlevel dielectric layers.
  • the present invention provides improved diffusion barrier capped interconnect structures.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)
  • Drying Of Semiconductors (AREA)
  • Multi-Conductor Connections (AREA)
  • Coupling Device And Connection With Printed Circuit (AREA)
  • Wire Bonding (AREA)
  • Combinations Of Printed Boards (AREA)

Abstract

A damascene wire and method of forming the wire. The method including: forming a mask layer on a top surface of a dielectric layer; forming an opening in the mask layer; forming a trench in the dielectric layer where the dielectric layer is not protected by the mask layer; recessing the sidewalls of the trench under the mask layer; forming a conformal conductive liner on all exposed surface of the trench and the mask layer; filling the trench with a core electrical conductor; removing portions of the conductive liner extending above the top surface of the dielectric layer and removing the mask layer; and forming a conductive cap on a top surface of the core conductor. The structure includes a core conductor clad in a conductive liner and a conductive capping layer in contact with the top surface of the core conductor that is not covered by the conductive liner.

Description

INTERCONNECT STRUCTURE AND METHOD OF FABRICATION OF SAME
FIELD OF THE INVENTION
The present invention relates to the field of integrated circuit manufacture; more specifically, it relates to an interconnect structure and method of fabricating the interconnect structure for wiring levels of an integrated circuit.
BACKGROUND OF THE INVENTION
Advanced integrated circuits utilize copper and other metallurgy in the interconnect or wiring levels in order to increase performance of the integrated circuit. Because of the possibility of copper and other metal diffusion through interlevel dielectric layers, copper and other metal interconnects are fabricated with conductive diffusion barrier liners on the sides and bottoms of the wires and dielectric copper and other metal diffusion barrier caps on the top surface of the wires. However, it has been found that wires using dielectric diffusion barrier caps are susceptible to reliability failures.
Therefore, there is a need for improved diffusion barrier capped interconnect structures.
SUMMARY OF THE INVENTION
The present invention utilizes electrically conductive diffusion barrier caps to seal surfaces of damascene and dual damascene interconnect structures not covered by electrically conductive liners or dielectric layers that may also act as diffusion barriers. The caps (and electrically conductive liners and dielectric layers, when acting as diffusion barrier) are diffusion barriers to a material contained in the core electrical conductor of a damascene or dual damascene line.
A first aspect of the present invention is a method, comprising: providing a substrate having a dielectric layer; forming a hard mask layer on a top surface of the dielectric layer; forming an opening in the hard mask layer; forming a trench in the dielectric layer where the dielectric layer is not protected by the hard mask layer, the trench having sidewalls and a bottom; recessing the sidewalls of the trench under the hard mask layer; forming a cσnformal electrically conductive liner on all exposed surfaces of the trench and the hard mask layer; filling the trench with a core electrical conductor; removing portions of the electrically conductive liner extending above the top surface of the dielectric layer and removing the mask layer; and forming an electrically conductive cap on a top surface of the core electrical conductor.
A second aspect of the present invention is a method comprising: providing a substrate having a dielectric layer; forming a hard mask layer on a top surface of the dielectric layer; forming an opening in the hard mask layer; forming a trench in the dielectric layer where the dielectric layer is not protected by the hard mask layer, the trench having sidewalls and a bottom, the sidewalls of the trench aligned with the opening in the hard mask; performing an isotropic etch of the sidewalls and bottom of the trench, the isotropic etch undercutting the hard mask layer and forming a hard mask overhang projecting over the trench; forming a conformal electrically conductive liner on all exposed surfaces of the trench and on all exposed surfaces of the hard mask layer, an upper portion of the electrically conductive liner in physical contact with the hard mask overhang and forming an electrically conductive overhang projecting over the trench; forming a core electrical conductor over the electrically conductive liner, the core electrical conductor filling the trench; performing a chemical-mechanical polish to remove the hard mask layer and all core electrical conductor extending above the top surface of the dielectric layer, the chemical-mechanical-polishing making coplanar a top surface of the dielectric layer, a top surface of the electrically conductive liner and a top surface of the core electrical conductor in the trench, the electrically conductive layer extending over and in direct physical contact with the core electrical conductor; and forming an electrically conductive cap on the top surface of the core electrical conductor.
A third aspect of the present invention is a structure, comprising: a core electrical conductor having a top surface, an opposite bottom surface and sides between the top and bottom surfaces; an electrically conductive liner in direct physical contact with and covering the bottom surface and the sides of the core electrical conductor, embedded portions of the electrically conductive liner in direct physical contact with and extending over the core electrical conductor in regions of the core electrical conductor adjacent to both the top surface and the sides of the core electrical conductor; and an electrically conductive cap in direct physical contact with the top surface of the core electrical conductor that is exposed between the embedded portions of the electrically conductive liner.
A fourth aspect of the present invention is a structure, comprising: a core electrical conductor having a top surface, an opposite bottom surface and sides between the top and bottom surfaces; a dielectric liner formed on the sides of the core electrical conductor; an electrically conductive liner in direct physical contact with and covering the bottom surface of the core electrical conductor and the dielectric liner, embedded portions of the electrically conductive liner extending over the dielectric liner and the core electrical conductor in regions of the core electrical conductor adjacent to both the top surface and the sides of the core electrical conductor; and an electrically conductive cap in direct physical contact with the top surface of die core electrical conductor that is exposed between the embedded portions of the electrically conductive liner.
BRIEF DESCRIPTION OF DRAWINGS
The features of the invention are set forth in the appended claims. The invention itself, however, will be best understood by reference to the following detailed description of an illustrative embodiment when read in conjunction with the accompanying drawings, wherein:
FIGs. IA through IH are cross-sectional views illustrating common process steps for fabricating an interconnect structure according to both first and second embodiments of the present invention;
FIGs. 2 A through 2C are cross-sectional views illustrating process steps for fabricating an interconnect structure according to the first embodiment of the present invention;
FIGs. 3A through 3E are cross-sectional views illustrating process steps for fabricating an interconnect structure according to the second embodiment of the present invention;
FIG. 4 is a cross-sectional view illustrating multiple wiring levels fabricated according to the first embodiment of the present invention; and
FIG. 5 is a cross-sectional view illustrating multiple wiring levels fabricated with additional diffusion barriers applicable to the first and the second embodiments of the present invention.
DETAILED DESCRIPTION OF THE INVENTION
For the purposes of describing the present invention, the terms conductor and conductive should be reads as electrical conductor and electrically conductive.
A (single) damascene process is one in which wire trench or via openings are formed in a dielectric layer, an electrical conductor deposited on a top surface of the dielectric of sufficient thickness to fill the trenches and a chemical-mechanical-polish (CMP) process performed to remove excess conductor and make the surface of the conductor co-planer with the surface of the dielectric layer to form damascene wires (or damascene vias). A dual damascene process is one in which via openings are formed through the entire thickness of a dielectric layer followed by formation of trenches part of the way through the dielectric layer in any given cross-sectional view. All via openings are intersected by integral wire trenches above and by a wire trench below, but not all trenches need intersect a via opening. An electrical conductor is deposited on a top surface of the dielectric of sufficient thickness to fill the trenches and via opening and a CMP process performed to make the surface of the conductor in the trench co-planer with the surface the dielectric layer to form dual damascene wire and dual damascene wires having integral dual damascene vias.
The structure of present invention will be described as being fabricated to connect to a contact level of an integrated circuit chip using a dual damascene process copper metallurgy process, though the present invention is applicable to metallurgies other than copper. A contact level is a transitional level, connecting devices such as metal-oxide-silicon field effect transistors (MOSFETs) to the first of wiring level of an integrated circuit, where the individual devices are "wired" into circuits. It should be understood that the structure of the present invention may be formed in any or all of these wiring levels as illustrated in FIGs. 4 and 5 and as well as using a single damascene process.
FIGs. IA through IH are cross-sectional views illustrating common process steps for fabricating an interconnect structure according to both first and second embodiments of the present invention. In FIG. IA, formed on a substrate 100 is a dielectric layer 105. A dielectric diffusion barrier 110 is formed on a top surface 115 of dielectric layer 105. Formed through diffusion barrier 110 and dielectric layer 105 is a stud contact 120. A top surface 125 of stud contact 120 is coplanar with a top surface 130 of barrier layer 110. In one example, barrier 110 is a diffusion barrier to materials contained in subsequently formed wires. In one example, barrier 110 is a diffusion barrier to copper.
In FIG. IB, a dielectric layer 135 is formed on top surface 130 of barrier layer 110 and a hard mask layer 140 is formed on a top surface 145 of dielectric layer 135. In one example, dielectric layer 135 is a low K (dielectric constant) material, examples of which include but are not limited to hydrogen silsesquioxane polymer (HSQ), methyl silsesquioxane polymer (MSQ) and polyphenylene oligomer (SiOx(CH3)y). A low K dielectric material has a relative permittivity of about 4 or less. In a second example, dielectric layer 135 comprises SiO2. Dielectric layer 135 may be, for example, between about 50 nm and about 1,000 nm thick. In one example, hard mask layer 140 may comprise, for example, silicon dioxide (SiO2), silicon nitride (Si3N4), silicon carbide (SiC), silicon oxy nitride (SiON), silicon oxy carbide (SiOC), hydrogen doped silica glass (SiCOH), plasma-enhanced silicon nitride (PSiNx) or NBLoK (SiC(N5H)). Hard mask layer 140 may be, for example, between about 5 nm and about 100 nm thick. It is possible for hard mask layer 140 to comprise a metal.
In FIG. 1C, a patterned photoresist layer 150 is formed on a top surface 155 of hard mask layer 140, the photoresist is layer patterned by any number of well known lithographic processes and a trench 155 etched through hard mask layer 140, exposing top surface 145 of dielectric layer 140.
In FIG. ID, patterned photoresist layer 150 (see FIG. 1C) is removed and a trench 160 is formed, for example using a reactive ion etch (RIE) process, into dielectric layer 135 to expose top surface 125 of stud contact 120 using patterned hard mask layer 140 as an etch mask.
In FIG. IE, another patterned photoresist layer 165 is formed on a top surface 155 of hard mask layer 140, the photoresist is layer patterned by any number of well known lithographic processes and trenches 155A (trench 155 of FIG. 1C widened) and 170 are etched through hard mask layer 140, exposing top surface 145 of dielectric layer 140.
In FIG. IF, patterned photoresist layer 165 (see FIG. IE) is removed and a trenches 175 and 180 are etched, for example using an RIE process, part way into dielectric layer 135. Trench 180 intersects trench 160.
In FIG. IG, overhangs 185 of hard mask layer 140 are created by isotropic removal of a layer of dielectric layer 135 exposed in trenches 160, 175 and 180. In a first example, the isotropic removal of a layer of dielectric layer 135 may be accomplished by wet etching in solution comprising HNO3, HCl, H2SO4, HF, NH4OH, NH4F or combinations thereof. In a second example, the isotropic removal of a layer of dielectric layer 135 may be accomplished by a high-pressure plasma etch having low directionality.
Using trench 175 as an example, if the widest portion of the opening in hard mask layer 140 is Wl, and the overhang has a width W2, then the ratio W2/W1 may be between about 0.03 and about 0.48
In FIG. IH, a conformal conductive liner 190 is formed over top surface 155 of hard mask layer 140, all exposed surfaces of overhangs 185, including bottom surfaces 195 of the overhangs, exposed surfaces 200 of trenches 160, 175 and 180, and a top surface 125 A of stud contact 120. In one example, liner 190 is a diffusion barrier to the material(s) of a core conductor 210 (see FIG. 2 A or 3C) that will be later formed over the liner. In one example, liner 190 is a diffusion barrier to copper. In one example liner 190 comprises Ta, TaN, Ti, TiN, TiSiN, W, Ru or combinations thereof. In one example, liner 190 is between about 2 nm and about 100 nm thick. Liner 190 may be formed, for example by chemical vapor deposition (CVD) or atomic layer deposition (ALD).
Alternatively, liner 190 may be formed in a process of conformal deposition of liner material followed by a simultaneous sputter etch (using a charged sputtering species) and liner deposition as metal neutrals process as taught in United States Patent 6,784,105 to Yang et al., issued on Aug. 31, 2004 which is hereby incorporated by reference in its entirety. In one example, metal neutrals comprises include Ta, TaN, Ti, TiN, TiSiN, W, Ru or combinations thereof and the gas used to generate the sputtering species comprises Ar, He, Ne, Xe, N2, H2 NH3, N2H2 or combinations thereof. The liner material previously deposited is removed from the bottom of the trench along with any metal oxide that may be present on top surface 125A of stud contact 120 (or any core conductor as illustrated in FIGs. 5 and 6). When sputtering is stopped but metal neutral deposition continued, a new layer of liner 190 is formed to replace that which was removed.
FIGs. 2A through 2C are cross-sectional views illustrating process steps for fabricating an interconnect structure according to the first embodiment of the present invention. FIG. 2A continues from FIG. IH. In FIG. 2A, a core conductor 210 is formed on top of liner 190. In one example core conductor 210 comprises Al, AlCu, Cu, W, Ag, Au or combinations thereof. In the example of core conductor 210 being copper, a thin copper layer is evaporated or deposited and then a thicker layer of copper is electroplated. The thickness of core conductor 210 is sufficient to completely fill trenches 160, 175 and 180.
In FIG. 2B, a chemical-mechanical-polish (CMP) process is performed to co-planarize a top surface 145 A of dielectric layer 135, a top surface 215 of liner 190 and a top surface 220 of core conductor 210. After the CMP process, a damascene wire 225 and a dual damascene wire 230 having with an integral damascene via 235 are formed.
In FIG. 2C, conductive diffusion barrier caps 240 are selectively formed on top surface 220 of core conductor 210. In one example, barrier caps 240 comprises CoWP, CoSnP, CoP and Pd or combinations thereof. In one example caps 240 are about 5 nm to about 80 nm thick. In one example, caps 240 are diffusion barriers to the material(s) of core conductor 210. In one example, caps 240 is a diffusion barrier to copper. In one example, caps 240 are formed by a process that includes electroless plating. Methods of forming CoWP, CoSnP, CoP and Pd layers are disclosed in United States Patent 5,695,810 to Bubin et al, issued on Dec. 9, 1997 and United States Patent 6,342,733 to Hu et al., issued on Jan. 29, 2002 which are hereby incorporated by reference in their entireties. Barrier caps 240 are in direct physical contact with top surface 220 of core conductor 210.
FIGs. 3A through 3E are cross-sectional views illustrating process steps for fabricating an interconnect structure according to the second embodiment of the present invention. FIG. 3 A continues from FIG. IH. In FIG. 3 A a dielectric liner 245 is formed on all exposed surfaces of liner 190. In one example, dielectric liner 245 may comprise, for example, silicon dioxide (SiO2), silicon nitride (Si3N4), silicon carbide (SiC), silicon oxy nitride (SiON), silicon oxy carbide (SiOC), hydrogen doped silica glass (SiCOH), plasma-enhanced silicon nitride (PSiNx) or NBLoK (SiC(N5H)) or combinations thereof. In one example dielectric liner 245 is about 5 nm to about 100 run thick. Dielectric liner 245 may be formed, for example by CVD or ALD.
In FIG. 3B, a directional etch process (such as an RIE) is performed to remove dielectric liner 245 from horizontal surfaces of liner 190 disposed on bottom surfaces of trenches 160. 175 and 180. The directional etch process may be followed by a simultaneous sputter etch and liner deposition as metal neutrals process as described supra, in reference to FIG. IH.
In FIG. 3C, core conductor 210 is formed as described supra ion reference to FIG. 2A. The thickness of core conductor 210 is sufficient to completely fill trenches 160, 175 and 180.
In FIG. 3D, a CMP process is performed to co-planarize top surface 145 A of dielectric layer 135, top surface 215 of liner 190, top surface 220 of core conductor 210 and a top surface 250 of dielectric liner 245. After the CMP process, a damascene wire 255 and a dual damascene wire 260 having with an integral damascene via 265 are formed.
In FIG. 3E, caps 240 are selectively formed on top surface 220 of core conductor 210. Caps 240 are in direct physical contact with and completely covers top surface 220 of core conductor 210.
FIG. 4 is a cross-sectional view illustrating multiple wiring levels fabricated according to the first embodiment of the present invention. In FIG. 4, an interlevel dielectric layer 270 containing a damascene wire 275 and dual damascene wire 280 having with an integral damascene via 285 is formed over dielectric layer 135 (which can also be considered an interlevel dielectric layer). An interlevel dielectric layer 290 containing a dual damascene wire 295 with an integral damascene via 300 and dual damascene wire 305 having with an integral damascene via 310 is formed over interlevel dielectric layer dielectric layer 270. Interlevel dielectric layers 270 and 275 are similar to dielectric layer 135. Damascene wire 275 is similar to damascene wire 225 and dual damascene wires 280, 295 and 305 with respective integral vias 285, 300 and 310 are similar to dual damascene wire 230 and integral via 235. Caps 240A and 240B are similar to caps 240. While three wiring levels are illustrated in FIG. 4, any number of similar wiring levels may be so stacked. Damascene wires and vias and dual damascene wires and vias having structures of the second embodiment of the present invention may be similarly formed in stacked interlevel dielectric layers.
FIG. 5 is a cross-sectional view illustrating multiple wiring levels fabricated with additional diffusion barriers applicable to the first and the second embodiments of the present invention. FIG. 5 is similar to FIG. 4 with the difference that a dielectric layer 135A includes dielectric layer 135 and a dielectric diffusion barrier 315, an interlevel dielectric layer 270A includes dielectric layer 270 and a dielectric diffusion barrier layer 320 and an interlevel dielectric layer 290A includes dielectric layer 290 and a dielectric diffusion barrier layer 325. Diffusion barrier 315 is formed between dielectric layer 135 and interlevel dielectric layer 275, diffusion barrier 320 is formed on top of interlevel dielectric layer 275. Diffusion barriers 315, 320 and 325 are similar to diffusion barrier 110. In one example, diffusion barriers 315, 320 and 325 are diffusion barriers to materials contained in wires 225, 230, 275, 280, 295 and 305. In one example, diffusion barriers 315, 320 and 325 are diffusion barriers to copper. While three wiring levels are illustrated in FIG. 5, any number of similar wiring levels may be so stacked. Damascene wires and vias and dual damascene wires and vias having structures of the second embodiment of the present invention may be similarly formed in stacked interlevel dielectric layers.
Thus, the present invention provides improved diffusion barrier capped interconnect structures.
The description of the embodiments of the present invention is given above for the understanding of the present invention. It will be understood that the invention is not limited to the particular embodiments described herein, but is capable of various modifications, rearrangements and substitutions as will now become apparent to those skilled in the art without departing from the scope of the invention. Therefore, it is intended that the following claims cover all such modifications and changes as fall within the true spirit and scope of the invention.

Claims

What is claimed is:
1. A method, comprising: providing a substrate having a dielectric layer; forming a hard mask layer on a top surface of said dielectric layer; forming an opening in said hard mask layer ; forming a trench in said dielectric layer where said dielectric layer is not protected by said hard mask layer, said trench having sidewalls and a bottom; recessing said sidewalls of said trench under said hard mask layer; forming a conformal electrically conductive liner on all exposed surface of said trench and said hard mask layer; filling said trench with a core electrical conductor; removing portions of said electrically conductive liner extending above said top surface of said dielectric layer and removing said mask layer; and forming an electrically conductive cap on a top surface of said core electrical conductor.
2. The method of claim 1, further including: forming a dielectric liner on said electrically conductive liner where said electrically conductive liner is in contact with said sidewalls of said trench.
3. The method of claim 2, wherein said forming said dielectric liner includes: before said filling said trench with said core electrical conductor, forming a dielectric liner on exposed surfaces of said electrically conductive liner; and removing said dielectric liner from surfaces of said electrically conductive liner where said electrically conductive liner is in contact with said bottom surface of said trench.
4. The method of claim 1, wherein said forming a conformal electrically conductive liner includes: simultaneously depositing and sputter etching a metal layer on said sidewalls of said trench.
5. The method of claim 4, wherein said forming a conformal electrically conductive liner further includes depositing another metal layer on said metal layer on said sidewalls of said trench after said simultaneously depositing and sputter etching.
6. The method of claim 4, wherein said sputter etching generates sputtering species from gases selected from the group consisting of Ar, He, Ne5 Xe, N2, H2 NH3, N2H2 and combinations thereof.
7. The method of claim 4, wherein said metal layer comprises a material selected from the group consisting of Ta, TaN, Ti, TiN, TiSiN, W, Ru and combinations thereof.
8. The method of claim 1, wherein said electrically conductive liner and said electrically conductive cap are diffusion barriers to one or more materials said core electrical conductor is comprised of.
9. The method of claim 1, wherein said hard mask layer comprises a material selected from the group consisting of SiO2, Si3N4, SiC, SiON, SiOC, SiCOH, PSiNx and SiC(N5H).
10. The method of claim 1, wherein said dielectric layer comprises a material selected from the group consisting of a dielectric material having a relative permittivity of about 4 or less, hydrogen silsesquioxane polymer , methyl silsesquioxane polymer, polyphenylene oligomer, SiO2 and combinations thereof.
11. The method of claim 1, wherein said electrically conductive liner comprises a material selected from the group consisting of Ta, TaN, Ti, TiN, TiSiN, W, Ru and combinations thereof.
12. The method of claim 1, wherein said core electrical conductor comprises a material selected from the group consisting of Al, AlCu, Cu, W, Ag, Au and combinations thereof.
13. The method of claim 1, wherein said electrically conductive cap comprises a material selected from the group consisting of CoWP, CoSnP, CoP, Pd or combinations thereof.
14. The method of claim 1, wherein said dielectric layer comprises a first dielectric layer formed on a top surface of a second dielectric layer, said first dielectric layer being a diffusion barrier to one or more materials said core electrical conductor is comprised of.
15. The method of claim 1, wherein said forming an electrically conductive cap includes an electroless plating of at least a portion of said electrically conductive cap.
16. A method comprising: providing a substrate having a dielectric layer; forming a hard mask layer on a top surface of said dielectric layer; forming an opening in said hard mask layer; forming a trench in said dielectric layer where said dielectric layer is not protected by said hard mask layer, said trench having sidewalls and a bottom, said sidewalls of said trench aligned with said opening in said hard mask; performing an isotropic etch of said sidewalls and bottom of said trench, said isotropic etch undercutting said hard mask layer and forming a hard mask overhang projecting over said trench; forming a conformal electrically conductive liner on all exposed surfaces of said trench and on all exposed surfaces of said hard mask layer, an upper portion of said electrically conductive liner in physical contact with said hard mask overhang and forming an electrically conductive overhang projecting over said trench; forming a core electrical conductor over said electrically conductive liner, said core electrical conductor filling said trench; performing a chemical-mechanical polish to remove said hard mask layer and all core electrical conductor extending above said top surface of said dielectric layer, said chemical- mechanical-polishing making coplanar a top surface of said dielectric layer, a top surface of said electrically conductive liner and a top surface of said core electrical conductor in said trench, said electrically conductive layer extending over and in direct physical contact with said core electrical conductor; and forming an electrically conductive cap on said top surface of said core electrical conductor.
17. The method of claim 16, further including: forming a dielectric liner on exposed surfaces of said electrically conductive liner; and removing said dielectric liner from surfaces of said electrically conductive liner where said electrically conductive liner is in contact with said bottom surface of said trench.
18. The method of claim 16, wherein said forming a conformal electrically conductive liner includes: simultaneously depositing and sputter etching a metal layer on said sidewalls of said trench.
19. The method of claim 18, wherein said forming a conformal electrically conductive liner further includes depositing another metal layer on said metal layer on said sidewalls of said trench after said simultaneously depositing and sputter etching.
20. The method of claim 16, wherein said electrically conductive liner and said electrically conductive cap are diffusion barriers to one or more materials said core electrical conductor is comprised of.
21. The method of claim 16, wherein said forming an electrically conductive cap includes an electroless plating of at least a portion of said electrically conductive cap.
22. The method of claim 16, wherein said dielectric layer comprises a first dielectric layer formed on a top surface of a second dielectric layer, said first dielectric layer being a diffusion barrier to one or more materials said core electrical conductor is comprised of.
23. The method of claim 16, wherein: said electrically conductive liner comprises a material selected from the group consisting of Ta, TaN, Ti, TiN, TiSiN, W, Ru and combinations thereof; said core electrical conductor comprises a material selected from the group consisting of Al, AlCu, Cu, W, Ag, Au and combinations thereof; and said electrically conductive cap comprises a material selected from the group consisting of CoWP, CoSnP, CoP, Pd or combinations thereof.
24. A structure, comprising: a core electrical conductor having a top surface, an opposite bottom surface and sides between said top and bottom surfaces; an electrically conductive liner in direct physical contact with and covering said bottom surface and said sides of said core electrical conductor, embedded portions of said electrically conductive liner in direct physical contact with and extending over said core electrical conductor in regions of said core electrical conductor adjacent to both said top surface and said sides of said core electrical conductor; and an electrically conductive cap in direct physical contact with said top surface of said core electrical conductor that is exposed between said embedded portions of said electrically conductive liner.
25. The structure of claim 24, wherein said portions of said electrically conductive liner in direct physical contact with and extending over said core electrical conductor extend over said core electrical conductor from opposing pairs of sides of said sides of said core electrical conductor a distance between about 3% to about 48% of the total distance between said sides of said core electrical conductor.
26. The structure of claim 24, wherein top surfaces of said portions of said electrically conductive liner in direct physical contact with and extending over said core electrical conductor are coplanar with said top surface of said core electrical conductor.
27. The structure of claim 24, wherein said electrically conductive cap does not overlap said embedded portions of said electrically conductive liner.
28. The structure of claim 24, wherein said electrically conductive liner comprises a material selected from the group consisting of Ta, TaN, Ti, TiN, TiSiN, W, Ru and combinations thereof.
29. The structure of claim 24, wherein said core electrical conductor comprises a material selected from the group consisting of Al, AlCu, Cu, W, Ag, Au and combinations thereof.
30. The structure of claim 24, wherein said electrically conductive cap comprises a material selected from the group consisting of CoWP, CoSnP, CoP, Pd or combinations thereof.
31. A structure, comprising: a core electrical conductor having a top surface, an opposite bottom surface and sides between said top and bottom surfaces; a dielectric liner formed on said sides of said core electrical conductor; an electrically conductive liner in direct physical contact with and covering said bottom surface of said core electrical conductor and said dielectric liner, embedded portions of said electrically conductive liner extending over said dielectric liner and said core electrical conductor in regions of said core electrical conductor adjacent to both said top surface and said sides of said core electrical conductor; and an electrically conductive cap in direct physical contact with said top surface of said core electrical conductor that is exposed between said embedded portions of said electrically conductive liner.
32. The structure of claim 31, wherein said portions of said electrically conductive liner in direct physical contact with and extending over said core electrical conductor extend over said core electrical conductor from opposing pairs of sides of said sides of said core electrical conductor a distance between about 3 % to about 48 % of the total distance between said sides of said core electrical conductor.
33. The structure of claim 31, wherein top surfaces of said portions of said electrically conductive liner in direct physical contact with and extending over said core electrical conductor are coplanar with said top surface of said core electrical conductor.
34. The structure of claim 31, wherein said electrically conductive cap does not overlap said embedded portion of said electrically conductive liner and does not overlap any edge of said dielectric liner exposed between said electrically embedded portion of said conductive liner and said core electrical conductor.
35. The structure of claim 31, wherein: said dielectric liner comprises a material selected from the group consisting of SiO2, Si3N4, SiC, SiON, SiOC, hydrogen doped silica glass (SiCOH), plasma-enhanced silicon nitride (PSiNx) or NBLoK (SiC(N5H)) and combinations thereof; said electrically conductive liner comprises a material selected from the group consisting of Ta, TaN, Ti, TiN, TiSiN, W, Ru and combinations thereof; . said core electrical conductor comprises a material selected from the group consisting of Al, AlCu, Cu, W, Ag, Au and combinations thereof; and. said electrically conductive cap comprises a material selected from the group consisting of CoWP, CoSnP, CoP, Pd or combinations thereof.
PCT/US2006/013179 2005-04-15 2006-04-07 Interconnect structure and method of fabrication of same WO2006113186A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
AT06740771T ATE535013T1 (en) 2005-04-15 2006-04-07 CONNECTION STRUCTURE AND PRODUCTION PROCESS THEREOF
EP06740771A EP1869700B1 (en) 2005-04-15 2006-04-07 Interconnect structure and method of fabrication of same
JP2008506549A JP5089575B2 (en) 2005-04-15 2006-04-07 Interconnect structure and method of manufacturing the same
CN2006800120492A CN101390203B (en) 2005-04-15 2006-04-07 Interconnect structure and method of fabrication of same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/107,074 2005-04-15
US11/107,074 US7335588B2 (en) 2005-04-15 2005-04-15 Interconnect structure and method of fabrication of same

Publications (2)

Publication Number Publication Date
WO2006113186A2 true WO2006113186A2 (en) 2006-10-26
WO2006113186A3 WO2006113186A3 (en) 2008-07-24

Family

ID=37109075

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/013179 WO2006113186A2 (en) 2005-04-15 2006-04-07 Interconnect structure and method of fabrication of same

Country Status (7)

Country Link
US (4) US7335588B2 (en)
EP (1) EP1869700B1 (en)
JP (1) JP5089575B2 (en)
CN (1) CN101390203B (en)
AT (1) ATE535013T1 (en)
TW (1) TWI389252B (en)
WO (1) WO2006113186A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9349689B2 (en) 2012-04-20 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including conductive features with capping layers and methods of forming the same

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100744424B1 (en) * 2006-08-29 2007-07-30 동부일렉트로닉스 주식회사 Method for manufacturing semiconductor device
JP4740080B2 (en) * 2006-09-26 2011-08-03 三洋電機株式会社 Air sanitizer
US20080157268A1 (en) * 2006-12-30 2008-07-03 Kim Deok-Kee Fuse Element Using Low-K Dielectric
JP2009111251A (en) * 2007-10-31 2009-05-21 Tohoku Univ Semiconductor device, and manufacturing method thereof
JP2009146958A (en) * 2007-12-12 2009-07-02 Panasonic Corp Semiconductor device and method for manufacturing the same
US20090166867A1 (en) * 2007-12-31 2009-07-02 Harsono Simka Metal interconnect structures for semiconductor devices
JP2009182181A (en) * 2008-01-31 2009-08-13 Toshiba Corp Semiconductor device
US8354751B2 (en) * 2008-06-16 2013-01-15 International Business Machines Corporation Interconnect structure for electromigration enhancement
US7955971B2 (en) * 2009-06-11 2011-06-07 International Business Machines Corporation Hybrid metallic wire and methods of fabricating same
US8786062B2 (en) * 2009-10-14 2014-07-22 Advanced Semiconductor Engineering, Inc. Semiconductor package and process for fabricating same
US20110084372A1 (en) 2009-10-14 2011-04-14 Advanced Semiconductor Engineering, Inc. Package carrier, semiconductor package, and process for fabricating same
WO2011080827A1 (en) * 2009-12-28 2011-07-07 富士通株式会社 Wiring structure and method for forming same
US8569894B2 (en) 2010-01-13 2013-10-29 Advanced Semiconductor Engineering, Inc. Semiconductor package with single sided substrate design and manufacturing methods thereof
KR20110089731A (en) * 2010-02-01 2011-08-09 삼성전자주식회사 Semiconductor device including an interconnection lander and method of fabricating the same
US20110207323A1 (en) * 2010-02-25 2011-08-25 Robert Ditizio Method of forming and patterning conformal insulation layer in vias and etched structures
TWI411075B (en) 2010-03-22 2013-10-01 Advanced Semiconductor Eng Semiconductor package and manufacturing method thereof
FR2963160A1 (en) * 2010-07-22 2012-01-27 St Microelectronics Crolles 2 METHOD FOR PRODUCING A METALLIZATION LEVEL AND A VIA LEVEL AND CORRESPONDING INTEGRATED CIRCUIT
US8610285B2 (en) * 2011-05-30 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. 3D IC packaging structures and methods with a metal pillar
US8916405B2 (en) * 2011-10-11 2014-12-23 International Business Machines Corporation Light emitting diode (LED) using carbon materials
US9190316B2 (en) * 2011-10-26 2015-11-17 Globalfoundries U.S. 2 Llc Low energy etch process for nitrogen-containing dielectric layer
US10217644B2 (en) * 2012-07-24 2019-02-26 Infineon Technologies Ag Production of adhesion structures in dielectric layers using photoprocess technology and devices incorporating adhesion structures
US8835305B2 (en) * 2012-07-31 2014-09-16 International Business Machines Corporation Method of fabricating a profile control in interconnect structures
US9837701B2 (en) 2013-03-04 2017-12-05 Advanced Semiconductor Engineering, Inc. Semiconductor package including antenna substrate and manufacturing method thereof
US9142456B2 (en) * 2013-07-30 2015-09-22 Lam Research Corporation Method for capping copper interconnect lines
US9349636B2 (en) 2013-09-26 2016-05-24 Intel Corporation Interconnect wires including relatively low resistivity cores
US9135719B1 (en) * 2014-06-26 2015-09-15 Amazon Technologies, Inc. Color name generation from images and color palettes
US9553044B2 (en) * 2014-11-05 2017-01-24 International Business Machines Corporation Electrically conductive interconnect including via having increased contact surface area
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
KR20160122364A (en) * 2015-04-14 2016-10-24 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
WO2017111803A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Techniques for forming electrically conductive features with improved alignment and capacitance reduction
WO2017111847A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Techniques for forming electrically conductive features with improved alignment and capacitance reduction
KR102582671B1 (en) 2016-12-22 2023-09-25 삼성전자주식회사 Semiconductor devices
US10224285B2 (en) 2017-02-21 2019-03-05 Raytheon Company Nitride structure having gold-free contact and methods for forming such structures
US10096550B2 (en) 2017-02-21 2018-10-09 Raytheon Company Nitride structure having gold-free contact and methods for forming such structures
US10510657B2 (en) 2017-09-26 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with interconnecting structure and method for manufacturing the same
US11004735B2 (en) * 2018-09-14 2021-05-11 International Business Machines Corporation Conductive interconnect having a semi-liner and no top surface recess
TWI801631B (en) * 2018-11-09 2023-05-11 台灣積體電路製造股份有限公司 Semiconductor device manufacturing method and semiconductor device
US11094580B2 (en) * 2019-10-01 2021-08-17 International Business Machines Corporation Structure and method to fabricate fully aligned via with reduced contact resistance
WO2021174415A1 (en) * 2020-03-03 2021-09-10 Yangtze Memory Technologies Co., Ltd. Protection structures in semiconductor chips and methods for forming the same
US20230077760A1 (en) * 2021-09-14 2023-03-16 International Business Machines Corporation Top via interconnects without barrier metal between via and above line
TWI825516B (en) * 2021-11-30 2023-12-11 南亞科技股份有限公司 Manufacturing method of semiconductor device

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6333560B1 (en) 1999-01-14 2001-12-25 International Business Machines Corporation Process and structure for an interlock and high performance multilevel structures for chip interconnects and packaging technologies

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
JP2663902B2 (en) * 1995-03-17 1997-10-15 日本電気株式会社 Method for filling fine trench, method for manufacturing fine electrode, method for filling fine hole, and method for manufacturing fine metal wiring
KR100189967B1 (en) * 1995-07-20 1999-06-01 윤종용 Multilayer connection method of semiconductor device
US5976970A (en) * 1996-03-29 1999-11-02 International Business Machines Corporation Method of making and laterally filling key hole structure for ultra fine pitch conductor lines
JP3399786B2 (en) * 1996-06-04 2003-04-21 シーメンス アクチエンゲゼルシヤフト Circuit device in motor vehicle
US5695810A (en) * 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5930669A (en) * 1997-04-03 1999-07-27 International Business Machines Corporation Continuous highly conductive metal wiring structures and method for fabricating the same
US6140226A (en) * 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6211084B1 (en) * 1998-07-09 2001-04-03 Advanced Micro Devices, Inc. Method of forming reliable copper interconnects
JP2000049116A (en) * 1998-07-30 2000-02-18 Toshiba Corp Semiconductor device and manufacture of the same
US6342733B1 (en) * 1999-07-27 2002-01-29 International Business Machines Corporation Reduced electromigration and stressed induced migration of Cu wires by surface coating
US6197681B1 (en) * 1999-12-31 2001-03-06 United Microelectronics Corp. Forming copper interconnects in dielectric materials with low constant dielectrics
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1837902B1 (en) * 2000-08-21 2017-05-24 Dow Global Technologies LLC Use of organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6461963B1 (en) * 2000-08-30 2002-10-08 Micron Technology, Inc. Utilization of disappearing silicon hard mask for fabrication of semiconductor structures
TW471107B (en) * 2000-11-27 2002-01-01 Nanya Technology Corp Dual damascene manufacturing method of porous low-k dielectric material
US6674170B1 (en) * 2000-12-18 2004-01-06 Advanced Micro Devices, Inc. Barrier metal oxide interconnect cap in integrated circuits
US6624066B2 (en) * 2001-02-14 2003-09-23 Texas Instruments Incorporated Reliable interconnects with low via/contact resistance
US6486059B2 (en) * 2001-04-19 2002-11-26 Silicon Intergrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
US6696222B2 (en) * 2001-07-24 2004-02-24 Silicon Integrated Systems Corp. Dual damascene process using metal hard mask
JP3648480B2 (en) * 2001-12-26 2005-05-18 株式会社東芝 Semiconductor device and manufacturing method thereof
JP3812891B2 (en) * 2002-01-30 2006-08-23 株式会社荏原製作所 Wiring formation method
JP2004128239A (en) * 2002-10-03 2004-04-22 Renesas Technology Corp Static semiconductor memory
US7023093B2 (en) * 2002-10-24 2006-04-04 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US6706625B1 (en) * 2002-12-06 2004-03-16 Chartered Semiconductor Manufacturing Ltd. Copper recess formation using chemical process for fabricating barrier cap for lines and vias
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US6784105B1 (en) * 2003-04-09 2004-08-31 Infineon Technologies North America Corp. Simultaneous native oxide removal and metal neutral deposition method
JP4057972B2 (en) * 2003-07-25 2008-03-05 富士通株式会社 Manufacturing method of semiconductor device
US7094669B2 (en) * 2004-08-03 2006-08-22 Chartered Semiconductor Manufacturing Ltd Structure and method of liner air gap formation
US7332428B2 (en) * 2005-02-28 2008-02-19 Infineon Technologies Ag Metal interconnect structure and method
US20060205204A1 (en) * 2005-03-14 2006-09-14 Michael Beck Method of making a semiconductor interconnect with a metal cap

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6333560B1 (en) 1999-01-14 2001-12-25 International Business Machines Corporation Process and structure for an interlock and high performance multilevel structures for chip interconnects and packaging technologies

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9349689B2 (en) 2012-04-20 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including conductive features with capping layers and methods of forming the same
US9812390B2 (en) 2012-04-20 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including conductive features with capping layers and methods of forming the same

Also Published As

Publication number Publication date
US20060234497A1 (en) 2006-10-19
TWI389252B (en) 2013-03-11
CN101390203A (en) 2009-03-18
US7598616B2 (en) 2009-10-06
US7563710B2 (en) 2009-07-21
US20080006944A1 (en) 2008-01-10
JP5089575B2 (en) 2012-12-05
ATE535013T1 (en) 2011-12-15
WO2006113186A3 (en) 2008-07-24
US20080014744A1 (en) 2008-01-17
EP1869700A2 (en) 2007-12-26
US20080246151A1 (en) 2008-10-09
EP1869700B1 (en) 2011-11-23
EP1869700A4 (en) 2010-12-15
JP2008537337A (en) 2008-09-11
CN101390203B (en) 2012-03-21
TW200636917A (en) 2006-10-16
US7528493B2 (en) 2009-05-05
US7335588B2 (en) 2008-02-26

Similar Documents

Publication Publication Date Title
US7528493B2 (en) Interconnect structure and method of fabrication of same
US10861788B2 (en) Patterning approach for improved via landing profile
US6528884B1 (en) Conformal atomic liner layer in an integrated circuit interconnect
CN1913128B (en) Methods of forming dual-damascene metal wiring patterns for integrated circuit devices and wiring patterns formed thereby
TWI412104B (en) Hybrid interconnect structure for performance improvement and reliability enhancement
JP5255292B2 (en) Interconnect structure having two-layer metal cap and method of manufacturing the same
US9165883B2 (en) Interconnection structure for an integrated circuit
US8383507B2 (en) Method for fabricating air gap interconnect structures
US6452251B1 (en) Damascene metal capacitor
CN102870212B (en) Structure and method for manufacturing interconnect structures having self-aligned dielectric caps
US7074717B2 (en) Damascene processes for forming conductive structures
US20040021226A1 (en) Contact capping local interconnect
US20070026659A1 (en) Post last wiring level inductor using patterned plate process
US20050146040A1 (en) Metal spacer in single and dual damascene processing
CN103579181A (en) Hybrid interconnect scheme and methods for forming the same
CN115295530B (en) Semiconductor structure and manufacturing method thereof
US6406996B1 (en) Sub-cap and method of manufacture therefor in integrated circuit capping layers
JP2010507236A (en) Semiconductor device and method of forming interconnect structure
KR20010094954A (en) Capacitor structure and method of making same
KR20070052452A (en) Method of manufacturing metalline of semiconductor device
US20030124838A1 (en) Method of forming cooper damascene interconnect

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680012049.2

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2006740771

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 2008506549

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: RU

DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)