WO2006094162A2 - Method for etching having a controlled distribution of process results - Google Patents

Method for etching having a controlled distribution of process results Download PDF

Info

Publication number
WO2006094162A2
WO2006094162A2 PCT/US2006/007525 US2006007525W WO2006094162A2 WO 2006094162 A2 WO2006094162 A2 WO 2006094162A2 US 2006007525 W US2006007525 W US 2006007525W WO 2006094162 A2 WO2006094162 A2 WO 2006094162A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
etching
distribution
processing chamber
etch
Prior art date
Application number
PCT/US2006/007525
Other languages
French (fr)
Other versions
WO2006094162A3 (en
Inventor
Thomas J. Kropewnicki
Theodoros Panagopoulos
Nicolas Gani
Wilfred Pau
Meihua Shen
John P. Holland
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/246,012 external-priority patent/US8075729B2/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to CN200680006797XA priority Critical patent/CN101133682B/en
Priority to DE112006000327T priority patent/DE112006000327T5/en
Priority to JP2007558240A priority patent/JP2008532324A/en
Publication of WO2006094162A2 publication Critical patent/WO2006094162A2/en
Publication of WO2006094162A3 publication Critical patent/WO2006094162A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Definitions

  • Embodiments of the present invention generally relate to a method of etching. More specifically, the invention relates to a method for etching having a controlled distribution of process results.
  • the former approach reduces the photoresist dimension below what is possible lithographically by lateral etching of the photoresist, while the latter approach relies on etch by-products redeposited on the sidewalls during the hard mask etch to passivate and control the amount of lateral etching relative to vertical etching.
  • Sidewall passivation by etch by- products is not limited only to the hard mask etch step, but also occurs during the gate main etch, soft landing, and over etch steps.
  • Embodiments of the invention generally provide methods for etching a substrate.
  • the method for etching a substrate includes determining a substrate temperature target profile that corresponds to a uniform deposition rate of etch by-products on a substrate, preferentially regulating a temperature of a first portion of a substrate support relative to a second portion of the substrate support to obtain the substrate temperature target profile on the substrate, and etching the substrate on the preferentially regulated substrate support.
  • the method includes providing a first process control knob to effect a first process condition, wherein the first process condition is represented by a first distribution of process results; providing a second process control knob to effect a second process condition, wherein the second process condition is represented by a second distribution of process results; setting both of the first and second process control knobs to a predetermined setting to produce a third distribution of process results, wherein the third distribution of process results is different from the first and second distributions of process results; and, etching a substrate disposed on a substrate support in a processing chamber having the first and second process control knobs set to the predetermined setting, wherein the first process control knob selects locations of gas injection into the processing chamber, and the second process control knob selects a temperature profile of the substrate support.
  • the method includes providing a substrate in a processing chamber having a selectable distribution of species within the processing chamber and a substrate support with lateral temperature control, wherein a temperature profile induced by the substrate support and a selection of species distribution comprise a control parameter set, etching a first layer of material using a first control parameter set, and etching a second layer of material using a second control parameter set, wherein the first and second control parameter sets are different.
  • Figures 1A-B are a schematic of the gate etch process
  • Figure 2 is a graph illustrating a relationship between average CD bias, substrate temperature and calculated sticking coefficient
  • Figure 3 is a graph illustrating a relationship between process mass fraction and normalized distance
  • Figure 4 is a graph illustrating a relationship between etch by-product flux and substrate radius
  • Figure 5 is a graph illustrating a relationship between CD bias and substrate radius
  • Figure 6 is a schematic diagram of an exemplary semiconductor substrate processing chamber in accordance with one embodiment of the invention.
  • Figures 7-9 are a flow diagrams of embodiments of etch processes that may be practiced in the chamber of Figure 6, or other suitable processing chamber;
  • Figures 10A-F illustrate one embodiment of a sequence for fabricating a structure that may be etched using the method of Figure 7, Figure 8 and/or the method of Figure 9;
  • Figures 11 A-B illustrate one embodiment of a sequence for fabricating a structure that may be etched using the method of Figure 7, Figure
  • FIG. 1A-B A schematic of the gate etch process is shown in Figures 1A-B. We have experimentally observed a strong dependence of gate etch CD bias on the substrate temperature, and now disclose this relationship, and demonstrate the dependence of the gate etch by-product sticking coefficient on substrate temperature which has enabled the control of process result distribution across a substrate.
  • the rate of this redeposition of etch by-products is expected to follow both the gas phase concentration of by-products and the sticking coefficient of those by-products.
  • Sticking coefficients have been used in gas-surface reaction mechanisms to describe the probability of an incident gas phase species 102 adsorbing to a surface (shown as a gate structure 100) and they are typically approximated as the ratio of the number of species that are reactiveiy adsorbed on a surface to the total number of incident species. Analysis of the dependence of the sticking coefficient on surface temperature has been used to describe impurity levels during the epitaxial growth of silicon films and the step coverage deposition behavior of silicon dioxide on substrates.
  • P is the partial pressure of the etch by-products
  • N A is the Avogadro number
  • M is the molecular weight of the adsorbing species
  • R is the universal gas constant
  • T is the temperature
  • E eff is the difference between the energies for desorption and the surface reaction.
  • Eq. (1) Two important etch process parameters that can be extracted directly from Eq. (1) are the flux of species to the surface and the substrate temperature. Both of these tunable recipe parameters are likely to have a significant impact on the sticking coefficient of passivating species on the gate sidewalls, and hence, the gate CD bias after etching.
  • the obvious complication in using Eq. (1) is the R a a s term, which is not easily determined and has some temperature dependence itself. For this analysis, the R ads term will be used as a fitting parameter and will be further explained later.
  • patterned substrates with a poly-silicon gate stack were generated.
  • the photomask used to pattern the substrates was designed for the 90 nm technology node.
  • Etch experiments were carried out in an Applied Materials Centura ® DPS ® Etch system configured with a DPS Il Silicon Etch chamber.
  • the substrates were etched using a four step process (breakthrough, main etch, soft landing, and over etch) using standard gate etch chemistries.
  • Pre and post etch CD's were measured on an Applied Materials VeraSEM ® Metrology system, (new naming convention)
  • the one sigma error bars on the CD bias averages in Figure 2 are a measure of the within substrate CD bias nonuniformity.
  • the degree of nonuniformity is consistent for all three substrate temperatures, with the observed linewidths at the edge regions typically being smaller than those in the center region.
  • Measurements of within substrate temperature uniformities have indicated that the substrate temperature range is less than ⁇ 1 0 C for conditions similar to these runs, suggesting that the observed within substrate linewidth nonuniformity in these cases is due to something in addition to the substrate temperature.
  • Figure 5 shows three cases: a substrate at uniform temperature, an optimized condition with a dual-zone ESC, and an intentionally mistuned process to demonstrate the ability to control CD bias across the substrate. Whereas smaller gate linewidths at the substrate edge are observed in Figure 5 for the uniform substrate temperature condition, a marked improvement in center to edge CD bias uniformity can be achieved when the temperature of the ESC is divided into two zones, where the outer zone is at a lower temperature than the inner zone.
  • the range of CD bias for the ESC at uniform temperature is 15.3 nm
  • the range of CD bias for the dual zone ESC is 9.5 nm, an improvement of 37.9%.
  • the third case shows the condition of an exaggerated center to edge substrate temperature difference, which results in the CD bias being intentionally tuned toward positive values to demonstrate the ability to control CD bias with substrate temperature.
  • the CD bias being intentionally tuned toward positive values to demonstrate the ability to control CD bias with substrate temperature.
  • more by-products absorb on the sidewalls and result in an inverse effect where the edge linewidths become larger than those of the substrate center.
  • the etch processes described herein may be performed in any suitably adapted plasma etch chamber, for example, a HART etch reactor, a HART TS etch reactor, a Decoupled Plasma Source (DPS), DPS-II, or DPS Plus, or DPS DT etch reactor of a CENTURA ® etch system, all of which are available from Applied Materials, Inc. of Santa Clara, California. Plasma etch chambers from other manufacturers may also be adapted to perform the invention.
  • the DPS reactor uses a 13.56 MHz inductive plasma source to generate and sustain a high density plasma and a 13.56 MHz source bias power to bias a substrate.
  • the decoupled nature of the plasma and bias sources allows independent control of ion energy and ion density.
  • the DPS reactor provides a wide process window over changes in source and bias power, pressure, and etchant gas chemistries and uses an endpoint system to determine an end of the processing.
  • FIG. 6 depicts a schematic diagram of an exemplary etch reactor 600 that may illustratively be used to practice the invention.
  • the particular embodiment of the etch reactor 600 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention.
  • Etch reactor 600 generally includes a process chamber 610, a gas panel 638 and a controller 640.
  • the process chamber 610 includes a conductive body (wall) 630 and a ceiling 620 that enclose a process volume. Process gasses are provided to the process volume of the chamber 610 from the gas panel 638.
  • the controller 640 includes a central processing unit (CPU) 644, a memory 642, and support circuits 646.
  • the controller 640 is coupled to and controls components of the etch reactor 600, processes performed in the chamber 610, as well as may facilitate an optional data exchange with databases of an integrated circuit fab.
  • the ceiling 620 is a substantially flat member.
  • Other embodiments of the process chamber 610 may have other types of ceilings, e.g., a dome-shaped ceiling.
  • Above the ceiling 620 is disposed an antenna 612 comprising one or more inductive coil elements (two co-axial coil elements are illustratively shown).
  • the antenna 612 is coupled to a matching network and radio-frequency (RF) plasma power source 618. Power is applied to the antenna 612 and inductively coupled to a plasma formed in the chamber 100 during processing.
  • the chamber 100 may alternatively utilize capacitive plasma coupling using a power source 684, as described further below.
  • the gas panel 638 is coupled to one or more nozzles so that the flow through into the chamber may be controlled to control the distribution of species within the chamber.
  • the one or more nozzles are configured and/or arranged to effect at least one of the process gas flow location, flow orientation of the process gas or species distribution within the chamber.
  • a nozzle 608 having at least two outlet ports 604, 606 is provided coupled to the ceiling 620 of the chamber body 610.
  • the outlet ports 604, 606 configured to respectively induce a direct and indirect orientation of gas flow into the chamber.
  • the first outlet port 604 may provide a direct gas flow orientation, i.e., produce a gas flow entering the chamber oriented substantially normal to the surface.
  • the second outlet port 606 may provide an indirect gas flow orientation, i.e., produce a gas flow entering the chamber oriented substantially parallel to the surface, or in another embodiment, directed at an incidence angle of less than or equal to 60 degrees relative to a plane of the substrate. It is contemplated that one or more of the outlet ports 604, 606 may be disposed in other regions of the chamber and that the outlet ports 604, 606 may be disposed on separate nozzles 608 ⁇ i.e., one port per nozzle).
  • a pedestal assembly 616 is disposed in the interior volume 606 of the processing chamber 600 below the nozzle 608.
  • the pedestal assembly 616 holds the substrate 614 during processing.
  • the pedestal assembly 616 generally includes a plurality of lift pins (not shown) disposed therethrough that are configured to lift the substrate from the pedestal assembly 616 and facilitate exchange of the substrate 614 with a robot (not shown) in a conventional manner.
  • the pedestal assembly 616 includes a mounting plate 662, a base 664 and an electrostatic chuck 666.
  • the mounting plate 662 is coupled to the bottom 612 of the chamber body 630 and includes passages for routing utilities, such as fluids, power lines and sensor leads, among others, to the base 664 and chuck 666.
  • At least one of the electrostatic chuck 666 or base 664 includes at least one optional embedded heater 676, at least one optional embedded isolator 674, and a plurality of conduits fluidly coupled to a fluid source 672 that provides a temperature regulating fluid therethrough.
  • one heater 676 is illustratively shown in the electrostatic chuck 666 coupled to a power supply 678 while two conduits 668, 670 separated by one annular isolator 674 are shown in the base 664.
  • the conduits 668, 670 and heater 676 may be utilized to control the temperature of the pedestal assembly 616, thereby heating and/or cooling the electrostatic chuck 666, thereby controlling, at least in part, the temperature of a substrate 614 disposed on the electrostatic chuck 666.
  • the two separate cooling passages 668, 670 formed in the base 664 define at least two independently controllable temperature zones. It is contemplated that additional cooling passages and/or the layout of the passages may be arranged to define additional temperature control zones.
  • the second cooling passage 668 is arranged radially inward of the second cooling passage 670 such that the temperature control zones are concentric. It is contemplated that the passages 668, 670 may radially orientated, or have other geometric configurations.
  • the cooling passages 668, 670 may be coupled to a single source 672 of a temperature controlled heat transfer fluid, or may be respectively coupled to a separate heat transfer fluid source.
  • the isolator 674 is formed from a material having a different coefficient of thermal conductivity than the material of the adjacent regions of the base 664. In one embodiment, the isolator 674 has a smaller coefficient of thermal conductivity than the base 664. In the embodiment depicted in Figure 6, the base 664 is formed from aluminum or other metallic material. In a further embodiment, the isolator 674 may be formed from a material having an anisotropic (i.e. direction-dependent coefficient of thermal conductivity). The isolator 674 functions to locally change the rate of heat transfer between the pedestal assembly 616 through the base 664 to the conduits 668, 670 relative to the rate of heat transfer though neighboring portions of the base 664 not having an isolator in the heat transfer path. An isolator 674 is laterally disposed between the first and second cooling passages 668, 670 to provide enhanced thermal isolation between the temperature control zones defined through the pedestal assembly 616.
  • the isolator 674 is disposed between the conduits 668, 670, thereby hindering lateral heat transfer and promoting lateral temperature control zones across the pedestal assembly 616.
  • the temperature profile of the electrostatic chuck 666, and the substrate 614 seated thereon may be controlled.
  • the isolator 674 is depicted in Figure 6 shaped as an annular ring, the shape of the isolator 674 may take any number of forms.
  • An optional thermally conductive paste or adhesive may be disposed on between the base 664 and the electrostatic chuck 666.
  • the conductive paste facilitates heat exchange between the electrostatic chuck 666 and the base 664.
  • the adhesive mechanically bonds the electrostatic chuck 666 to base 664.
  • the pedestal assembly 616 may include a hardware (e.g., clamps, screws, and the like) adapted for fastening the electrostatic chuck 666 to the base 664.
  • the temperature of the electrostatic chuck 666 and the base 664 is monitored using a plurality of sensors.
  • a first temperature sensor 690 and a second temperature sensor 692 are shown in a radially spaced orientation such that the first temperature sensor 690 may provide the controller 650 with a metric indicative of the temperature of a center region of the pedestal assembly 616 while the second temperature sensor 692 provide the controller 640 with a metric indicative of the temperature of a perimeter region of the pedestal assembly 616.
  • the electrostatic chuck 666 is disposed on the base 664 and is circumscribed by a cover ring 648.
  • the electrostatic chuck 666 may be fabricated from aluminum, ceramic or other materials suitable for supporting the substrate 614 during processing. In one embodiment, the electrostatic chuck 666 is ceramic. Alternatively, the electrostatic chuck 666 may be replaced by a vacuum chuck, mechanical chuck, or other suitable substrate support.
  • the electrostatic chuck 666 is generally formed from ceramic or similar dielectric material and comprises at least one clamping electrode 680 controlled using a chucking power source 682.
  • the electrode 680 may optionally be coupled to one or more RF power sources for maintaining a plasma formed from process and/or other gases within the processing chamber 600.
  • the electrode 680 is coupled to an RF power source and matching circuit 684 capable of producing an RF signal suitable for maintaining a plasma formed from the process gases within the chamber.
  • the electrostatic chuck 666 may also include a plurality of gas passages (not shown), such as grooves, that are formed in a substrate supporting surface of the chuck and fluidly coupled to a source (also not shown) of a heat transfer (or backside) gas.
  • the backside gas e.g., helium (He)
  • He helium
  • the substrate supporting surface of the electrostatic chuck is provided with a coating resistant to the chemistries and temperatures used during processing the substrates.
  • Figures 7-9 are a flow diagrams of embodiments of etch processes 700, 800, 900 that may be practiced in the chamber 100, or other suitable processing chamber. Each process may be utilized to fabricate structures depicted in Figures 10A-F and 11A-B. Although the processes 700, 800, 900 are illustrated for forming a gate structure in Figures 10A-F and a shallow trench isolation (STI) structure in Figures 11A-C, the processes may also be beneficially utilized to etch other structures. The processes 700, 800, 900 may be utilized to control the lateral distribution of etch process results.
  • STI shallow trench isolation
  • the processes 700, 800, 900 may be utilized to produce a substantially uniform center to edge distribution of etch process results, wherein the process results include at least one of etch depth, CD bias, microloading, sidewall profile, passivation, etch rate, step coverage, feature taper angles and undercutting, among others.
  • the process 700 of Figure 7 begins at step 702 by determining a substrate temperature target profile that corresponds to a uniform deposition rate of etch by-products on a substrate.
  • a temperature of a first portion of a substrate support is preferentially regulated relative to a second portion of the substrate support to obtain the substrate temperature target profile on the substrate.
  • the substrate is etched on the preferentially regulated substrate support.
  • the process 800 of Figure 8 begins at step 802 by providing a first process control knob to effect a first process condition, wherein the first process condition is represented by a first distribution of process results.
  • a second process control knob to effect a second process condition is provided, wherein the second process condition is represented by a second distribution of process results.
  • both of the first and second process control knobs are set to a predetermined setting to produce a third distribution of process results, wherein the third distribution of process results is different from the first and second distributions of process results.
  • a substrate disposed on a substrate support in a processing chamber having the first and second process control knobs set to the predetermined setting is etched, wherein the first process control knob selects locations of gas injection into the processing chamber, and the second process control knob selects a temperature profile of the substrate support.
  • the process 900 of Figure 900 begins at step 902 by providing a substrate in a processing chamber having a selectable distribution of species within the processing chamber and a substrate support with lateral temperature control, wherein a temperature profile induced by the substrate support and a selection of species distribution comprise a control parameter set.
  • a first layer of material is etched using a first control parameter set.
  • etching a second layer of material is etched using a second control parameter set, wherein the first and second control parameter sets are different. It is contemplated that the method 900 may be practiced during incremental etching of a single layer, wherein each incremental etch step is treated as a layer etching step.
  • the etching methods 700, 800, 900 may be utilized to fabricate a gate structure, as illustrated in the sequence of Figures 10A-F. It is contemplated that the setting and/or adjustment of control knobs, species distribution, process gas flow orientation, process gas injection position and temperature profile of the substrate and/or substrate support may be implemented during the etching of any one of the layers of the films stack 1000 or between etching respective layers.
  • a film stack 1000 includes a photoresist layer 1002, a BARC layer 1004, a hardmask layer 1006, a gate electrode layer 1008 and a gate dielectric layer disposed on a substrate 1014.
  • the gate dielectric layer may include a high-k layer 1010 and an optional underlying polysilicon layer 1012.
  • the substrate 1014 may be any one of semiconductor substrates, silicon substrates, glass substrates and the like.
  • the layers that comprise the film stack 1000 may be formed using one or more suitable conventional deposition techniques, such as atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), and the like.
  • the film stack 300 may be deposited using the respective processing modules of CENTURA ® , PRODUCER ® , ENDURA ® and other semiconductor substrate processing systems available from Applied Materials, Inc. of Santa Clara, California, among other module manufacturers.
  • portions of the BARC layer 1004 are exposed through one or more openings 1016 formed in the patterned photoresist layer 1002.
  • the film stack is etched through the openings 1016 to define the gate structure.
  • Etching of the film stack 1000 includes first etching the BARC layer 1004.
  • the BARC 1004 layer is typically an organic material utilized to facilitate patterning the photoresist layer 1002.
  • the flow of process gases into the processing chamber may be split about equally between the first outlet port 604 and the second outlet port 606 to control the distribution of species within the processing chamber.
  • etching of the BARC layer 1004 may range from providing 100 percent of the flow from the outlet port 604 to providing 100 percent of the flow from the outlet port 606, including entire range of port 604 to port 606 flow ratios defined therebetween.
  • the opening 1016 is utilized to etch the hard mask layer 1006 as shown in Figure 10C.
  • the hard mask layer 1006 may be SiO 2 , SiO 3 , SiON or other suitable material. During etching of the hard mask layer 1006, at least about 50 percent of the process gas flow entering the processing chamber may be provided from the outlet port 606. In other embodiments, the hard mask layer etch may utilize substantially equal flow distribution between the outlet ports 604, 606, or a ratio of about 25:75 between the ports 604, 606. In another embodiment, the process gas flow is preferentially provided from the outlet port 606. Once the hard mask layer 1006 has been etched, the gate electrode layer 1008 is etched as shown in Figure 10D.
  • the gate electrode layer 1008 may comprise a polysilicon layer or a metal layer disposed on a polysilicon layer.
  • the polysilicon layer may be ⁇ -Si or c-Si.
  • Suitable metal layers for use in the gate electrode layer 1008 include tungsten (W), tungsten nitride (WN), tungsten suicide (WSi), tungsten polysilicon (W/poly), tungsten alloy, tantalum (Ta), tantalum nitride (TaN), tantalum silicon nitride (TaSiN), titanium nitride (TiN), alone or combinations thereof.
  • Etching of the gate dielectric layer 1008 may be segmented into main, soft landing and over etch steps.
  • the main and soft landing steps may flow process gases preferentially through the outlet port 604, while the over etch step provides substantially equal flow between the outlet ports 604, 606.
  • the over etch step may preferentially flow process gases through the outlet port 606.
  • Process gases suitable for etching the gate electrode layer 1008 generally include at least one of HBr, BCI 3 , HCI, chlorine gas (Cl 2 ), nitrogen trifluoride (NF 3 ), sulfur hexafluoride gas (SF 6 ), and carbon and fluorine containing gas, such as CF 4 , CHF 3 , C 4 F 8 , among others.
  • the chamber pressure regulated between about 2mTorr to about 100mTorr.
  • RF source power may be applied to maintain a plasma formed from the process gas in the range of about 100 Watts to about 1500 Watts.
  • the gate dielectric layer is etched. Suitable examples of gate dielectric layer materials include, but not limited to, an oxide layer, a nitrogen-containing layer, a composite of oxide and nitrogen-containing layer, at least one or more oxide layers sandwiching a nitrogen-containing layer, among others.
  • the gate dielectric layer material is a high-k material (high-k materials have dielectric constants greater than 4.0).
  • high-k materials include hafnium dioxide (HfO 2 ), zirconium dioxide (ZrO 2 ), hafnium silicon oxide (HfSiO 2 ), zirconium silicon oxide (ZrSiO 2 ), tantalum dioxide (TaO 2 ), aluminum oxide, aluminum doped hafnium dioxide, bismuth strontium titanium (BST), and platinum zirconium titanium (PZT) 1 among others.
  • the gate dielectric layer is shown as a high-k layer 1010 and a polysilicon layer 1012.
  • the polysilicon layer 1012 may be etched as discussed above.
  • the high-k layer 1010 may be etched by exposing the layer 1010 to a plasma comprising carbon monoxide and a halogen containing gas.
  • the photoresist layer 1002 may be removed as shown in Figure 1OF, using a stripping process, such as by exposure to an oxygen-containing plasma.
  • the etching methods 700, 800, 900 may also be utilized to fabricate a a shallow trench isolation (STI) structure, as illustrated in the sequence of Figures 11A-C.
  • STI shallow trench isolation
  • control knobs, species distribution, process gas flow orientation, process gas injection position and temperature profile of the substrate and/or substrate support may be implemented during the etching of any one of the layers of the films stack or between etching respective layers.
  • the film stack 1100 is provided that includes a photoresist layer 1102, and a polysilicon layer 1104 disposed on a substrate 1106.
  • the substrate 1106 may be any one of semiconductor substrates, silicon substrates, glass substrates and the like.
  • portions of the polysilicon layer 1104 are exposed through one or more openings 1108 formed in the patterned photoresist layer 1102.
  • the film stack is etched through the openings 1108 to define the shallow trench isolation (STI) structure.
  • STI shallow trench isolation
  • the polysilicon layer 1104 is etched using a halogen-containing gas, such as Cl 2 , BCI 3 , HCI, HBr, CF 4 and the like, as shown in Figure 11 B.
  • the etching of the polysilicon layer may be performed cyclically with passivation deposition steps.
  • the etching of the polysilicon layer include main etch, soft landing and over etch steps, wherein the methods 700, 800, 900 may be performed at least at any one of the etch steps as discussed above.
  • the photoresist layer 1102 may be removed as shown in Figure 11 C, using a stripping process, such as by exposure to an oxygen-containing plasma.
  • an etch process has been provided that enables the control of the distribution of process results laterally across the surface of a substrate.
  • the inventive process enables complimentary process control attributed to be adjusted to obtain substantially uniform center to edge distribution of etch depth, CD bias, microloading, sidewall profile, passivation, etch rate, step coverage, feature taper angles and undercutting and the like.

Abstract

Embodiments of the invention generally provide methods for etching a substrate. In one embodiment, the method includes determining a substrate temperature target profile that corresponds to a uniform deposition rate of etch by-products on a substrate, preferentially regulating a temperature of a first portion of a substrate support relative to a second portion of the substrate support to obtain the substrate temperature target profile on the substrate, and etching the substrate on the preferentially regulated substrate support. In another embodiment, the method includes providing a substrate in a processing chamber having a selectable distribution of species within the processing chamber and a substrate support with lateral temperature control, wherein a temperature profile induced by the substrate support and a selection of species distribution comprise a control parameter set, etching a first layer of material and etching a second layer of material respectively different control parameter sets.

Description

METHOD FOR ETCHING HAVING A CONTROLLED DISTRIBUTION OF PROCESS RESULTS
BACKGROUND OF THE INVENTION Field of the Invention
[0001] Embodiments of the present invention generally relate to a method of etching. More specifically, the invention relates to a method for etching having a controlled distribution of process results.
Background
[0002] In manufacture of integrated circuits, precise control of various process parameters is required for achieving consistent results within a substrate, as well as the results that are reproducible from substrate to substrate. During processing, changes in the temperature and temperature gradients across the substrate may be detrimental to material deposition, etch rate, step coverage, feature taper angles, and other parameters of semiconductor devices. As such, generation of the pre-determined pattern of temperature distribution across the substrate is one of critical requirements for achieving high yield.
[0003] The 2003 edition of the International Technology Roadmap for Semiconductors states that reduction in the transistor gate critical dimension (CD) will be a key challenge for etch technology in the future. Therefore, much work has been done to study the influence of gate etch process parameters on the ability to control CD's, since the gate CD contributes significantly to the ultimate performance of a device. Several different strategies for gate CD control have been published, including photoresist trimming and control of the gate hard mask etch chemistry. The former approach reduces the photoresist dimension below what is possible lithographically by lateral etching of the photoresist, while the latter approach relies on etch by-products redeposited on the sidewalls during the hard mask etch to passivate and control the amount of lateral etching relative to vertical etching. Sidewall passivation by etch by- products is not limited only to the hard mask etch step, but also occurs during the gate main etch, soft landing, and over etch steps.
[0004] The rate of this redeposition of etch by-products is expected to follow both the gas phase concentration of by-products and the sticking coefficient of those by-products. Sticking coefficients have been used in gas-surface reaction mechanisms to describe the probability of an incident gas phase species adsorbing to a surface, and they are typically approximated as the ratio of the number of species that are reactively adsorbed on a surface to the total number of incident species.
[0005] However, conventional substrate pedestals have insufficient means for controlling substrate temperature distribution across the diameter of the substrate. The inability to control substrate temperature uniformity has an adverse effect on process uniformity both within a single substrate and between substrates, device yield and overall quality of processed substrates. [0006] Therefore, there is a need in the art for an improved method for etching a substrate.
SUMMARY OF THE INVENTION
[0007] Embodiments of the invention generally provide methods for etching a substrate. In one embodiment, the method for etching a substrate includes determining a substrate temperature target profile that corresponds to a uniform deposition rate of etch by-products on a substrate, preferentially regulating a temperature of a first portion of a substrate support relative to a second portion of the substrate support to obtain the substrate temperature target profile on the substrate, and etching the substrate on the preferentially regulated substrate support.
[0008] In another embodiment, the method includes providing a first process control knob to effect a first process condition, wherein the first process condition is represented by a first distribution of process results; providing a second process control knob to effect a second process condition, wherein the second process condition is represented by a second distribution of process results; setting both of the first and second process control knobs to a predetermined setting to produce a third distribution of process results, wherein the third distribution of process results is different from the first and second distributions of process results; and, etching a substrate disposed on a substrate support in a processing chamber having the first and second process control knobs set to the predetermined setting, wherein the first process control knob selects locations of gas injection into the processing chamber, and the second process control knob selects a temperature profile of the substrate support.
[0009] In yet another embodiment, the method includes providing a substrate in a processing chamber having a selectable distribution of species within the processing chamber and a substrate support with lateral temperature control, wherein a temperature profile induced by the substrate support and a selection of species distribution comprise a control parameter set, etching a first layer of material using a first control parameter set, and etching a second layer of material using a second control parameter set, wherein the first and second control parameter sets are different.
BRIEF DESCRIPTION OF THE DRAWINGS
[0010] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0011] Figures 1A-B are a schematic of the gate etch process;
[0012] Figure 2 is a graph illustrating a relationship between average CD bias, substrate temperature and calculated sticking coefficient;
[0013] Figure 3 is a graph illustrating a relationship between process mass fraction and normalized distance;
[0014] Figure 4 is a graph illustrating a relationship between etch by-product flux and substrate radius; [0015] Figure 5 is a graph illustrating a relationship between CD bias and substrate radius;
[0016] Figure 6 is a schematic diagram of an exemplary semiconductor substrate processing chamber in accordance with one embodiment of the invention;
[0017] Figures 7-9 are a flow diagrams of embodiments of etch processes that may be practiced in the chamber of Figure 6, or other suitable processing chamber;
[0018] Figures 10A-F illustrate one embodiment of a sequence for fabricating a structure that may be etched using the method of Figure 7, Figure 8 and/or the method of Figure 9; and
[0019] Figures 11 A-B illustrate one embodiment of a sequence for fabricating a structure that may be etched using the method of Figure 7, Figure
8 and/or the method of Figure 9.
[0020] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is also contemplated that elements and features of one embodiment may be beneficially incorporated on other embodiments without further recitation.
DETAILED DESCRIPTION
[0021] A schematic of the gate etch process is shown in Figures 1A-B. We have experimentally observed a strong dependence of gate etch CD bias on the substrate temperature, and now disclose this relationship, and demonstrate the dependence of the gate etch by-product sticking coefficient on substrate temperature which has enabled the control of process result distribution across a substrate.
[0022] The rate of this redeposition of etch by-products is expected to follow both the gas phase concentration of by-products and the sticking coefficient of those by-products. Sticking coefficients have been used in gas-surface reaction mechanisms to describe the probability of an incident gas phase species 102 adsorbing to a surface (shown as a gate structure 100) and they are typically approximated as the ratio of the number of species that are reactiveiy adsorbed on a surface to the total number of incident species. Analysis of the dependence of the sticking coefficient on surface temperature has been used to describe impurity levels during the epitaxial growth of silicon films and the step coverage deposition behavior of silicon dioxide on substrates. Both models relate the sticking coefficient to the competition between the adsorption, desorption, and reaction rates for the gas phase species on the surface. Therefore, negative values of the sticking coefficient can be interpreted as etch yields. Using the equations of Bennet et al., combined with Langmuir adsorption theory, the temperature dependence of s* can be expressed as
Figure imgf000007_0001
[0024] where P is the partial pressure of the etch by-products, NA is the Avogadro number, M is the molecular weight of the adsorbing species, R is the universal gas constant, T is the temperature, and Eeff is the difference between the energies for desorption and the surface reaction. It is assumed that the etch by-products redeposit equally on any surface site regardless of previous history, so surface coverage can be neglected. This assumption is reasonable since the observed thicknesses of passivating layers during gate etch are typically greater than that of a single monolayer.
[0025] Two important etch process parameters that can be extracted directly from Eq. (1) are the flux of species to the surface and the substrate temperature. Both of these tunable recipe parameters are likely to have a significant impact on the sticking coefficient of passivating species on the gate sidewalls, and hence, the gate CD bias after etching. The obvious complication in using Eq. (1) is the Raas term, which is not easily determined and has some temperature dependence itself. For this analysis, the Rads term will be used as a fitting parameter and will be further explained later.
[0026] To test the influence of the species flux and substrate temperature on the gate etch process, patterned substrates with a poly-silicon gate stack were generated. The photomask used to pattern the substrates was designed for the 90 nm technology node. Etch experiments were carried out in an Applied Materials Centura® DPS® Etch system configured with a DPS Il Silicon Etch chamber. The substrates were etched using a four step process (breakthrough, main etch, soft landing, and over etch) using standard gate etch chemistries. Pre and post etch CD's were measured on an Applied Materials VeraSEM® Metrology system, (new naming convention)
[0027] The impact of substrate temperature on average CD bias (where CD bias is defined as post etch CD - pre etch CD) can be seen clearly in Figure 2. The data show that increasing substrate temperature results in the average gate linewidth becoming narrower, which is consistent with the theory of fewer passivating species on the gate sidewall at higher temperatures. The best fit curve for the sticking coefficient shown in Figure 2 closely tracks the average CD bias data and was calculated using Eq. (1) where Eβff was assumed to be 0.250 eV and Rads = 9E13 atoms/cm2s. To ensure that this value of the fitting parameter, RadSl is reasonable, an independent calculation of Rads can be made using the CD bias data as shown in Eq. (2):
(CDBias)pNA [0028] Rads = 2Mt (2)
[0029] Indeed, the average value for Rads obtained with Eq. (2) agree well with the value obtained through the fitting procedure for the temperature range under consideration. The relationship between the average CD bias of these three runs and the substrate temperature indicates an average rate of change of -0.8607 nm / °C. The corresponding percentage change in sticking coefficient, s*, would be -0.2% / °C. The calculated range of sticking coefficients shown in Figure 2 is also consistent with values obtained for CF2 radicals incident on a powered Si electrode.
[0030] The one sigma error bars on the CD bias averages in Figure 2 are a measure of the within substrate CD bias nonuniformity. The degree of nonuniformity is consistent for all three substrate temperatures, with the observed linewidths at the edge regions typically being smaller than those in the center region. Measurements of within substrate temperature uniformities have indicated that the substrate temperature range is less than ± 1 0C for conditions similar to these runs, suggesting that the observed within substrate linewidth nonuniformity in these cases is due to something in addition to the substrate temperature.
[0031] Previous work has demonstrated that a decrease in CD bias at the substrate edge can be caused by a decrease in by-product concentration in this region of the substrate. This concentration gradient is produced by a more efficient removal of the etch by-products at the substrate edge relative to the substrate center. As a result, the local adsorption rate at the substrate edge is decreased in the immediate vicinity of the adsorption site, i.e., the gate sidewall, for a given substrate temperature. This local partial pressure of passivating species can be controlled in part by the location of feed gas injection into the chamber. Figure 3 shows simulation results comparing three different gas injection schemes. When gas is injected at the top of the chamber in a direction normal to the substrate surface (labeled center gas feed in Figure 3), the density of precursor species actually decreases in the center due to the increase in gas velocity as a result of increased convective flow. On the contrary, when gas is injected at the top of the chamber in a direction parallel to the substrate surface (labeled side gas feed in Figure 3), the flow to the substrate surface is more diffusive, and a more uniform distribution of precursor species results.
[0032] Using the relationship between substrate temperature and sticking coefficient as well as knowledge of the etch by-product distribution within the etch chamber, within substrate CD bias uniformity can be optimized by introducing multiple temperature zones in the electrostatic chuck (ESC). The radial distribution of the etch by-products for a typical gate etch process and the corresponding radial requirement for sticking coefficient are shown in Figure 4. Since the change in sticking coefficient with temperature is approximately linear for small temperature ranges, the predicted temperature profile will mirror the local gas phase species concentration quite closely. Therefore, the desired substrate temperature is required to be lower for the edge region of the substrate to compensate for the decrease in passivating species caused by pumping. In effect, this local substrate surface temperature decrease increases the sticking coefficient of the passivating species to maintain a constant and uniform flux of adsorbed species to the substrate surface, and hence, uniform gate linewidths.
[0033] Figure 5 shows three cases: a substrate at uniform temperature, an optimized condition with a dual-zone ESC, and an intentionally mistuned process to demonstrate the ability to control CD bias across the substrate. Whereas smaller gate linewidths at the substrate edge are observed in Figure 5 for the uniform substrate temperature condition, a marked improvement in center to edge CD bias uniformity can be achieved when the temperature of the ESC is divided into two zones, where the outer zone is at a lower temperature than the inner zone. The range of CD bias for the ESC at uniform temperature is 15.3 nm, and the range of CD bias for the dual zone ESC is 9.5 nm, an improvement of 37.9%. The third case shows the condition of an exaggerated center to edge substrate temperature difference, which results in the CD bias being intentionally tuned toward positive values to demonstrate the ability to control CD bias with substrate temperature. At the lowest substrate temperatures, more by-products absorb on the sidewalls and result in an inverse effect where the edge linewidths become larger than those of the substrate center.
[0034] In summary, it has been shown that equilibrium adsorption theory can be used to help explain observed trends in CD bias uniformity during the transistor gate etch process. In particular, the temperature dependence of the sticking coefficient of etch by-products is significant. Therefore, an ESC with multiple, independently controllable temperature zones, such as found in the DPS Il Silicon Etch chamber, is most desirable for critical etch applications such as gate etch. It is likely that similar phenomena occur during other etch applications where sidewall passivation is critical to CD performance, such as the etching of aluminum lines or the dielectric etching of contacts and vias. [0035] The etch processes described herein may be performed in any suitably adapted plasma etch chamber, for example, a HART etch reactor, a HART TS etch reactor, a Decoupled Plasma Source (DPS), DPS-II, or DPS Plus, or DPS DT etch reactor of a CENTURA® etch system, all of which are available from Applied Materials, Inc. of Santa Clara, California. Plasma etch chambers from other manufacturers may also be adapted to perform the invention. The DPS reactor uses a 13.56 MHz inductive plasma source to generate and sustain a high density plasma and a 13.56 MHz source bias power to bias a substrate. The decoupled nature of the plasma and bias sources allows independent control of ion energy and ion density. The DPS reactor provides a wide process window over changes in source and bias power, pressure, and etchant gas chemistries and uses an endpoint system to determine an end of the processing.
[0036] Figure 6 depicts a schematic diagram of an exemplary etch reactor 600 that may illustratively be used to practice the invention. The particular embodiment of the etch reactor 600 shown herein is provided for illustrative purposes and should not be used to limit the scope of the invention. [0037] Etch reactor 600 generally includes a process chamber 610, a gas panel 638 and a controller 640. The process chamber 610 includes a conductive body (wall) 630 and a ceiling 620 that enclose a process volume. Process gasses are provided to the process volume of the chamber 610 from the gas panel 638.
[0038] The controller 640 includes a central processing unit (CPU) 644, a memory 642, and support circuits 646. The controller 640 is coupled to and controls components of the etch reactor 600, processes performed in the chamber 610, as well as may facilitate an optional data exchange with databases of an integrated circuit fab.
[0039] In the depicted embodiment, the ceiling 620 is a substantially flat member. Other embodiments of the process chamber 610 may have other types of ceilings, e.g., a dome-shaped ceiling. Above the ceiling 620 is disposed an antenna 612 comprising one or more inductive coil elements (two co-axial coil elements are illustratively shown). The antenna 612 is coupled to a matching network and radio-frequency (RF) plasma power source 618. Power is applied to the antenna 612 and inductively coupled to a plasma formed in the chamber 100 during processing. The chamber 100 may alternatively utilize capacitive plasma coupling using a power source 684, as described further below.
[0040] The gas panel 638 is coupled to one or more nozzles so that the flow through into the chamber may be controlled to control the distribution of species within the chamber. The one or more nozzles are configured and/or arranged to effect at least one of the process gas flow location, flow orientation of the process gas or species distribution within the chamber.
[0041] In one embodiment, a nozzle 608 having at least two outlet ports 604, 606 is provided coupled to the ceiling 620 of the chamber body 610. The outlet ports 604, 606 configured to respectively induce a direct and indirect orientation of gas flow into the chamber. For example, the first outlet port 604 may provide a direct gas flow orientation, i.e., produce a gas flow entering the chamber oriented substantially normal to the surface. The second outlet port 606 may provide an indirect gas flow orientation, i.e., produce a gas flow entering the chamber oriented substantially parallel to the surface, or in another embodiment, directed at an incidence angle of less than or equal to 60 degrees relative to a plane of the substrate. It is contemplated that one or more of the outlet ports 604, 606 may be disposed in other regions of the chamber and that the outlet ports 604, 606 may be disposed on separate nozzles 608 {i.e., one port per nozzle).
[0042] A pedestal assembly 616 is disposed in the interior volume 606 of the processing chamber 600 below the nozzle 608. The pedestal assembly 616 holds the substrate 614 during processing. The pedestal assembly 616 generally includes a plurality of lift pins (not shown) disposed therethrough that are configured to lift the substrate from the pedestal assembly 616 and facilitate exchange of the substrate 614 with a robot (not shown) in a conventional manner.
[0043] In one embodiment, the pedestal assembly 616 includes a mounting plate 662, a base 664 and an electrostatic chuck 666. The mounting plate 662 is coupled to the bottom 612 of the chamber body 630 and includes passages for routing utilities, such as fluids, power lines and sensor leads, among others, to the base 664 and chuck 666. [0044] At least one of the electrostatic chuck 666 or base 664 includes at least one optional embedded heater 676, at least one optional embedded isolator 674, and a plurality of conduits fluidly coupled to a fluid source 672 that provides a temperature regulating fluid therethrough. In the embodiment depicted in Figure 6, one heater 676 is illustratively shown in the electrostatic chuck 666 coupled to a power supply 678 while two conduits 668, 670 separated by one annular isolator 674 are shown in the base 664. The conduits 668, 670 and heater 676 may be utilized to control the temperature of the pedestal assembly 616, thereby heating and/or cooling the electrostatic chuck 666, thereby controlling, at least in part, the temperature of a substrate 614 disposed on the electrostatic chuck 666.
[0045] The two separate cooling passages 668, 670 formed in the base 664 define at least two independently controllable temperature zones. It is contemplated that additional cooling passages and/or the layout of the passages may be arranged to define additional temperature control zones. In one embodiment, the second cooling passage 668 is arranged radially inward of the second cooling passage 670 such that the temperature control zones are concentric. It is contemplated that the passages 668, 670 may radially orientated, or have other geometric configurations. The cooling passages 668, 670 may be coupled to a single source 672 of a temperature controlled heat transfer fluid, or may be respectively coupled to a separate heat transfer fluid source.
[0046] The isolator 674 is formed from a material having a different coefficient of thermal conductivity than the material of the adjacent regions of the base 664. In one embodiment, the isolator 674 has a smaller coefficient of thermal conductivity than the base 664. In the embodiment depicted in Figure 6, the base 664 is formed from aluminum or other metallic material. In a further embodiment, the isolator 674 may be formed from a material having an anisotropic (i.e. direction-dependent coefficient of thermal conductivity). The isolator 674 functions to locally change the rate of heat transfer between the pedestal assembly 616 through the base 664 to the conduits 668, 670 relative to the rate of heat transfer though neighboring portions of the base 664 not having an isolator in the heat transfer path. An isolator 674 is laterally disposed between the first and second cooling passages 668, 670 to provide enhanced thermal isolation between the temperature control zones defined through the pedestal assembly 616.
[0047] In the embodiment depicted in Figure 6, the isolator 674 is disposed between the conduits 668, 670, thereby hindering lateral heat transfer and promoting lateral temperature control zones across the pedestal assembly 616. Thus, by controlling the number, shape, size, position and coefficient of heat transfer of the inserts, the temperature profile of the electrostatic chuck 666, and the substrate 614 seated thereon, may be controlled. Although the isolator 674 is depicted in Figure 6 shaped as an annular ring, the shape of the isolator 674 may take any number of forms.
[0048] An optional thermally conductive paste or adhesive (not shown) may be disposed on between the base 664 and the electrostatic chuck 666. The conductive paste facilitates heat exchange between the electrostatic chuck 666 and the base 664. In one exemplary embodiment, the adhesive mechanically bonds the electrostatic chuck 666 to base 664. Alternatively (not shown), the pedestal assembly 616 may include a hardware (e.g., clamps, screws, and the like) adapted for fastening the electrostatic chuck 666 to the base 664. [0049] The temperature of the electrostatic chuck 666 and the base 664 is monitored using a plurality of sensors. In the embodiment depicted in Figure 6, a first temperature sensor 690 and a second temperature sensor 692 are shown in a radially spaced orientation such that the first temperature sensor 690 may provide the controller 650 with a metric indicative of the temperature of a center region of the pedestal assembly 616 while the second temperature sensor 692 provide the controller 640 with a metric indicative of the temperature of a perimeter region of the pedestal assembly 616.
[0050] The electrostatic chuck 666 is disposed on the base 664 and is circumscribed by a cover ring 648. The electrostatic chuck 666 may be fabricated from aluminum, ceramic or other materials suitable for supporting the substrate 614 during processing. In one embodiment, the electrostatic chuck 666 is ceramic. Alternatively, the electrostatic chuck 666 may be replaced by a vacuum chuck, mechanical chuck, or other suitable substrate support. [0051] The electrostatic chuck 666 is generally formed from ceramic or similar dielectric material and comprises at least one clamping electrode 680 controlled using a chucking power source 682. The electrode 680 (or other electrode disposed in the chuck 666 or base 664) may optionally be coupled to one or more RF power sources for maintaining a plasma formed from process and/or other gases within the processing chamber 600. In the embodiment depicted in Figure 6, the electrode 680 is coupled to an RF power source and matching circuit 684 capable of producing an RF signal suitable for maintaining a plasma formed from the process gases within the chamber. [0052] The electrostatic chuck 666 may also include a plurality of gas passages (not shown), such as grooves, that are formed in a substrate supporting surface of the chuck and fluidly coupled to a source (also not shown) of a heat transfer (or backside) gas. In operation, the backside gas (e.g., helium (He)) is provided at controlled pressure into the gas passages to enhance the heat transfer between the electrostatic chuck 666 and the substrate 614. Conventionally, at least the substrate supporting surface of the electrostatic chuck is provided with a coating resistant to the chemistries and temperatures used during processing the substrates.
[0053] Figures 7-9 are a flow diagrams of embodiments of etch processes 700, 800, 900 that may be practiced in the chamber 100, or other suitable processing chamber. Each process may be utilized to fabricate structures depicted in Figures 10A-F and 11A-B. Although the processes 700, 800, 900 are illustrated for forming a gate structure in Figures 10A-F and a shallow trench isolation (STI) structure in Figures 11A-C, the processes may also be beneficially utilized to etch other structures. The processes 700, 800, 900 may be utilized to control the lateral distribution of etch process results. For example, the processes 700, 800, 900 may be utilized to produce a substantially uniform center to edge distribution of etch process results, wherein the process results include at least one of etch depth, CD bias, microloading, sidewall profile, passivation, etch rate, step coverage, feature taper angles and undercutting, among others.
[0054] The process 700 of Figure 7 begins at step 702 by determining a substrate temperature target profile that corresponds to a uniform deposition rate of etch by-products on a substrate. At step 704, a temperature of a first portion of a substrate support is preferentially regulated relative to a second portion of the substrate support to obtain the substrate temperature target profile on the substrate. At step 706, the substrate is etched on the preferentially regulated substrate support.
[0055] The process 800 of Figure 8 begins at step 802 by providing a first process control knob to effect a first process condition, wherein the first process condition is represented by a first distribution of process results. At step 804, a second process control knob to effect a second process condition is provided, wherein the second process condition is represented by a second distribution of process results. At step 806, both of the first and second process control knobs are set to a predetermined setting to produce a third distribution of process results, wherein the third distribution of process results is different from the first and second distributions of process results. At step 808, a substrate disposed on a substrate support in a processing chamber having the first and second process control knobs set to the predetermined setting is etched, wherein the first process control knob selects locations of gas injection into the processing chamber, and the second process control knob selects a temperature profile of the substrate support.
[0056] The process 900 of Figure 900 begins at step 902 by providing a substrate in a processing chamber having a selectable distribution of species within the processing chamber and a substrate support with lateral temperature control, wherein a temperature profile induced by the substrate support and a selection of species distribution comprise a control parameter set. At step 904, a first layer of material is etched using a first control parameter set. At step 906, etching a second layer of material is etched using a second control parameter set, wherein the first and second control parameter sets are different. It is contemplated that the method 900 may be practiced during incremental etching of a single layer, wherein each incremental etch step is treated as a layer etching step.
[0057] The etching methods 700, 800, 900 may be utilized to fabricate a gate structure, as illustrated in the sequence of Figures 10A-F. It is contemplated that the setting and/or adjustment of control knobs, species distribution, process gas flow orientation, process gas injection position and temperature profile of the substrate and/or substrate support may be implemented during the etching of any one of the layers of the films stack 1000 or between etching respective layers.
[0058] Referring first to Figure 10A, a film stack 1000 is provided that includes a photoresist layer 1002, a BARC layer 1004, a hardmask layer 1006, a gate electrode layer 1008 and a gate dielectric layer disposed on a substrate 1014. The gate dielectric layer may include a high-k layer 1010 and an optional underlying polysilicon layer 1012. The substrate 1014 may be any one of semiconductor substrates, silicon substrates, glass substrates and the like. The layers that comprise the film stack 1000 may be formed using one or more suitable conventional deposition techniques, such as atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), and the like. The film stack 300 may be deposited using the respective processing modules of CENTURA®, PRODUCER®, ENDURA® and other semiconductor substrate processing systems available from Applied Materials, Inc. of Santa Clara, California, among other module manufacturers. In the embodiment shown in Figure 10A, portions of the BARC layer 1004 are exposed through one or more openings 1016 formed in the patterned photoresist layer 1002. The film stack is etched through the openings 1016 to define the gate structure.
[0059] Etching of the film stack 1000 includes first etching the BARC layer 1004. The BARC 1004 layer is typically an organic material utilized to facilitate patterning the photoresist layer 1002. During etching of the BARC layer 1004, the flow of process gases into the processing chamber may be split about equally between the first outlet port 604 and the second outlet port 606 to control the distribution of species within the processing chamber. In other embodiments, etching of the BARC layer 1004 may range from providing 100 percent of the flow from the outlet port 604 to providing 100 percent of the flow from the outlet port 606, including entire range of port 604 to port 606 flow ratios defined therebetween. After the BARC layer 1004 has been etched as shown in Figure 10B, the opening 1016 is utilized to etch the hard mask layer 1006 as shown in Figure 10C.
[0060] The hard mask layer 1006 may be SiO2, SiO3, SiON or other suitable material. During etching of the hard mask layer 1006, at least about 50 percent of the process gas flow entering the processing chamber may be provided from the outlet port 606. In other embodiments, the hard mask layer etch may utilize substantially equal flow distribution between the outlet ports 604, 606, or a ratio of about 25:75 between the ports 604, 606. In another embodiment, the process gas flow is preferentially provided from the outlet port 606. Once the hard mask layer 1006 has been etched, the gate electrode layer 1008 is etched as shown in Figure 10D.
[0061] The gate electrode layer 1008 may comprise a polysilicon layer or a metal layer disposed on a polysilicon layer. The polysilicon layer may be α-Si or c-Si. Suitable metal layers for use in the gate electrode layer 1008 include tungsten (W), tungsten nitride (WN), tungsten suicide (WSi), tungsten polysilicon (W/poly), tungsten alloy, tantalum (Ta), tantalum nitride (TaN), tantalum silicon nitride (TaSiN), titanium nitride (TiN), alone or combinations thereof. [0062] Etching of the gate dielectric layer 1008 may be segmented into main, soft landing and over etch steps. Each of these steps may have one or more processing parameters set differently in accordance with the invention. For example, the main and soft landing steps may flow process gases preferentially through the outlet port 604, while the over etch step provides substantially equal flow between the outlet ports 604, 606. In other embodiments, the over etch step may preferentially flow process gases through the outlet port 606. Process gases suitable for etching the gate electrode layer 1008 generally include at least one of HBr, BCI3, HCI, chlorine gas (Cl2), nitrogen trifluoride (NF3), sulfur hexafluoride gas (SF6), and carbon and fluorine containing gas, such as CF4, CHF3, C4F8, among others. [0063] Several process parameters are regulated while etching. In one embodiment, the chamber pressure regulated between about 2mTorr to about 100mTorr. RF source power may be applied to maintain a plasma formed from the process gas in the range of about 100 Watts to about 1500 Watts. [0064] Following etching to the gate electrode layer 1008, the gate dielectric layer is etched. Suitable examples of gate dielectric layer materials include, but not limited to, an oxide layer, a nitrogen-containing layer, a composite of oxide and nitrogen-containing layer, at least one or more oxide layers sandwiching a nitrogen-containing layer, among others. In one embodiment, the gate dielectric layer material is a high-k material (high-k materials have dielectric constants greater than 4.0). Examples of high-k materials include hafnium dioxide (HfO2), zirconium dioxide (ZrO2), hafnium silicon oxide (HfSiO2), zirconium silicon oxide (ZrSiO2), tantalum dioxide (TaO2), aluminum oxide, aluminum doped hafnium dioxide, bismuth strontium titanium (BST), and platinum zirconium titanium (PZT)1 among others.
[0065] In the embodiment depicted in Figures 10A-E, the gate dielectric layer is shown as a high-k layer 1010 and a polysilicon layer 1012. The polysilicon layer 1012 may be etched as discussed above. The high-k layer 1010 may be etched by exposing the layer 1010 to a plasma comprising carbon monoxide and a halogen containing gas. After etching the gate dielectric layer, the photoresist layer 1002 may be removed as shown in Figure 1OF, using a stripping process, such as by exposure to an oxygen-containing plasma. [0066] The etching methods 700, 800, 900 may also be utilized to fabricate a a shallow trench isolation (STI) structure, as illustrated in the sequence of Figures 11A-C. It is contemplated that the setting and/or adjustment of control knobs, species distribution, process gas flow orientation, process gas injection position and temperature profile of the substrate and/or substrate support may be implemented during the etching of any one of the layers of the films stack or between etching respective layers.
[0067] Referring first to Figure 11 A, the film stack 1100 is provided that includes a photoresist layer 1102, and a polysilicon layer 1104 disposed on a substrate 1106. The substrate 1106 may be any one of semiconductor substrates, silicon substrates, glass substrates and the like. In the embodiment shown in Figure 11 A, portions of the polysilicon layer 1104 are exposed through one or more openings 1108 formed in the patterned photoresist layer 1102. The film stack is etched through the openings 1108 to define the shallow trench isolation (STI) structure.
[0068] The polysilicon layer 1104 is etched using a halogen-containing gas, such as Cl2, BCI3, HCI, HBr, CF4 and the like, as shown in Figure 11 B. The etching of the polysilicon layer may be performed cyclically with passivation deposition steps. The etching of the polysilicon layer include main etch, soft landing and over etch steps, wherein the methods 700, 800, 900 may be performed at least at any one of the etch steps as discussed above. After etching the polysilicon layer 1104, the photoresist layer 1102 may be removed as shown in Figure 11 C, using a stripping process, such as by exposure to an oxygen-containing plasma.
[0069] Thus, an etch process has been provided that enables the control of the distribution of process results laterally across the surface of a substrate. Advantageously, the inventive process enables complimentary process control attributed to be adjusted to obtain substantially uniform center to edge distribution of etch depth, CD bias, microloading, sidewall profile, passivation, etch rate, step coverage, feature taper angles and undercutting and the like. [0070] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

What is claimed is:
1. A method for etching a substrate, comprising: determining a substrate temperature target profile that corresponds to a uniform deposition rate of etch by-products on a substrate; preferentially regulating a temperature of a first portion of a substrate support relative to a second portion of the substrate support to obtain the substrate temperature target profile on the substrate; and etching the substrate on the preferentially regulated substrate support.
2. The method of claim 1 , wherein determining the substrate temperature target profile further comprises: determining a distribution of etch by-products across a surface of the substrate; and correlating the distribution to the substrate temperature target profile.
3. The method of claim 2, wherein determining the distribution of etch byproduct further comprises: determining a sticking coefficient for a surface of the substrate.
4. The method of claim 2, wherein determining the distribution of etch byproduct further comprises: determining a flux of species to a surface of the substrate.
5. The method of claim 1 , wherein determining the substrate temperature target profile further comprises: modeling a relation between sticking of etch by-products and substrate temperature; and generating the substrate temperature target profile from the model.
6. The method of claim 1 , wherein determining the substrate temperature target profile further comprises: utilizing empirical data to generate the substrate temperature target profile.
7. A method for etching a substrate, comprising: providing a first process control knob to effect a first process condition, wherein the first process condition is represented by a first distribution of process results; providing a second process control knob to effect a second process condition, wherein the second process condition is represented by a second distribution of process results; setting both of the first and second process control knobs to a predetermined setting to produce a third distribution of process results, wherein the third distribution of process results is different from the first and second distributions of process results; and etching a substrate disposed on a substrate support in a processing chamber having the first and second process control knobs set to the predetermined setting, wherein the first process control knob selects locations of gas injection into the processing chamber, and the second process control knob selects a temperature profile of the substrate support.
8. The method of claim 7, wherein setting the first process control knob further comprises: selecting a ratio of direct to indirect gas injection orientations.
9. The method of claim 7, wherein setting the first process control knob further comprises: predominately flowing gas into the processing chamber in an orientation substantially normal to a plane of the substrate.
10. The method of claim 7, wherein setting the first process control knob further comprises: predominately flowing gas into the processing chamber in an orientation substantially parallel to a plane of the substrate.
11. The method of claim 7, wherein setting the first process control knob further comprises: controlling a local partial pressure of passivation species within the processing chamber.
12. The method of claim 7, wherein setting the first process control knob further comprises: flowing gas into the processing chamber in both direct to indirect gas injection orientations.
13. The method of claim 7, wherein setting the second process control knob further comprises: heating a first portion of the substrate support preferentially relative to a second portion.
14. The method of claim 7, wherein setting the second process control knob further comprises: heating a perimeter portion of the substrate support preferentially relative to a center portion.
15. The method of claim 7, wherein setting the second process control knob further comprises: cooling a first portion of the substrate support preferentially relative to a second portion.
16. The method of claim 7, wherein etching the substrate further comprises: a) etching a BARC layer; b) etching a hard mask layer; and c) etching a gate electrode layer, wherein at least two of the etching steps a-c are performed at different settings for at least one of the first and second process control knobs.
17. The method of claim 16, wherein etching the gate electrode layer further comprises: etching a polysilicon layer.
18. The method of claim 17, wherein etching the gate electrode layer further comprises: etching a metal material disposed on top of the polysilicon layer.
19. The method of claim 7, wherein etching the substrate further comprises: etching polysilicon to form a high aspect ratio feature.
20. The method of claim 19, wherein setting the first process control knob further comprises: flowing gas into the processing chamber in both direct to indirect gas injection orientations, wherein a ratio of direct to indirect gas flows is between 50:50 and about 0:100.
21. A method for etching a substrate, comprising: providing a substrate in a processing chamber having a selectable distribution of species within the processing chamber and a substrate support with lateral temperature control, wherein a temperature profile induced by the substrate support and a selection of species distribution comprise a control parameter set; etching a first layer of material using a first control parameter set; and etching a second layer of material using a second control parameter set, wherein the first and second control parameter sets are different.
22. The method of claim 21 , wherein etching the first and second layers further comprise: etching a mask layer; and etching polysilicon to form a high aspect ratio feature.
23. The method of claim 22, wherein the control parameter set for etching polysilicon further comprises: flowing gas into the processing chamber in both direct to indirect gas injection orientations, wherein a ratio of direct to indirect gas flows is between 50:50 and about 0:100.
24. The method of claim 21 , wherein etching the first and second layers further comprise: etching a mask layer; and etching a gate electrode layer.
25. The method of claim 24, wherein etching the gate electrode layer further comprises: etching a polysilicon layer.
26. The method of claim 25, wherein etching the gate electrode layer further comprises: etching a metal material disposed on top of the polysilicon layer.
27. The method of claim 21 further comprising: changing a distribution gas flow into the processing chamber to provide the difference between the first and second control parameter sets.
28. The method of claim 27, wherein changing a distribution of species within the processing chamber further comprises: predominately flowing gas into the processing chamber in an orientation substantially normal to a plane of the substrate.
29. The method of claim 21 further comprising: changing an orientation of gas entering the processing chamber to provide the difference between the first and second control parameter sets.
30. The method of claim 21 further comprising: changing a temperature profile of the substrate support to provide the difference between the first and second control parameter sets.
31. The method of claim 30, wherein changing the temperature profile further comprises: heating a perimeter portion of the substrate support preferentially relative to a center portion.
32. The method of claim 30, wherein changing the temperature profile further comprises: cooling a first portion of the substrate support preferentially relative to a second portion.
33. The method of claim 21 further comprising: selecting the first and second control parameter sets to produce a substantially uniform center to edge distribution of process results, wherein the process results include at least one of etch depth, CD bias, microloading, sidewall profile, passivation, etch rate, step coverage, feature taper angles and undercutting.
PCT/US2006/007525 2005-03-03 2006-03-02 Method for etching having a controlled distribution of process results WO2006094162A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN200680006797XA CN101133682B (en) 2005-03-03 2006-03-02 Method for etching having a controlled distribution of process results
DE112006000327T DE112006000327T5 (en) 2005-03-03 2006-03-02 Device for controlling the temperature of a substrate
JP2007558240A JP2008532324A (en) 2005-03-03 2006-03-02 Etching method with controlled processing result distribution

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US65869805P 2005-03-03 2005-03-03
US60/658,698 2005-03-03
US11/246,012 US8075729B2 (en) 2004-10-07 2005-10-07 Method and apparatus for controlling temperature of a substrate
US11/246,012 2005-10-07

Publications (2)

Publication Number Publication Date
WO2006094162A2 true WO2006094162A2 (en) 2006-09-08
WO2006094162A3 WO2006094162A3 (en) 2007-10-18

Family

ID=36941840

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/007525 WO2006094162A2 (en) 2005-03-03 2006-03-02 Method for etching having a controlled distribution of process results

Country Status (3)

Country Link
KR (1) KR100899244B1 (en)
DE (1) DE112006000327T5 (en)
WO (1) WO2006094162A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020167944A1 (en) * 2019-02-15 2020-08-20 Lam Research Corporation Trim and deposition profile control with multi-zone heated substrate support for multi-patterning processes

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8486726B2 (en) * 2009-12-02 2013-07-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US20030230551A1 (en) * 2002-06-14 2003-12-18 Akira Kagoshima Etching system and etching method
US20040061449A1 (en) * 2002-02-27 2004-04-01 Masatsugu Arai Plasma processing apparatus
US20040185670A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Processing system and method for treating a substrate
US20040195216A1 (en) * 2001-08-29 2004-10-07 Strang Eric J. Apparatus and method for plasma processing
US20040261721A1 (en) * 2003-06-30 2004-12-30 Steger Robert J. Substrate support having dynamic temperature control

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US20040195216A1 (en) * 2001-08-29 2004-10-07 Strang Eric J. Apparatus and method for plasma processing
US20040061449A1 (en) * 2002-02-27 2004-04-01 Masatsugu Arai Plasma processing apparatus
US20030230551A1 (en) * 2002-06-14 2003-12-18 Akira Kagoshima Etching system and etching method
US20040185670A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Processing system and method for treating a substrate
US20040261721A1 (en) * 2003-06-30 2004-12-30 Steger Robert J. Substrate support having dynamic temperature control

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
WAITS R.K.: 'Monitoring residual and process gases in PVD processes: The importance of sensitivity', [Online] June 1997, pages 2 - 5 Retrieved from the Internet: <URL:http://www.micromagazine.com/archiver/97/06/waits.html> *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020167944A1 (en) * 2019-02-15 2020-08-20 Lam Research Corporation Trim and deposition profile control with multi-zone heated substrate support for multi-patterning processes

Also Published As

Publication number Publication date
DE112006000327T5 (en) 2007-12-27
KR20070117602A (en) 2007-12-12
WO2006094162A3 (en) 2007-10-18
KR100899244B1 (en) 2009-05-27

Similar Documents

Publication Publication Date Title
US7648914B2 (en) Method for etching having a controlled distribution of process results
US7431795B2 (en) Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
US9269587B2 (en) Methods for etching materials using synchronized RF pulses
US8722547B2 (en) Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US20060032833A1 (en) Encapsulation of post-etch halogenic residue
US20090004875A1 (en) Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
US20040058517A1 (en) Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US20070298617A1 (en) Processing method
US20090203218A1 (en) Plasma etching method and computer-readable storage medium
US20040209468A1 (en) Method for fabricating a gate structure of a field effect transistor
US10497578B2 (en) Methods for high temperature etching a material layer using protection coating
WO2015060929A1 (en) Methods for patterning a hardmask layer for an ion implantation process
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
TWI323011B (en) Method for etching having a controlled distribution of process results
US20220059361A1 (en) Etching method and plasma processing apparatus
TW202226378A (en) Selective anisotropic metal etch
US20230072732A1 (en) Methods for etching structures with oxygen pulsing
KR100899244B1 (en) Method for etching having a controlled distribution of process results
US20190362983A1 (en) Systems and methods for etching oxide nitride stacks
US11658042B2 (en) Methods for etching structures and smoothing sidewalls
US20220359201A1 (en) Spacer patterning process with flat top profile
WO2022186941A1 (en) Selective barrier metal etching

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680006797.X

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 1120060003275

Country of ref document: DE

WWE Wipo information: entry into national phase

Ref document number: 2007558240

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020077021429

Country of ref document: KR

NENP Non-entry into the national phase in:

Ref country code: RU

RET De translation (de og part 6b)

Ref document number: 112006000327

Country of ref document: DE

Date of ref document: 20071227

Kind code of ref document: P

122 Ep: pct application non-entry in european phase

Ref document number: 06736788

Country of ref document: EP

Kind code of ref document: A2

REG Reference to national code

Ref country code: DE

Ref legal event code: 8607