KR20070117602A - Method for etching having a controlled distribution of process results - Google Patents

Method for etching having a controlled distribution of process results Download PDF

Info

Publication number
KR20070117602A
KR20070117602A KR1020077021429A KR20077021429A KR20070117602A KR 20070117602 A KR20070117602 A KR 20070117602A KR 1020077021429 A KR1020077021429 A KR 1020077021429A KR 20077021429 A KR20077021429 A KR 20077021429A KR 20070117602 A KR20070117602 A KR 20070117602A
Authority
KR
South Korea
Prior art keywords
substrate
etching
distribution
processing chamber
temperature
Prior art date
Application number
KR1020077021429A
Other languages
Korean (ko)
Other versions
KR100899244B1 (en
Inventor
토마스 제이. 크로페닉키
테오도로스 파나고포우로스
니콜라스 가니
윌프레드 파우
메이후아 센
존 피. 홀랜드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/246,012 external-priority patent/US8075729B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070117602A publication Critical patent/KR20070117602A/en
Application granted granted Critical
Publication of KR100899244B1 publication Critical patent/KR100899244B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

Embodiments of the invention generally provide methods for etching a substrate. In one embodiment, the method includes determining a substrate temperature target profile that corresponds to a uniform deposition rate of etch by-products on a substrate, preferentially regulating a temperature of a first portion of a substrate support relative to a second portion of the substrate support to obtain the substrate temperature target profile on the substrate, and etching the substrate on the preferentially regulated substrate support. In another embodiment, the method includes providing a substrate in a processing chamber having a selectable distribution of species within the processing chamber and a substrate support with lateral temperature control, wherein a temperature profile induced by the substrate support and a selection of species distribution comprise a control parameter set, etching a first layer of material and etching a second layer of material respectively different control parameter sets.

Description

프로세스 결과들의 제어되는 분포를 갖는 에칭을 위한 방법{METHOD FOR ETCHING HAVING A CONTROLLED DISTRIBUTION OF PROCESS RESULTS}METHOD FOR ETCHING HAVING A CONTROLLED DISTRIBUTION OF PROCESS RESULTS

본 발명의 실시예들은 일반적으로 에칭 방법에 관한 것이다. 보다 구체적으로는, 본 발명은 프로세스 결과들의 제어되는 분포를 갖는 에칭을 위한 방법에 관한 것이다.Embodiments of the present invention generally relate to etching methods. More specifically, the present invention relates to a method for etching with a controlled distribution of process results.

집적회로들의 제조시, 다양한 프로세스 파라미터들의 정확한 제어는 기판에서 기판으로 재현가능한 결과들 뿐만 아니라, 기판내에서 일관성 있는 결과들을 달성하기 위해 요구된다. 프로세스 동안, 기판에 대한 온도 및 온도 기울기들의 변화들은 물질 증착, 에칭율, 스텝 커버리지, 피쳐 테이퍼(feature taper) 각도들, 및 반도체 소자들의 다른 파라미터들에 치명적일 수 있다. 따라서, 기판에 대해 미리 결정된 패턴의 온도 분포를 생성하는 것이 높은 수율을 달성하기 위한 중요한 요구조건들 중 하나이다.In the manufacture of integrated circuits, precise control of various process parameters is required to achieve consistent results within the substrate, as well as results reproducible from substrate to substrate. During the process, changes in temperature and temperature gradients for the substrate can be fatal to material deposition, etch rate, step coverage, feature taper angles, and other parameters of the semiconductor devices. Thus, creating a temperature distribution of a predetermined pattern for the substrate is one of the important requirements for achieving high yields.

International Technology Roadmap for Semiconductors의 2003년도 판은 트랜지스터 게이트 임계 치수(critical dimension:CD)의 감소가 미래의 에칭 기술을 위한 중요한 과제라고 기술하고 있다. 따라서, 게이트 CD가 소자의 궁극적인 성능에 크게 기여하기 때문에, CD를 제어하는 성능에 영향을 주는 게이트 에칭 프로세 스 파라미터들을 연구하는 많은 작업이 수행되었다. 게이트 CD 제어를 위한 몇가지 상이한 전략들이 공개되었으며, 이들은 포토레지스트 트리밍(trimming) 및 게이트 하드 마스크 에칭 화학제의 제어를 포함한다. 전자의 방법은 포토레지스트의 측면 에칭에 의해 리소그래픽적으로 가능한 것 아래의 포토레지스트 치수를 감소시키는 반면, 후자의 방법은 수직 에칭에 비해 측면 에칭의 양을 패시베이팅 및 제어하도록 하드 마스크 에칭 동안, 측벽들상에 재증착되는 에칭 부산물들에 의존한다. 에칭 부산물들에 의한 측벽 패시베이션은 하드 마스크 에칭 단계에 제한되지 않으며, 게이트 메인 에칭, 소프트 랜딩(soft landing), 및 오버 에칭(over etch) 단계 동안에도 발생한다.The 2003 edition of the International Technology Roadmap for Semiconductors describes that reducing the transistor gate critical dimension (CD) is an important challenge for future etching technologies. Thus, because gate CD contributes greatly to the device's ultimate performance, much work has been done to study the gate etch process parameters that affect the performance of controlling CD. Several different strategies for gate CD control have been disclosed, which include photoresist trimming and control of gate hard mask etch chemistries. The former method reduces the photoresist dimension below that lithographically possible by side etching of the photoresist, while the latter method during hard mask etching to passivate and control the amount of side etching compared to the vertical etching. The etch byproducts redeposited on the sidewalls. Sidewall passivation by etch byproducts is not limited to the hard mask etch step, but also occurs during the gate main etch, soft landing, and over etch steps.

에칭 부산물들의 재증착율은 부산물들의 기체위상(gas phase) 농도 및 그러한 부산물들의 부착 계수(sticking coefficient)를 따르는 것으로 예상된다. 부착 계수들은 표면에 흡수되는 입사 기체위상 종(incident gas phase species)의 확률을 기술하는 가스-표면 반응 메커니즘들에 사용되었고, 입사 종의 전체 수에 대한 표면상에 반응성 흡수되는 종의 수의 비율로서 통상적으로 근사화된다. The redeposition rate of etch byproducts is expected to follow the gas phase concentration of the byproducts and the sticking coefficient of those byproducts. The adhesion coefficients were used in gas-surface reaction mechanisms describing the probability of incident gas phase species absorbed on the surface, and the ratio of the number of species absorbed on the surface to the total number of incident species As is usually approximated.

그러나, 종래의 기판 페디스털들(pedestals)은 기판의 직경에 대한 기판 온도 분포를 제어하기 위한 불충분한 수단을 갖는다. 기판 온도 균일성을 제어하는 무능력은 단일 기판내에서 및 기판들 간에 프로세스 균일성, 소자 수율, 및 처리된 기판들의 전체 품질에 악영향을 준다.However, conventional substrate pedestals have insufficient means for controlling the substrate temperature distribution over the diameter of the substrate. The inability to control substrate temperature uniformity adversely affects process uniformity, device yield, and overall quality of processed substrates within and between single substrates.

따라서, 기판을 에칭하기 위한 개선된 방법의 기술이 요구된다.Thus, there is a need for a technique of an improved method for etching a substrate.

본 발명의 실시예들은 일반적으로 기판을 에칭하기 위한 방법들을 제공한다. 일 실시예에서, 기판을 에칭하기 위한 방법은 기판상의 에칭 부산물들의 균일한 증착율에 상응하는 기판 온도 타겟 프로파일을 결정하는 단계; 기판상에 기판 온도 타겟 프로파일을 획득하기 위해 기판 지지부의 제 2 부분에 비해 기판 지지부의 제 1 부분의 온도를 우선적으로 조정(regulating)하는 단계; 및 상기 우선적으로 조정된 기판 지지부상의 기판을 에칭하는 단계를 포함한다.Embodiments of the present invention generally provide methods for etching a substrate. In one embodiment, a method for etching a substrate includes determining a substrate temperature target profile corresponding to a uniform deposition rate of etch byproducts on the substrate; Regulating the temperature of the first portion of the substrate support relative to the second portion of the substrate support to obtain a substrate temperature target profile on the substrate; And etching the substrate on the preferentially adjusted substrate support.

다른 실시예에서, 상기 방법은, 제 1 프로세스 조건에 영향을 주는 제 1 프로세스 제어 노브(knob)를 제공하는 단계 - 상기 제 1 프로세스 조건은 프로세스 결과들의 제 1 분포로 나타냄 -; 제 2 프로세스 조건에 영향을 주는 제 2 프로세스 제어 노브를 제공하는 단계 - 상기 제 2 프로세스 조건은 프로세스 결과들의 제 2 분포로 나타냄 -; 프로세스 결과들의 제 3 분포를 형성하기 위해 상기 제 1 및 제 2 프로세스 제어 노브를 미리 결정된 셋팅(setting)으로 설정하는 단계 - 상기 프로세스 결과들의 제 3 분포는 상기 프로세스 결과들의 제 1 및 제 2 분포와 상이함 -; 및 상기 미리 결정된 셋팅으로 설정된 상기 제 1 및 제 2 프로세스 제어 노브들을 갖는 처리 챔버에서 기판 지지부상에 배치된 기판을 에칭하는 단계 - 상기 제 1 프로세스 제어 노브는 상기 처리 챔버로의 가스 주입의 위치들을 선택하고, 상기 제 2 프로세스 제어 노브는 상기 기판 지지부의 온도 프로파일을 선택함 - 를 포함한다.In another embodiment, the method includes providing a first process control knob that affects a first process condition, wherein the first process condition is represented by a first distribution of process results; Providing a second process control knob that affects a second process condition, wherein the second process condition is represented by a second distribution of process results; Setting the first and second process control knobs to a predetermined setting to form a third distribution of process results, wherein the third distribution of the process results is dependent upon the first and second distributions of the process results. Different; And etching a substrate disposed on a substrate support in a processing chamber having the first and second process control knobs set to the predetermined setting, the first process control knob adjusting positions of gas injection into the processing chamber. The second process control knob selects a temperature profile of the substrate support.

또 다른 실시예에서, 상기 방법은, 처리 챔버내의 종의 선택가능한 분포를 갖는 처리 챔버내의 기판, 및 측면 온도 제어를 갖는 기판 지지부를 제공하는 단계 - 상기 기판 지지부에 의해 유도되는 온도 프로파일 및 종 분포의 선택은 제어 파라미터 세트를 포함함 -; 제 1 제어 파라미터 세트를 이용하여 제 1 물질층을 에칭하는 단계; 및 제 2 제어 파라미터 세트를 이용하여 제 2 물질층을 에칭하는 단계 - 상기 제 1 및 제 2 제어 파라미터 세트는 상이함 - 를 포함한다.In another embodiment, the method includes providing a substrate in the processing chamber having a selectable distribution of species in the processing chamber, and a substrate support having side temperature control—temperature profile and species distribution induced by the substrate support The selection of includes a control parameter set; Etching the first layer of material using the first set of control parameters; And etching the second layer of material using the second set of control parameters, wherein the first and second set of control parameters are different.

본 발명의 전술한 특징들이 상세히 이해될 수 있는 방식으로, 상기에서 간단히 요약돈 본 발명의 보다 특정한 상세한 설명이 실시예들을 참조로 이루어질 수 있으며, 이들 중 일부는 첨부된 도면들에 도시된다. 그러나, 첨부된 도면들은 단지 본 발명의 전형적인 실시예들만을 도시하므로, 그 범주를 제한하는 것으로 고려되어서는 안되며, 본 발명은 다른 동일하게 효과적인 실시예들에 적용될 수 있다는 것을 유의한다.In a way that the foregoing features of the invention can be understood in detail, a more specific description of the invention can be made with reference to the embodiments, briefly summarized above, some of which are illustrated in the accompanying drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may be applicable to other equally effective embodiments.

도 1A-1B는 게이트 에칭 프로세스의 개념도이다.1A-1B are conceptual diagrams of a gate etch process.

도 2는 평균 CD 편차, 기판 온도 및 계산된 부착 계수 간의 관계를 도시하는 그래프이다.2 is a graph showing the relationship between average CD deviation, substrate temperature and calculated adhesion coefficients.

도 3은 프로세스 질량율 및 정규화된 거리 사이의 관계를 도시하는 그래프이다.3 is a graph showing the relationship between process mass rate and normalized distance.

도 4는 에칭 부산물 플럭스(flux) 및 기판 반경 사이의 관계를 도시하는 그래프이다.4 is a graph showing the relationship between etch byproduct flux and substrate radius.

도 5는 CD 편차 및 기판 반경 사이의 관계를 도시하는 그래프이다.5 is a graph showing the relationship between CD deviation and substrate radius.

도 6은 본 발명의 일 실시예에 따른 예시적인 반도체 기판 처리 챔버의 개념 도이다.6 is a conceptual diagram of an exemplary semiconductor substrate processing chamber in accordance with an embodiment of the present invention.

도 7-9는 도 6의 챔버, 또는 다른 적절한 처리 챔버에서 실시될 수 있는 에칭 프로세스들의 실시예들의 흐름도들이다.7-9 are flow diagrams of embodiments of etching processes that may be performed in the chamber of FIG. 6, or other suitable processing chamber.

도 10A-10F는 도 7, 도 8 및/또는 도 9의 방법을 이용하여 에칭될 수 있는 구조물을 제조하기 위한 시퀀스의 일 실시예를 도시한다.10A-10F illustrate one embodiment of a sequence for making a structure that can be etched using the method of FIGS. 7, 8, and / or 9.

도 11A-11B는 도 7, 도 8 및/또는 도 9의 방법을 이용하여 에칭될 수 있는 구조물을 제조하기 위한 시퀀스의 일 실시예를 도시한다.11A-11B illustrate one embodiment of a sequence for making a structure that can be etched using the method of FIGS. 7, 8, and / or 9.

이해를 돕기 위해, 도면들에 공통인 동일한 엘리먼트들을 지칭하기 위해 가능한 동일한 참조 부호들이 사용되었다. 또한, 일 실시예의 엘리먼트들 및 특징들은 추가적인 인용 없이 다른 실시예들에 바람직하게 포함될 수 있다는 것을 고려한다.For ease of understanding, the same reference numerals have been used where possible to refer to the same elements that are common to the figures. In addition, it is contemplated that elements and features of one embodiment may be preferably included in other embodiments without further citation.

게이트 에칭 프로세스의 개념도는 도 1A-1B에 도시된다. 본 출원인은 기판 온도에서 게이트 에칭 CD 편차(bias)의 강한 의존성을 실험적으로 관찰했고, 이제 그 관계를 개시하며, 기판에 대한 프로세스 결과 분포의 제어를 가능하게 하는 기판 온도에 대한 게이트 에칭 부산물 부착 계수의 의존성을 입증한다.A conceptual diagram of the gate etching process is shown in FIGS. 1A-1B. Applicant has experimentally observed the strong dependence of gate etch CD bias on substrate temperature, and now discloses the relationship, and the gate etch byproduct adhesion coefficient to substrate temperature that enables control of the process result distribution on the substrate. Prove your dependencies.

에칭 부산물들의 재증착율은 부산물들의 기체위상(gas phase) 및 그러한 부산물들의 부착 계수를 따르는 것으로 예상된다. 부착 계수들은 표면(게이트 구조물(100)로서 도시됨)에 흡수되는 입사 기체위상 종(102)의 확률을 기술하는 기체-표면 반응 메커니즘들에 사용되었고, 전형적으로 입사 종의 총 수에 대한 표면상에 반응성 흡수되는 종의 수의 비율로서 근사화된다. 표면 온도에 대한 부착 계수의 의존성 분석은 실리콘 막들의 애피택셜 성장 동안의 불순도 레벨들, 및 기판들상의 이산화 실리콘의 스텝 커버리지 증착 특성을 기술하는데 사용되었다. 두 모델들은 표면상의 기체위상 종에 대한 흡수, 탈착(desorption), 및 반응율들 사이의 경쟁에 부착 계수를 관련시킨다. 따라서, 부착 계수의 음의 값들은 에칭 수율들로서 해석될 수 있다. Langmuir 흡수 이론과 결합된 Bennet 외의 식들을 이용하면, s*의 온도 의존성은 다음과 같이 표현될 수 있다:The redeposition rate of etch byproducts is expected to follow the gas phase of the byproducts and the coefficient of adhesion of those byproducts. Attachment coefficients have been used in gas-surface reaction mechanisms that describe the probability of incident gas phase species 102 absorbed on a surface (shown as gate structure 100), typically on the surface for the total number of incident species. It is approximated as the ratio of the number of species absorbed reactively. Analysis of the dependence of the adhesion coefficient on the surface temperature was used to describe the impurity levels during the epitaxial growth of the silicon films, and the step coverage deposition characteristics of silicon dioxide on the substrates. Both models relate the adhesion coefficient to the competition between absorption, desorption, and reaction rates for gas phase species on the surface. Thus, negative values of the adhesion coefficient can be interpreted as etch yields. Using Bennet's equation combined with the Langmuir absorption theory, the temperature dependence of s * can be expressed as:

Figure 112007067562849-PCT00001
(1)
Figure 112007067562849-PCT00001
(One)

여기서, P는 에칭 부산물들의 부분 압력이고, NA는 아보가드로 수이며, M은 흡수 종의 분자량이고, R은 범용 기체 상수이며, T는 온도이고, Eeff는 흡수 및 표면 반응에 대한 에너지들간의 차이이다. 에칭 부산물들은 이전의 히스토리와 무관하게 임의의 표면 지점상에서 동일하게 에칭 부산물들이 재증착되어, 표면 커버리지가 무시될 수 있다고 가정한다. 이러한 가정은 게이트 에칭 동안 패시베이팅 층들의 관찰된 두께들이 단일 모노층의 두께보다 전형적으로 더 크기 때문에 타당하다. Where P is the partial pressure of the etch byproducts, N A is the avogadro number, M is the molecular weight of the absorbing species, R is the universal gas constant, T is the temperature, and E eff is the energy between absorption and surface reactions. It is the difference. Etch byproducts assume that the etch byproducts are redeposited equally on any surface point regardless of previous history, so that surface coverage can be ignored. This assumption is valid because the observed thicknesses of passivating layers during the gate etch are typically larger than the thickness of a single monolayer.

식 (1)로부터 직접적으로 추출될 수 있는 2개의 중요한 에칭 프로세스 파라미터들은 표면에 대한 종의 플럭스 및 표면 온도이다. 이러한 조정가능한 배 합(recipe) 파라미터들은 게이트 측벽들상의 패시베이팅 종의 부착 계수에 많은 영향을 줄 것이고, 이에 따라 에칭 이후의 게이트 CD 편차에 많은 영향을 줄 것이다. 식 (1)을 이용하는데 있어서 명백한 복잡성은 쉽게 결정되지 않고 자체적으로 일부 온도 의존성을 갖는, Rads 항이다. 이러한 분석을 위해, Rads 항은 피팅 파라미터로서 사용되고, 이후에 추가로 설명된다.Two important etching process parameters that can be extracted directly from equation (1) are the flux of the species to the surface and the surface temperature. These adjustable recipe parameters will greatly affect the adhesion coefficient of the passivating species on the gate sidewalls and thus the gate CD deviation after etching. The apparent complexity in using equation (1) is the R ads term, which is not readily determined and has some temperature dependency on its own. For this analysis, the R ads term is used as a fitting parameter and is further described later.

게이트 에칭 프로세스에 대한 종 플럭스 및 기판 온도의 영향을 테스트하기 위해, 폴리-실리콘 게이트 적층물을 갖는 패턴화된 기판들이 형성된다. 기판들을 패턴화하는데 사용되는 포토마스크는 90nm 기술 노드에 대해 설계된다. 에칭 실험들은 DPS Ⅱ 실리콘 에칭 챔버로 구성된 어플라이드 머티어리얼스의 Centura® DPS® Etch 시스템으로 수행된다. 기판들은 표준 게이트 에칭 화학제들을 이용하는 4 단계 프로세스(브레이크스루, 메인 에칭, 소프트 랜딩, 및 오버 에칭)를 이용하여 에칭된다. 사전 및 사후 에칭 CD들은 어플라이드 머티어리얼스의 VeraSEM® Metrology 시스템에서 측정된다. (새로운 명명 규칙)To test the influence of the longitudinal flux and substrate temperature on the gate etch process, patterned substrates with poly-silicon gate stacks are formed. The photomask used to pattern the substrates is designed for a 90 nm technology node. Etching experiments are performed with an Applied Materials Centura® DPS® Etch system consisting of a DPS II silicon etch chamber. The substrates are etched using a four step process (breakthrough, main etch, soft landing, and over etch) using standard gate etch chemistries. Pre and post etch CDs are measured on the VeraSEM® Metrology system from Applied Materials. (New naming convention)

평균 CD 편차에 대한 기판 온도의 영향(여기서, CD 편차는 사후 에칭 CD-사전 에칭 CD로서 규정됨)은 도 2에 명확히 이해할 수 있다. 데이터는 증가하는 기판 온도가 더 좁아지는 평균 게이트 라인폭을 초래하고, 이는 보다 높은 온도들에서 게이트 측벽에 패시베이팅 종이 더 적어지는 이론과 일치한다. 도 2에 도시된 부착 계수에 대한 최적합도 곡선은 평균 CD 편차 데이터와 근접하게 따르고, 식 (1)을 이용하여 계산되며, Eeff는 0.250eV로 가정되고, Rads = 9E13 atoms/cm2s. 피 팅 파라미터의 값 Rads가 타당한 것을 보장하기 위해, Rads의 독립적인 계산은 식 (2)에 도시된 바와 같은 CD 편차 데이터를 이용하여 이루어질 수 있다:The effect of substrate temperature on the mean CD deviation, where the CD deviation is defined as post etch CD-pre etch CD, can be clearly understood in FIG. 2. The data results in an average gate line width in which the increasing substrate temperature is narrower, which is consistent with the theory that there are fewer passivating species on the gate sidewall at higher temperatures. The best fit curve for the adhesion coefficient shown in FIG. 2 closely follows the mean CD deviation data, calculated using Equation (1), E eff is assumed to be 0.250 eV, and R ads = 9E13 atoms / cm 2 s . To ensure that the value of the fitting parameter R ads is valid, an independent calculation of R ads can be made using the CD deviation data as shown in equation (2):

Figure 112007067562849-PCT00002
(2)
Figure 112007067562849-PCT00002
(2)

사실상, 식 (2)에 의해 획득된 Rads에 대한 평균 값은 고려되는 온도 범위에 대한 피팅 프로시저를 통해 획득된 값과 잘 일치한다. 이러한 3개의 실행들의 평균 CD 편차 및 기판 온도 사이의 관계는 -0.8607 nm/℃의 평균 변화율을 나타낸다. 부착 계수의 해당 퍼센티지 변화 s*는 -0.2%/℃이다. 도 2에 도시된 부착 계수들의 계산된 범위는 전력공급된 Si 전극에 입사하는 CF2 라디칼들에 대해 획득된 값들과도 일치한다.In fact, the average value for R ads obtained by equation (2) is in good agreement with the value obtained through the fitting procedure for the temperature range under consideration. The relationship between the mean CD deviation and substrate temperature of these three runs represents an average rate of change of -0.8607 nm / ° C. The corresponding percentage change in adhesion coefficient s * is -0.2% / ° C. The calculated range of adhesion coefficients shown in FIG. 2 is also consistent with the values obtained for the CF 2 radicals incident on the powered Si electrode.

도 2의 CD 편차 평균들상의 하나의 시그마 에러 바들은 내부 기판 CD 편차 불균일도의 척도이다. 불균일도의 정도는 모든 3개의 기판 온도들에 대해, 중심 영역의 것들보다 전형적으로 더 작은 에지 영역들에서 관찰된 라인폭들과 일치한다. 내부 기판 온도 불균일도들의 측정들은 기판 온도 범위가 이러한 실행들과 유사한 조건들에 대해 ±1℃ 미만인 것을 나타내고, 이들 경우들에서 관찰된 내부 기판 라인폭 불균일도가 기판 온도와 더불어 무언가로 인한 것이라는 것을 제안한다.One sigma error bar on the CD deviation means of FIG. 2 is a measure of the internal substrate CD deviation nonuniformity. The degree of nonuniformity is consistent with the line widths observed in the edge regions, which are typically smaller than those of the central region, for all three substrate temperatures. Measurements of internal substrate temperature nonuniformities indicate that the substrate temperature range is less than ± 1 ° C. for conditions similar to these implementations, and that the internal substrate linewidth nonuniformity observed in these cases is due to something along with the substrate temperature. Suggest.

이전의 작업은 기판 에지에서 CD 바이어스의 감소가 기판의 이러한 영역에서 부산물 농도의 감소에 의해 초래될 수 있다는 것을 입증하였다. 이러한 농도 기울기는 기판 중심에 비해 기판 에지에서 에칭 부산물들의 보다 효율적인 제거에 의해 형성된다. 결과적으로, 기판 에지에서 국부적인 흡수율은 주어진 기판 온도에 대해 흡수 지점, 즉 게이트 측벽에 바로 인접하여 감소된다. 패시베이팅 종의 이러한 국부적인 부분 압력은 챔버로의 공급 가스 주입의 위치에 의해 부분적으로 제어될 수 있다. 도 3은 3개의 상이한 가스 주입 수단들을 비교하는 시뮬레이션 결과들을 나타낸다. 가스가 기판 표면에 수직인 방향에서 챔버의 상부에 주입되면(도 3의 라벨링된 중심 가스 공급), 실제적으로 프리커서 종의 밀도는 증가된 대류 유동의 결과로서 가스 속도의 증가로 인해 중심에서 감소된다. 대조적으로, 가스가 기판 표면에 평행한 방향에서 챔버의 상부에 주입되면(도 3의 라벨링된 측면 가스 공급), 기판 표면으로의 유동은 보다 확산되고, 프리커서 종의 보다 균일한 분포가 달성된다.Previous work has demonstrated that a reduction in CD bias at the substrate edge can be caused by a decrease in byproduct concentration in this region of the substrate. This concentration gradient is formed by more efficient removal of etch byproducts at the substrate edge compared to the substrate center. As a result, the local absorptivity at the substrate edge is reduced directly adjacent to the absorption point, i.e., the gate sidewall, for a given substrate temperature. This local partial pressure of the passivating species can be controlled in part by the location of the feed gas injection into the chamber. 3 shows simulation results comparing three different gas injection means. If gas is injected into the top of the chamber in a direction perpendicular to the substrate surface (labeled central gas supply in FIG. 3), the density of the precursor species actually decreases at the center due to an increase in gas velocity as a result of increased convective flow. do. In contrast, when gas is injected into the top of the chamber in a direction parallel to the substrate surface (labeled side gas supply in FIG. 3), the flow to the substrate surface is more diffuse and a more uniform distribution of precursor species is achieved. .

에칭 챔버내의 에칭 부산물 분포의 인식 뿐만 아니라 기판 온도와 부착 계수 사이의 관계를 이용하면, 정전 척(ESC)에 다중 온도 영역들을 도입함으로써, 내부 기판 CD 편차 균일도가 최적화될 수 있다. 전형적인 게이트 에칭 프로세스를 위한 에칭 부산물들의 라디칼 분포 및 부착 계수에 대한 상응하는 라디칼 요구조건은 도 4에 도시된다. 온도와 함께 부착 계수의 변화는 작은 온도 변화들에 대해 대략적으로 선형적이기 때문에, 예측된 온도 프로파일은 국부적인 기체위상 종 농도와 매우 유사하다. 따라서, 목표된 기판 온도는 펌핑에 의해 유도되는 패시베이팅 종의 감소를 보상하기 위해 기판의 에지 영역에 대해 더 낮아지도록 요구된다. 결과적으로, 이러한 국부적인 기판 표면 온도 감소는 기판 표면으로의 흡수 종의 일정하고 균일한 플럭스를 유지하고 이에 따라 균일한 게이트 라인폭들을 유지하도록, 패 비베이팅 종의 부착 계수를 증가시킨다.Using the relationship between substrate temperature and adhesion coefficient as well as the recognition of the etch byproduct distribution in the etch chamber, the internal substrate CD deviation uniformity can be optimized by introducing multiple temperature regions into the electrostatic chuck (ESC). The corresponding radical requirements for the radical distribution and adhesion coefficient of the etch byproducts for a typical gate etch process are shown in FIG. 4. Since the change in adhesion coefficient with temperature is approximately linear for small temperature changes, the predicted temperature profile is very similar to the local gas phase species concentration. Thus, the desired substrate temperature is required to be lower relative to the edge region of the substrate to compensate for the reduction of passivating species induced by pumping. As a result, this local substrate surface temperature decrease increases the adhesion coefficient of the paving species to maintain a constant and uniform flux of absorbing species to the substrate surface and thus maintain uniform gate line widths.

도 5는 3개의 경우들을 도시한다: 균일한 온도의 기판, 이중-영역 ESC를 갖는 최적화 조건, 및 기판에 대한 CD 편차를 제어하는 성능을 입증하기 위해 의도적으로 오조정된(mistuned) 프로세스. 기판 에지에서 더 작은 게이트 라인폭들이 균일한 기판 온도 조건에 대해 도 5에서 관찰되는 반면에, 에지 CD 편차 균일도에 대한 중심의 현저한 개선점은 ESC의 온도가 2개의 영역들로 분할될 때 달성될 수 있고, 여기서 외부 영역은 내부 영역보다 더 낮은 온도에 있다. 균일한 온도에서 ESC에 대한 CD 바이어스의 범위는 15.3nm이고, 이중 영역 ESC에 대한 CD 편차의 범위는 9.5nm이며, 37.9%의 개선이다. 제 3 경우는 에지 기판 온도 차이에 대한 과대(exaggerated) 중심의 조건을 나타내고, 기판 온도를 통해 CD 바이어스를 제어하는 능력을 입증하기 위해 양(+)의 값들을 향해 의도적으로 조정되는 CD 편차를 발생시킨다. 최저 기판 온도들에서, 보다 많은 부산물들이 측벽들에서 흡수되고 역효과를 초래하며, 에지 라인폭들은 기판 중심의 것들보다 더 커진다.5 shows three cases: a substrate of uniform temperature, an optimization condition with dual-region ESC, and a deliberately mistuned process to demonstrate the ability to control CD deviation for the substrate. While smaller gate line widths at the substrate edge are observed in FIG. 5 for uniform substrate temperature conditions, a significant improvement in the center for edge CD deviation uniformity can be achieved when the temperature of the ESC is divided into two regions. Wherein the outer region is at a lower temperature than the inner region. At uniform temperatures the CD bias for ESC ranges from 15.3 nm, the CD deviation for dual region ESC ranges from 9.5 nm, an improvement of 37.9%. The third case represents an exaggerated center condition for the edge substrate temperature difference and generates a CD deviation that is intentionally adjusted towards positive values to demonstrate the ability to control the CD bias through the substrate temperature. Let's do it. At the lowest substrate temperatures, more byproducts are absorbed in the sidewalls and adversely affect, and the edge line widths are larger than those at the substrate center.

요약하면, 균등 흡수 이론은 트랜지스터 게이트 에칭 프로세스 동안 CD 편차 균일도의 관찰된 트랜드를 설명하는 것을 돕기 위해 사용될 수 있다는 것을 나타내었다. 특히, 에칭 부산물들의 부착 계수의 온도 의존성이 중요하다. 따라서, DPS Ⅱ Silicon Etch 챔버에서 발견되는 것처럼, 다수의 독립적으로 제어가능한 온도 영역들을 가진 ESC는 게이트 에칭과 같이 중요한 에칭 애플리케이션들을 위해 가장 바람직하다. 측벽 패시베이션이 알루미늄 라인들의 에칭, 또는 콘택들 및 비아들의 유전체 에칭과 같이, CD 성능에 중요한 다른 에칭 애플리케이션들 동안 유사한 현상이 발생하는 것으로 보인다. In summary, the uniform absorption theory has been shown to be used to help explain the observed trend of CD deviation uniformity during the transistor gate etching process. In particular, the temperature dependence of the adhesion coefficient of the etch byproducts is important. Thus, as found in DPS II Silicon Etch chambers, ESCs with multiple independently controllable temperature regions are most desirable for critical etching applications such as gate etching. Similar phenomena appear to occur during other etching applications where sidewall passivation is critical for CD performance, such as etching aluminum lines, or dielectric etching of contacts and vias.

본 발명에서 기술되는 에칭 프로세스들은 예를 들어, 모두 캘리포니아 산타클레아의 어플라이드 머티어리얼스 사로부터 이용가능한, HART 에칭 반응기, HART TS 에칭 반응기, Decoupled Plasma Source (DPS), DPS-Ⅱ, 또는 DPS Plus, 또는 CENTURA® 에칭 시스템의 DPS DT 에칭 반응기와 같이, 임의의 적절히 조정되는 플라즈마 에칭 챔버에서 수행될 수 있다. 다른 제조사들로부터의 플라즈마 에칭 챔버들은 본 발명을 수행하는데 적용될 수도 있다. DPS 반응기는 고밀도 플라즈마를 생성 및 유지하기 위해 13.56MHz 유동성 플라즈마 소스 및 기판을 바이어스시키기 위한 13.56MHz 소스 바이어스 전력을 사용한다. 플라즈마와 바이어스 소스들의 디커플링 특성은 이온 에너지 및 이온 밀도의 독립적인 제어를 허용한다. DPS 반응기는 소스 및 바이어스 전력, 압력, 및 에천트 가스 화학제들의 변화들에 대해 넓은 프로세스 윈도우를 제공하고, 처리의 종료를 결정하기 위해 엔드포인트 시스템을 사용한다.Etching processes described herein are all available from, for example, HART etch reactor, HART TS etch reactor, Decoupled Plasma Source (DPS), DPS-II, or DPS Plus, all available from Applied Materials, Inc. Or a DPS DT etch reactor in a CENTURA® etch system. Plasma etch chambers from other manufacturers may be applied to carry out the present invention. The DPS reactor uses 13.56 MHz source bias power to bias the 13.56 MHz flowable plasma source and substrate to create and maintain high density plasma. The decoupling properties of the plasma and bias sources allow independent control of ion energy and ion density. The DPS reactor provides a wide process window for changes in source and bias power, pressure, and etchant gas chemistries, and uses an endpoint system to determine the end of the process.

도 6은 본 발명을 실시하는데 예시적으로 사용될 수 있는 예시적인 에칭 반응기(600)의 개념도를 도시한다. 에칭 반응기(600)의 특정 실시예는 예시적인 목적들만을 위해 제공되며, 본 발명의 범주를 제한하는데 사용되어서는 안된다.6 shows a conceptual diagram of an exemplary etch reactor 600 that may be used illustratively to practice the present invention. Certain embodiments of the etch reactor 600 are provided for illustrative purposes only and should not be used to limit the scope of the invention.

에칭 반응기(600)는 일반적으로, 처리 챔버(610), 가스 패널(638) 및 제어기(640)를 포함한다. 처리 챔버(610)는 처리 부피를 둘러싸는 실링(620) 및 전도성 몸체(벽)(630)를 포함한다. 처리 가스들은 가스 패널(638)로부터 챔버(610)의 처리 부피에 제공된다. Etch reactor 600 generally includes a processing chamber 610, a gas panel 638, and a controller 640. The processing chamber 610 includes a sealing 620 and a conductive body (wall) 630 surrounding the processing volume. Process gases are provided from the gas panel 638 to the processing volume of the chamber 610.

제어기(640)는 중앙 처리 유닛(CPU)(644), 메모리(642), 및 지원 회로들(646)을 포함한다. 제어기(640)는 에칭 반응기(600)의 컴포넌트들에 결합되고, 에칭 반응기(600)의 컴포넌트들 및 챔버(610)에서 수행되는 프로세스들을 제어하며, 집적회로 탭의 데이터베이스들과의 선택적인 데이터 교환을 용이하게 할 수 있다.The controller 640 includes a central processing unit (CPU) 644, a memory 642, and support circuits 646. The controller 640 is coupled to the components of the etch reactor 600, controls the components of the etch reactor 600 and the processes performed in the chamber 610, and optionally exchanges data with databases of integrated circuit taps. Can be facilitated.

도시된 실시예에서, 실링(620)은 실질적으로 평면인 부재이다. 처리 챔버(610)의 다른 실시예들은 예를 들어, 돔-형상의 실링과 같이, 다른 타입의 실링들을 구비할 수 있다. 상기한 실링(620)은 하나 이상의 유도성 코일 엘리먼트들을 포함하는 안테나(612)에 배치된다(2개의 동축 코일 엘리먼트들이 예시적으로 도시됨). 안테나(612)는 정합망 및 무선주파수(RF) 플라즈마 전원(618)에 결합된다. 전력은 안테나(612)에 인가되고, 처리 동안 챔버(100)에 형성된 플라즈마에 유도성 결합된다. 챔버(100)는 이하에서 추가로 기술되는 것처럼, 전원(684)을 이용하여 용량성 플라즈마 커플링을 선택적으로 이용할 수 있다. In the illustrated embodiment, the seal 620 is a substantially planar member. Other embodiments of the processing chamber 610 may have other types of seals, such as dome-shaped seals, for example. The seal 620 described above is disposed in an antenna 612 that includes one or more inductive coil elements (two coaxial coil elements are shown by way of example). Antenna 612 is coupled to a matching network and a radio frequency (RF) plasma power source 618. Power is applied to the antenna 612 and inductively coupled to the plasma formed in the chamber 100 during processing. Chamber 100 may optionally utilize capacitive plasma coupling using power source 684, as further described below.

가스 패널(638)은 챔버내의 종의 분포를 제어하기 위해 챔버로 통과하는 유동이 제어될 수 있도록, 하나 이상의 노즐들에 결합된다. 하나 이상의 노즐들은 처리 가스 유동 위치, 처리 가스의 유동 배향 또는 챔버내의 종 분포 중 적어도 하나에 영향을 주도록 구성 및/또는 배치된다.Gas panel 638 is coupled to one or more nozzles such that flow through the chamber can be controlled to control the distribution of species in the chamber. One or more nozzles are configured and / or arranged to affect at least one of the process gas flow location, the flow orientation of the process gas, or the species distribution in the chamber.

일 실시예에서, 적어도 2개의 출구 포트들(604, 606)을 갖는 노즐(608)은 챔버 몸체(610)의 실링(620)에 결합되게 제공된다. 출구 포트들(604, 606)은 챔버로의 가스 유동의 직접 및 간접 배향을 각각 유도하도록 구성된다. 예를 들어, 제 1 출구 포트(604)는 직접적인 가스 유동 배향을 제공할 수 있고, 즉 표면에 실질적으로 수직으로 배향되는 챔버에 진입하는 가스 유동을 형성할 수 있다. 제 2 출구 포트(606)는 간접적인 가스 유동 배향을 제공할 수 있고, 즉 표면에 실질적으로 평행하게 배향되는 챔버에 진입하는 가스 유동을 형성할 수 있거나, 다른 실시예에서, 기판의 평면에 대해 60°와 같거나 미만인 입사각에서 유도될 수 있다. 하나 이상의 출구 포트들(604, 606)은 챔버의 다른 영역들에 배치될 수 있고, 출구 포트들(604, 606)은 개별 노즐들(608)에 배치될 수 있다(즉, 노즐당 하나의 포트). In one embodiment, a nozzle 608 having at least two outlet ports 604, 606 is provided coupled to the sealing 620 of the chamber body 610. The outlet ports 604, 606 are configured to direct the direct and indirect orientations of the gas flow into the chamber, respectively. For example, the first outlet port 604 can provide a direct gas flow orientation, that is, form a gas flow entering a chamber that is oriented substantially perpendicular to the surface. The second outlet port 606 may provide an indirect gas flow orientation, ie, form a gas flow entering the chamber that is oriented substantially parallel to the surface, or in another embodiment, relative to the plane of the substrate It can be derived at an angle of incidence equal to or less than 60 °. One or more outlet ports 604, 606 may be disposed in other regions of the chamber, and outlet ports 604, 606 may be disposed in separate nozzles 608 (ie, one port per nozzle). ).

페디스털 어셈블리(616)는 노즐(608) 아래의 처리 챔버(600)의 내부 부피(606)에 배치된다. 페디스털 어셈블리(616)는 처리 동안 기판(614)을 홀딩한다. 페디스털 어셈블리(616)는 일반적으로 페디스털 어셈블리(616)로부터 기판을 리프팅하도록 구성된 관통 배치된 다수의 리프트 핀들(미도시)을 포함하고, 종래의 방식으로 로봇(미도시)을 통해 기판(614)의 교환을 용이하게 한다.The pedestal assembly 616 is disposed in the interior volume 606 of the processing chamber 600 under the nozzle 608. Pedestal assembly 616 holds substrate 614 during processing. The pedestal assembly 616 generally includes a plurality of through-placed lift pins (not shown) configured to lift the substrate from the pedestal assembly 616, and in a conventional manner the substrate through a robot (not shown). 614 facilitates replacement.

일 실시예에서, 페디스털 어셈블리(616)는 장착판(662), 베이스(664), 및 정전 척(666)을 포함한다. 장착판(662)은 챔버 몸체(630)의 저면(612)에 결합되고, 유체들, 전력선들, 및 센서 리드들과 같은 라우팅 유틸리티들을 위해, 다른 것들 중에서, 베이스(664) 및 정전 척(666)으로의 통로들을 포함한다.In one embodiment, pedestal assembly 616 includes mounting plate 662, base 664, and electrostatic chuck 666. Mounting plate 662 is coupled to bottom 612 of chamber body 630 and, among other things, base 664 and electrostatic chuck 666 for routing utilities such as fluids, power lines, and sensor leads. Paths).

정전 척(666) 또는 베이스(664) 중 적어도 하나는 적어도 하나의 선택적인 내장 히터(676), 적어도 하나의 선택적인 내장 절연체(674), 및 관통하는 온도 조절 유체를 제공하는 유체 소스(672)에 유동적으로 결합되는 다수의 도관들을 포함한다. 도 6에 도시된 실시예에서, 하나의 히터(676)는 전원(678)에 결합된 정전 척(666)에 예시적으로 도시되고, 하나의 환형 절연체(674)에 의해 분리된 2개의 도관들(668, 670)은 베이스(664)에 도시된다. 도관들(668, 670)과 히터(676)는 페디스털 어셈블리(616)의 온도를 제어하는데 사용될 수 있고, 이에 따라 정전 척(666)의 가열 및/또는 냉각을 제어하며, 이에 따라 정전 척(666)에 배치된 기판(614)의 온도를 적어도 부분적으로 제어하는데 사용될 수 있다. At least one of the electrostatic chuck 666 or the base 664 is at least one optional embedded heater 676, at least one optional embedded insulator 674, and a fluid source 672 that provides a penetrating temperature regulating fluid. It includes a plurality of conduits fluidly coupled to. In the embodiment shown in FIG. 6, one heater 676 is illustratively shown in an electrostatic chuck 666 coupled to a power source 678 and two conduits separated by one annular insulator 674. 668 and 670 are shown in base 664. Conduits 668, 670 and heater 676 can be used to control the temperature of pedestal assembly 616, thereby controlling the heating and / or cooling of electrostatic chuck 666, thereby It may be used to at least partially control the temperature of the substrate 614 disposed at 666.

베이스(664)에 형성된 2개의 개별 냉각 통로들(668, 670)은 적어도 2개의 독립적으로 제어가능한 온도 영역들을 규정한다. 부가적인 냉각 통로들 및/또는 통로들의 레이아웃은 부가적인 온도 제어 영역들을 규정하도록 배치될 수 있다는 것을 고려한다. 일 실시예에서, 제 2 냉각 통로(668)는 온도 제어 영역들이 동심이 되도록 제 2 냉각 통로(670)의 반경으로 내향하게 배치된다. 통로들(668, 670)은 반경으로 배향될 수 있거나, 다른 기하학적 구성들을 가질 수 있다. 냉각 통로들(668, 670)은 온도 제어되는 열 전달 유체의 단일 소스(672)에 결합될 수 있거나, 개별 열 전달 유체 소스에 각각 결합될 수 있다.Two separate cooling passages 668, 670 formed in the base 664 define at least two independently controllable temperature regions. It is contemplated that additional cooling passages and / or layout of the passages may be arranged to define additional temperature control regions. In one embodiment, the second cooling passage 668 is disposed inwardly in the radius of the second cooling passage 670 such that the temperature control regions are concentric. The passages 668, 670 may be radially oriented or may have other geometric configurations. Cooling passages 668 and 670 may be coupled to a single source 672 of temperature controlled heat transfer fluid or may be coupled to a separate heat transfer fluid source, respectively.

절연체(674)는 베이스(664)의 인접 영역들의 물질과 상이한 열 전도도 계수를 갖는 물질로부터 형성된다. 일 실시예에서, 절연체(674)는 베이스(664)보다 더 작은 열 전도도 계수를 갖는다. 도 6에 도시된 실시예에서, 베이스(664)는 알루미늄 또는 다른 금속 물질로부터 형성된다. 추가적인 실시예에서, 절연체(674)는 이방성(즉, 방향-의존적인 열 전도도 계수)을 갖는 물질로부터 형성될 수 있다. 절연체(674)는 열 전달 경로에서 절연체를 갖지 않는 베이스(664)의 인접 부분들을 통과하는 열 전달율에 비해, 도관들(668, 670)로의 베이스(664)를 통해 페디스털 어셈블리(616) 사이의 열 전달율을 국부적으로 변화시키도록 작용한다. 절연체(674)는 페디스털 어셈블리(616)를 통해 규정된 온도 제어 영역들 사이의 개선된 열 절연을 제공하기 위해, 제 1 및 제 2 냉각 통로(668, 670) 사이에 측면으로 배치된다.Insulator 674 is formed from a material having a different thermal conductivity coefficient from that of adjacent regions of base 664. In one embodiment, insulator 674 has a smaller thermal conductivity coefficient than base 664. In the embodiment shown in FIG. 6, base 664 is formed from aluminum or other metallic material. In further embodiments, insulator 674 may be formed from a material having anisotropy (ie, direction-dependent thermal conductivity coefficient). The insulator 674 is between the pedestal assembly 616 through the base 664 to the conduits 668, 670 as compared to the heat transfer rate through the adjacent portions of the base 664 having no insulator in the heat transfer path. Acts to locally change the heat transfer rate. Insulator 674 is laterally disposed between the first and second cooling passages 668, 670 to provide improved thermal insulation between defined temperature control regions through pedestal assembly 616.

도 6에 도시된 실시예에서, 절연체(674)는 도관들(668, 670) 사이에 배치되고, 이에 따라 측면 열 전달을 방해하고, 페디스털 어셈블리(616)에 대해 측면 온도 제어 영역들을 촉진시킨다. 따라서, 삽입물들의 개수, 형상, 크기, 위치 및 열 전달 계수를 제어함으로써, 정전 척(666) 및 그 상부에 안착된 기판(614)의 온도 프로파일이 제어될 수 있다. 절연체(674)는 환형 링으로서 형상화된 도 6에 도시되지만, 절연체(674)의 형상은 임의의 수의 형태들을 취할 수 있다.In the embodiment shown in FIG. 6, an insulator 674 is disposed between the conduits 668, 670, thus preventing lateral heat transfer and promoting lateral temperature control regions relative to the pedestal assembly 616. Let's do it. Thus, by controlling the number, shape, size, location and heat transfer coefficient of the inserts, the temperature profile of the electrostatic chuck 666 and the substrate 614 seated thereon can be controlled. Although the insulator 674 is shown in FIG. 6 shaped as an annular ring, the shape of the insulator 674 can take any number of forms.

선택적인 열 전도성 페이스트(paste) 또는 접착제(미도시)는 베이스(664) 및 정전 척(666) 사이에 배치될 수 있다. 전도성 페이스트는 정전 척(666)과 베이스(664) 사이에 열 교환을 촉진시킨다. 예시적인 일 실시예에서, 접착제는 정전 척(666)을 베이스(664)에 기계적으로 결합시킨다. 선택적으로(미도시), 페디스털 어셈블리(616)는 정전 척(666)을 베이스(664)에 결합시키도록 구성된 하드웨어(예, 클램프들, 스크류들 등)를 포함할 수 있다.An optional thermally conductive paste or adhesive (not shown) may be disposed between the base 664 and the electrostatic chuck 666. The conductive paste promotes heat exchange between the electrostatic chuck 666 and the base 664. In one exemplary embodiment, the adhesive mechanically couples the electrostatic chuck 666 to the base 664. Optionally (not shown), pedestal assembly 616 may include hardware (eg, clamps, screws, etc.) configured to couple electrostatic chuck 666 to base 664.

정전 척(666)과 베이스(664)의 온도는 다수의 센서들을 이용하여 모니터링된다. 도 6에 도시된 실시예에서, 제 1 온도 센서(690)가 페디스털 어셈블리(616)의 중심 영역의 온도를 나타내는 지표를 제어기(650)에 제공할 수 있고, 제 2 온도 센서(692)가 페디스털 어셈블리(616)의 둘레 영역의 온도를 나타내는 지표를 제어 기(650)에 제공할 수 있도록, 제 1 온도 센서(690) 및 제 2 온도 센서(692)는 반경으로 이격된 배향으로 도시된다. The temperature of the electrostatic chuck 666 and base 664 is monitored using a number of sensors. In the embodiment shown in FIG. 6, the first temperature sensor 690 can provide the controller 650 with an indicator indicating the temperature of the central region of the pedestal assembly 616, and the second temperature sensor 692. The first temperature sensor 690 and the second temperature sensor 692 are in a radially spaced orientation so that the controller 650 can provide an indicator indicating the temperature of the peripheral region of the pedestal assembly 616. Shown.

정전 척(666)은 베이스(664)상에 배치되고, 커버 링(648)에 의해 둘러싸인다. 정전 척(666)은 알루미늄, 세라믹 또는 처리 동안 기판(614)을 지지하기에 적합한 다른 물질들로 제조될 수 있다. 일 실시예에서, 정전 척(666)은 세라믹이다. 선택적으로, 정전 척(666)은 진공 척, 기계적 척, 또는 다른 적절한 기판 지지부로 대체될 수 있다.The electrostatic chuck 666 is disposed on the base 664 and surrounded by the cover ring 648. The electrostatic chuck 666 may be made of aluminum, ceramic, or other materials suitable for supporting the substrate 614 during processing. In one embodiment, the electrostatic chuck 666 is ceramic. Optionally, the electrostatic chuck 666 can be replaced with a vacuum chuck, mechanical chuck, or other suitable substrate support.

정전 척(666)은 일반적으로 세라믹 또는 유사한 유전체 물질로부터 형성되고, 척킹 전원(682)을 이용하여 제어되는 적어도 하나의 클램핑 전극(680)을 포함한다. 전극(680)(또는 척(666) 또는 베이스(664)에 배치된 다른 전극)은 프로세스로부터 형성된 플라즈마 및/또는 처리 챔버(600)내의 다른 가스들을 유지하기 위한 하나 이상의 RF 전원들에 선택적으로 결합될 수 있다. 도 6에 도시된 실시예에서, 전극(680)은 챔버내의 처리 가스들로부터 형성되는 플라즈마를 유지하기 위해 적합한 RF 신호를 생성할 수 있는 RF 전원 및 정합 회로(684)에 결합된다.The electrostatic chuck 666 is generally formed from a ceramic or similar dielectric material and includes at least one clamping electrode 680 controlled using a chucking power source 682. Electrode 680 (or other electrode disposed in chuck 666 or base 664) is selectively coupled to one or more RF power sources for maintaining plasma formed from the process and / or other gases in processing chamber 600. Can be. In the embodiment shown in FIG. 6, electrode 680 is coupled to an RF power source and matching circuit 684 that can generate a suitable RF signal to maintain a plasma formed from the process gases in the chamber.

정전 척(666)은 정전 척의 기판 지지 표면에 형성되고 열 전달(또는 후면) 가스의 소스(미도시)에 유동성 결합되는 그루브들과 같은, 다수의 가스 통로들(미도시)을 포함할 수 있다. 동작시, 후면 가스(예, 헬륨(He))는 정전 척(666)과 기판(614) 사이에 열 전달을 향상시키기 위해 가스 통로들로의 제어된 압력에서 제공된다. 통상적으로, 정전 척의 적어도 기판 지지 표면에는 기판들을 처리하는 동안 사용되는 온도들 및 화학제들에 내성인 코팅이 제공된다.The electrostatic chuck 666 may include a plurality of gas passages (not shown), such as grooves formed on a substrate support surface of the electrostatic chuck and fluidly coupled to a source of heat transfer (or back) gas (not shown). . In operation, backside gas (eg, helium He) is provided at a controlled pressure into the gas passages to enhance heat transfer between the electrostatic chuck 666 and the substrate 614. Typically, at least the substrate support surface of the electrostatic chuck is provided with a coating that is resistant to the temperatures and chemicals used during processing of the substrates.

도 7-9는 챔버(100), 또는 다른 적절한 처리 챔버에서 실시될 수 있는 에칭 프로세스들(700, 800, 900)의 실시예들의 흐름도들이다. 각각의 프로세스는 도 10A-10F 및 도 11A-11B에 도시된 구조물들을 제조하는데 사용될 수 있다. 프로세스들(700, 800, 900)은 도 10A-10F의 게이트 구조물 및 도 11A-11C의 얕은 트랜치 절연체(STI)를 형성하기 위해 도시되지만, 프로세스들은 다른 구조물들을 에칭하는데 바람직하게 사용될 수도 있다. 프로세스들(700, 800, 900)은 에칭 프로세스 결과들의 측면 분포를 제어하는데 사용될 수 있다. 예를 들어, 프로세스들(700, 800, 900)은 에칭 프로세스 결과들의 에지 분포에 대한 실질적으로 균일한 중심을 형성하는데 사용될 수 있고, 여기서 프로세스 결과들은 다른 것들 중에서, 에칭 깊이, CD 편차, 마이크로로딩, 측벽 프로파일, 패시베이션, 에칭율, 스텝 커버리지, 피쳐 테이퍼 각도들 및 언더컷팅 중 적어도 하나를 포함한다.7-9 are flow diagrams of embodiments of etching processes 700, 800, 900 that may be performed in chamber 100, or other suitable processing chamber. Each process can be used to fabricate the structures shown in FIGS. 10A-10F and 11A-11B. Processes 700, 800, 900 are shown to form the gate structure of FIGS. 10A-10F and the shallow trench insulator (STI) of FIGS. 11A-11C, although the processes may be preferably used to etch other structures. Processes 700, 800, 900 can be used to control the lateral distribution of the etching process results. For example, processes 700, 800, 900 can be used to form a substantially uniform center for the edge distribution of the etch process results, where the process results are, among others, etch depth, CD deviation, microloading. At least one of sidewall profile, passivation, etch rate, step coverage, feature taper angles, and undercutting.

도 7의 프로세스(700)는 기판상의 에칭 부산물들의 균일한 증착율에 상응하는 기판 온도 타겟 프로파일을 결정함으로써 단계(702)에서 시작된다. 단계(704)에서, 기판 지지부의 제 1 부분의 온도는 기판상의 기판 온도 타겟 프로파일을 획득하도록 기판 지지부의 제 2 부분에 비해 우선적으로 조정된다. 단계(706)에서, 기판은 우선적으로 조정된 기판 지지부상에서 에칭된다. The process 700 of FIG. 7 begins at step 702 by determining a substrate temperature target profile corresponding to a uniform deposition rate of etch byproducts on the substrate. In step 704, the temperature of the first portion of the substrate support is preferentially adjusted relative to the second portion of the substrate support to obtain a substrate temperature target profile on the substrate. In step 706, the substrate is preferentially etched onto the adjusted substrate support.

도 8의 프로세스(800)는 제 1 프로세스 조건에 영향을 주도록 제 1 프로세스 제어 노브를 제공함으로써 단계(802)에서 시작되고, 여기서 제 1 프로세스 조건은 프로세스 결과들의 제 1 분포로 나타낸다. 단계(804)에서, 제 2 프로세스 조건에 영향을 주는 제 2 프로세스 제어 노브에 제공되며, 여기서 제 2 프로세스 조건은 프로세스 결과들의 제 2 분포로 나타낸다. 단계(806)에서, 제 1 및 제 2 프로세스 제어 노브는 모두 프로세스 결과들의 제 3 분포를 형성하기 위해 미리 결정된 셋팅으로 설정되고, 여기서 프로세스 결과들의 제 3 분포는 프로세스 결과들의 제 1 및 제 2 분포와 상이하다. 단계(808)에서, 미리 결정된 셋팅으로 설정된 제 1 및 제 2 프로세스 제어 노브를 갖는 처리 챔버에서 기판 지지부에 배치된 기판이 에칭되고, 여기서 제 1 프로세스 제어 노브는 처리 챔버로의 가스 주입의 위치들을 선택하며, 제 2 프로세스 제어 노브는 기판 지지부의 온도 프로파일을 선택한다.Process 800 of FIG. 8 begins at step 802 by providing a first process control knob to affect the first process condition, where the first process condition is represented by a first distribution of process results. In step 804, a second process control knob is provided that affects the second process condition, where the second process condition is represented by a second distribution of process results. In step 806, both the first and second process control knobs are set to predetermined settings to form a third distribution of process results, where the third distribution of process results is the first and second distribution of process results. Is different from In step 808, the substrate disposed in the substrate support in the processing chamber having the first and second process control knobs set to predetermined settings is etched, where the first process control knob is adapted to position the positions of gas injection into the processing chamber. The second process control knob selects a temperature profile of the substrate support.

도 9의 프로세스(900)는 측면 온도 제어를 통해 기판 지지부 및 처리 챔버내의 종의 선택가능한 분포를 갖는 처리 챔버에 기판을 제공함으로써 단계(902)에서 시작되고, 여기서 기판 지지부 및 종 분포의 선택에 의해 유도되는 온도 프로파일은 제어 파라미터 세트를 포함한다. 단계(904)에서, 제 1 물질층은 제 1 제어 파라미터 세트를 이용하여 에칭된다. 단계(906)에서, 에칭되는 제 2 물질층은 제 2 제어 파라미터 세트를 이용하여 에칭되고, 여기서 제 1 및 제 2 제어 파라미터 세트는 상이하다. 방법(900)은 단일층의 증분적인 에칭 동안 실시될 수 있다는 것을 고려하고, 여기서 각각의 증분적인 에칭 단계는 층 에칭 단계로서 처리된다.The process 900 of FIG. 9 begins at step 902 by providing a substrate to a processing chamber having a selectable distribution of species in the substrate support and processing chamber through side temperature control, where selection of the substrate support and species distribution is performed. The temperature profile derived by means of the control parameter set. In step 904, the first layer of material is etched using the first set of control parameters. In step 906, the second material layer to be etched is etched using the second set of control parameters, where the first and second set of control parameters are different. It is contemplated that the method 900 may be performed during a single layer incremental etch, where each incremental etch step is treated as a layer etch step.

에칭 방법들(700, 800, 900)은 도 10A-10F의 시퀀스에 도시된 것처럼, 게이트 구조물을 제조하는데 사용될 수 있다. 제어 노브들, 종 분포, 처리 가스 유동 배향, 처리 가스 주입 위치, 및 기판 및/또는 기판 지지부의 온도 프로파일의 설정 및/또는 조절은 막 적층물(1000)의 층들 중 임의의 하나의 에칭 동안, 또는 각각의 층들을 에칭하는 사이에 실시될 수 있다는 것을 고려한다.Etching methods 700, 800, 900 may be used to fabricate the gate structure, as shown in the sequence of FIGS. 10A-10F. Setting and / or adjusting the control knobs, the species distribution, the process gas flow orientation, the process gas injection position, and the temperature profile of the substrate and / or substrate support during etching of any one of the layers of the film stack 1000, Or may be practiced between etching the respective layers.

도 10A를 참조하면, 포토레지스트 층(1002), BARC 층(1004), 하드마스크 층(1006), 게이트 전극층(1008) 및 기판(1014)상에 배치된 게이트 유전체 층을 포함하는 막 적층물(1000)이 제공된다. 게이트 유전체 층은 높은-k 층(1010) 및 선택적인 하부 폴리실리콘 층(1012)을 포함할 수 있다. 기판(1014)은 반도체 기판들, 실리콘 기판들, 유리 기판들 등 중 임의의 하나일 수 있다. 막 적층물(1000)을 포함하는 층들은 원자층 증착(ALD), 물리적 기상 증착(PVD), 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD) 등과 같은, 하나 이상의 적절한 종래의 증착 기술들을 이용하여 형성될 수 있다. 막 적층물(300)은 다른 모듈 제조사들 중에서, 캘리포니아 산타클레라의 어플라이드 머티어리얼스 사로부터 이용가능한 CENTURA®, PRODUCER®, ENDURA®의 각 처리 모듈들 및 다른 반도체 기판 처리 시스템들을 이용하여 증착될 수 있다. 도 10A에 도시된 실시예에서, BARC 층(1004)의 부분들은 패턴화된 포토레지스트 층(1002)에 형성된 하나 이상의 개구들(1016)을 통해 노출된다. 막 적층물은 게이트 구조물을 규정하도록 개구들(1016)을 통해 에칭된다.Referring to FIG. 10A, a film stack including a photoresist layer 1002, a BARC layer 1004, a hardmask layer 1006, a gate electrode layer 1008, and a gate dielectric layer disposed on a substrate 1014 ( 1000). The gate dielectric layer may include a high-k layer 1010 and an optional lower polysilicon layer 1012. Substrate 1014 may be any one of semiconductor substrates, silicon substrates, glass substrates, and the like. The layers comprising the film stack 1000 utilize one or more suitable conventional deposition techniques, such as atomic layer deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced CVD (PECVD), and the like. Can be formed. The film stack 300 is deposited using different semiconductor substrate processing systems and respective processing modules from CENTURA®, PRODUCER®, ENDURA® available from Applied Materials, Inc. of Santa Clara, California, among other module manufacturers. Can be. In the embodiment shown in FIG. 10A, portions of BARC layer 1004 are exposed through one or more openings 1016 formed in patterned photoresist layer 1002. The film stack is etched through the openings 1016 to define the gate structure.

막 적층물(1000)의 에칭은 BARC 층(1004)을 먼저 에칭하는 단계를 포함한다. BARC 층(1004)은 전형적으로, 포토레지스트 층(1002)의 패터닝을 용이하게 하기 위해 사용되는 유기 물질이다. BARC 층(1004)의 에칭 동안, 처리 챔버로의 처리 가스들의 유동은 처리 챔버내의 종의 분포를 제어하기 위해, 제 1 출구 포트(604) 및 제 2 출구 포트(606) 사이에 대략적으로 동일하게 분할될 수 있다. 다른 실시예들에서, BARC 층(1004)의 에칭은 포트(604)로부터 포트(606)로 그 사이에 규정된 유 량들의 전체 범위를 포함하면서, 출구 포트(604)로부터 100%의 유동을 제공 내지 출구 포트(606)로부터 100%의 유동을 제공하는 범위일 수 있다. BARC 층(1004)이 도 10B에 도시된 것처럼 에칭된 이후, 개구(1016)는 도 10C에 도시된 것처럼 하드 마스크 층(1006)을 에칭하는데 사용된다.Etching the film stack 1000 includes first etching the BARC layer 1004. BARC layer 1004 is typically an organic material used to facilitate the patterning of photoresist layer 1002. During etching of the BARC layer 1004, the flow of process gases into the process chamber is approximately equal between the first outlet port 604 and the second outlet port 606 to control the distribution of species in the process chamber. Can be divided. In other embodiments, etching of the BARC layer 1004 provides 100% flow from the outlet port 604 while covering the entire range of flow rates defined therebetween from the port 604 to the port 606. To a range of 100% flow from the outlet port 606. After BARC layer 1004 is etched as shown in FIG. 10B, opening 1016 is used to etch hard mask layer 1006 as shown in FIG. 10C.

하드 마스크 층(1006)은 SiO2, SiO3, SiON 또는 다른 적절한 물질일 수 있다. 하드 마스크 층(1006)의 에칭 동안, 처리 챔버에 진입하는 처리 가스 유동의 적어도 약 50%는 출구 포트(606)로부터 제공될 수 있다. 다른 실시예들에서, 하드 마스크 층 에칭은 출구 포트들(604, 606) 사이에 실질적으로 동일한 유동 분포를 이용할 수 있거나, 출구 포트들(604, 606) 사이에 약 25:75의 비율을 이용할 수 있다. 다른 실시예에서, 처리 가스 유동은 출구 포트(606)로부터 우선적으로 제공된다. 하드 마스크 층(1006)이 에칭되면, 게이트 전극층(1008)은 도 10D에 도시된 것처럼 에칭된다.Hard mask layer 1006 may be SiO 2 , SiO 3 , SiON or other suitable material. During the etching of the hard mask layer 1006, at least about 50% of the process gas flow entering the process chamber may be provided from the outlet port 606. In other embodiments, the hard mask layer etch may use substantially the same flow distribution between the outlet ports 604, 606, or may use a ratio of about 25:75 between the outlet ports 604, 606. have. In another embodiment, process gas flow is provided preferentially from outlet port 606. When the hard mask layer 1006 is etched, the gate electrode layer 1008 is etched as shown in FIG. 10D.

게이트 전극층(1008)은 폴리실리콘 층상에 배치된 금속층 또는 폴리실리콘 층을 포함할 수 있다. 폴리실리콘 층은 α-Si 또는 c-Si일 수 있다. 게이트 전극층(1008)에 사용하기 위해 적합한 금속층들은 텅스텐(W), 텅스텐 질화물(WN), 텅스텐 실리사이드(WSi), 텅스텐 폴리실리콘(W/poly), 텅스텐 함금, 탄탈(Ta), 탄탈 질화물(TaN), 탄탈 실리콘 질화물(TaSiN), 티타늄 질화물(TiN), 이들 각각 또는 이들의 조합물들을 포함한다.The gate electrode layer 1008 may include a metal layer or a polysilicon layer disposed on the polysilicon layer. The polysilicon layer may be α-Si or c-Si. Suitable metal layers for use in the gate electrode layer 1008 include tungsten (W), tungsten nitride (WN), tungsten silicide (WSi), tungsten polysilicon (W / poly), tungsten alloy, tantalum (Ta), tantalum nitride (TaN) ), Tantalum silicon nitride (TaSiN), titanium nitride (TiN), each of these or combinations thereof.

게이트 유전체 층(1008)의 에칭은 메인, 소프트 랜딩 및 오버 에칭 단계들로 분할될 수 있다. 각각의 이러한 단계들은 본 발명에 따라 상이하게 설정되는 하나 이상의 처리 파라미터들을 가질 수 있다. 예를 들어, 메인 및 소프트 랜딩 단계들은 출구 포트(604)를 통해 우선적으로 처리 가스들을 유동시킬 수 있으며, 오버 에칭 단계는 출구 포트들(604, 606) 사이에서 실질적으로 동일한 유동을 제공한다. 다른 실시예들에서, 오버 에칭 단계는 출구 포트(606)를 통해 처리 가스들을 우선적으로 유동시킬 수 있다. 게이트 전극층(1008)을 에칭하기 위해 적합한 처리 가스들은 다른 것들 중에서, HBr, BCl3, HCl, 염소 가스(Cl2), 질소 트리플루오라이드(NF3), 황 헥사플루오라이드 가스(SF6), 및 CF4, CHF3, C4F8과 같은 탄소와 불소 함유 가스를 일반적으로 포함한다. The etching of the gate dielectric layer 1008 can be divided into main, soft landing and over etching steps. Each of these steps may have one or more processing parameters set differently in accordance with the present invention. For example, the main and soft landing steps may preferentially flow process gases through the outlet port 604, and the over etch step provides substantially the same flow between the outlet ports 604, 606. In other embodiments, the over etching step may preferentially flow process gases through the outlet port 606. Process gases suitable for etching the gate electrode layer 1008 include, among others, HBr, BCl 3 , HCl, chlorine gas (Cl 2 ), nitrogen trifluoride (NF 3 ), sulfur hexafluoride gas (SF 6 ), And carbon and fluorine containing gases such as CF 4 , CHF 3 , C 4 F 8 .

몇가지 처리 파라미터들은 에칭 동안 조정된다. 일 실시예에서, 챔버 압력은 약 2mTorr 내지 약 100mTorr에서 조정된다. RF 전원은 약 100Watts 내지 약 1500Watts 범위에서 처리 가스로부터 형성된 플라즈마를 유지하도록 적용될 수 있다. Several processing parameters are adjusted during the etch. In one embodiment, the chamber pressure is adjusted from about 2 mTorr to about 100 mTorr. The RF power source may be applied to maintain a plasma formed from the processing gas in the range of about 100 Watts to about 1500 Watts.

게이트 전극층(1008)에 대한 에칭 이후, 게이트 유전체층이 에칭된다. 게이트 유전체 층의 적절한 예들은 다른 것들 중에서, 이에 제한됨이 없이, 산화물 층, 질소-함유 층, 산화물 및 질소-함유 층의 복합물, 질소-함유 층에 삽입된 적어도 하나 이상의 산화물 층들을 포함한다. 일 실시예에서, 게이트 유전체층 물질은 높은-k 물질(높은-k 물질들은 4.0보다 더 큰 유전 상수들을 가짐)이다. 높은-k 물질들의 예들은 다른 것들 중에서, 하프늄 이산화물(HfO2), 지르코늄 이산화물(ZrO2), 하프늄 실리콘 산화물(HfSiO2), 지르코늄 실리콘 산화물(ZrSiO2), 탄탈 이산화물(TaO2), 알루미늄 산화물, 알루미늄 도핑된 하프늄 이산화물, 비스무트 스트론튬 티타늄(BST), 및 플래티늄 지르코늄 티타늄(PZT)을 포함한다.After etching to the gate electrode layer 1008, the gate dielectric layer is etched. Suitable examples of gate dielectric layers include, but are not limited to, oxide layers, nitrogen-containing layers, complexes of oxide and nitrogen-containing layers, at least one or more oxide layers embedded in nitrogen-containing layers, among others. In one embodiment, the gate dielectric layer material is a high-k material (high-k materials have dielectric constants greater than 4.0). Examples of high-k materials are hafnium dioxide (HfO 2 ), zirconium dioxide (ZrO 2 ), hafnium silicon oxide (HfSiO 2 ), zirconium silicon oxide (ZrSiO 2 ), tantalum dioxide (TaO 2 ), aluminum oxide, among others. Aluminum doped hafnium dioxide, bismuth strontium titanium (BST), and platinum zirconium titanium (PZT).

도 10A-10E에 도시된 실시예에서, 게이트 유전체층은 높은-k 층(1010) 및 폴리실리콘 층(1012)으로서 도시된다. 폴리실리콘 층(1012)은 전술한 바와 같이 에칭될 수 있다. 높은-k 층(1010)은 탄소 모노옥사이드 및 할로겐 함유 가스를 포함하는 플라즈마에 층(1010)을 노출시킴으로써 에칭될 수 있다. 게이트 유전체 층을 에칭한 이후, 포토레지스트 층(1002)은 예를 들어 산소-함유 플라즈마로의 노출과 같은, 스트립핑(stripping) 프로세스를 이용하여 도 10F에 도시된 바와 같이 제거될 수 있다.In the embodiment shown in FIGS. 10A-10E, the gate dielectric layer is shown as a high-k layer 1010 and a polysilicon layer 1012. Polysilicon layer 1012 may be etched as described above. The high-k layer 1010 may be etched by exposing the layer 1010 to a plasma comprising carbon monooxide and a halogen containing gas. After etching the gate dielectric layer, the photoresist layer 1002 may be removed as shown in FIG. 10F using a stripping process, such as, for example, exposure to an oxygen-containing plasma.

에칭 방법들(700, 800, 900)은 도 11A-11C의 시퀀스에 도시된 것처럼, 얕은 트랜치 절연(STI) 구조물을 제조하는데 사용될 수도 있다. 제어 노브들, 종 분포, 처리 가스 유동 배향, 처리 가스 주입 위치 및 기판 및/또는 기판 지지부의 온도 프로파일은 막 적층물의 층들 중 임의의 하나의 에칭 또는 각각의 층들의 에칭 사이에 실시될 수 있다는 것을 고려한다.Etching methods 700, 800, 900 may be used to fabricate a shallow trench isolation (STI) structure, as shown in the sequence of FIGS. 11A-11C. Control knobs, species distribution, process gas flow orientation, process gas injection location and temperature profile of the substrate and / or substrate support may be performed between the etching of any one of the layers of the film stack or the etching of the respective layers. Consider.

도 11A를 먼저 참조하면, 포토레지스트 층(1102), 및 기판(1106)상에 배치된 폴리실리콘 층(1104)을 포함하는 막 적층물(1100)이 제공된다. 기판(1106)은 반도체 기판들, 실리콘 기판들, 유리 기판들 등 중 임의의 하나일 수 있다. 도 11A에 도시된 실시예에서, 폴리실리콘 층(1104)의 부분들은 패턴화된 포토레지스트 층(1102)에 형성된 하나 이상의 개구들(1108)을 통해 노출된다. 막 적층물은 얕은 트랜치 절연(STI) 구조물을 규정하기 위해 개구들(1108)을 통해 에칭된다.Referring first to FIG. 11A, a film stack 1100 is provided that includes a photoresist layer 1102 and a polysilicon layer 1104 disposed on a substrate 1106. Substrate 1106 may be any one of semiconductor substrates, silicon substrates, glass substrates, and the like. In the embodiment shown in FIG. 11A, portions of the polysilicon layer 1104 are exposed through one or more openings 1108 formed in the patterned photoresist layer 1102. The film stack is etched through the openings 1108 to define a shallow trench isolation (STI) structure.

폴리실리콘 층(1104)은 도 11B에 도시된 것처럼, Cl2, BCl3, HCl, HBr, CF4 등과 같은, 할로겐-함유 가스를 이용하여 에칭된다. 폴리실리콘 층의 에칭은 패시베이션 증착 단계들로 주기적으로 수행될 수 있다. 폴리실리콘 층의 에칭은 메인 에칭, 소프트 랜딩 및 오버 에칭 단계들을 포함하고, 여기서 상기 방법들(700, 800, 900)은 전술한 바와 같은 에칭 단계들 중 적어도 임의의 하나에서 수행될 수 있다. 폴리실리콘 층(1104)의 에칭 이후, 포토레지스트 층(1102)은 산소-함유 플라즈마로의 노출과 같은, 스트립핑 프로세스를 이용하여, 도 11C에 도시된 것처럼 제거될 수 있다.The polysilicon layer 1104 is etched using a halogen-containing gas, such as Cl 2 , BCl 3 , HCl, HBr, CF 4, and the like, as shown in FIG. 11B. Etching of the polysilicon layer may be performed periodically in passivation deposition steps. Etching the polysilicon layer includes main etching, soft landing and over etching steps, wherein the methods 700, 800, 900 may be performed in at least any one of the etching steps as described above. After etching the polysilicon layer 1104, the photoresist layer 1102 may be removed, as shown in FIG. 11C, using a stripping process, such as exposure to an oxygen-containing plasma.

따라서, 기판의 표면에 대해 측면으로 프로세스 결과들의 분포 제어를 가능하게 하는 에칭 프로세스가 제공되었다. 바람직하게는, 본 발명의 프로세스는 에칭 깊이, CD 편차, 마이크로로딩, 측벽 프로파일, 패시베이션, 에칭율, 스텝 커버리지, 피쳐 테이퍼 각도들 및 언더컷팅 등의 에지 분포에 대한 실질적으로 균일한 중심을 달성하도록 조절되게 기여하는 상보적인 프로세스 제어를 가능하게 한다.Thus, an etching process has been provided that allows for control of the distribution of process results laterally to the surface of the substrate. Preferably, the process of the present invention is adapted to achieve a substantially uniform center of edge distribution such as etch depth, CD deviation, microloading, sidewall profile, passivation, etch rate, step coverage, feature taper angles and undercutting, etc. Enable complementary process control that contributes to being adjusted.

전술한 상세한 설명은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들과 추가적인 실시예들이 그 기본 범주를 벗어남이 없이 안출될 수 있고, 그 범주는 이하의 청구범위에 의해 결정된다.While the foregoing detailed description is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, the scope of which is determined by the claims that follow. .

Claims (33)

기판을 에칭하기 위한 방법으로서,As a method for etching a substrate, 기판상의 에칭 부산물들의 균일한 증착율에 상응하는 기판 온도 타겟 프로파일을 결정하는 단계;Determining a substrate temperature target profile corresponding to a uniform deposition rate of etch byproducts on the substrate; 상기 기판 상의 기판 온도 타겟 프로파일을 획득하기 위해, 기판 지지부의 제 2 부분에 비해 기판 지지부의 제 1 부분의 온도를 우선적으로 조정하는 단계; 및Prioritizing the temperature of the first portion of the substrate support relative to the second portion of the substrate support to obtain a substrate temperature target profile on the substrate; And 상기 우선적으로 조정된 기판 지지부상에서 기판을 에칭하는 단계Etching the substrate on the preferentially adjusted substrate support 를 포함하는 기판 에칭 방법.Substrate etching method comprising a. 제 1 항에 있어서,The method of claim 1, 상기 기판 온도 타겟 프로파일을 결정하는 단계는, Determining the substrate temperature target profile, 상기 기판의 표면에 대한 에칭 부산물들의 분포를 결정하는 단계; 및Determining a distribution of etch byproducts on the surface of the substrate; And 상기 분포를 상기 기판 온도 타겟 프로파일에 상관시키는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Correlating the distribution to the substrate temperature target profile. 제 2 항에 있어서,The method of claim 2, 상기 에칭 부산물의 분포를 결정하는 단계는 상기 기판의 표면에 대한 부착 계수(sticking coefficient)를 결정하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Determining the distribution of etch byproducts further comprises determining a sticking coefficient for the surface of the substrate. 제 2 항에 있어서,The method of claim 2, 상기 에칭 부산물의 분포를 결정하는 단계는 상기 기판의 표면으로의 종(species)의 플럭스(flux)를 결정하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Determining the distribution of etch byproducts further comprises determining a flux of species to the surface of the substrate. 제 1 항에 있어서,The method of claim 1, 상기 기판 온도 타겟 프로파일을 결정하는 단계는,Determining the substrate temperature target profile, 에칭 부산물들의 부착 및 기판 온도 사이의 관계를 모델링하는 단계; 및Modeling a relationship between deposition of etch byproducts and substrate temperature; And 상기 모델링으로부터 상기 기판 온도 타겟 프로파일을 생성하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Generating the substrate temperature target profile from the modeling. 제 1 항에 있어서,The method of claim 1, 상기 기판 온도 타겟 프로파일을 결정하는 단계는 상기 기판 온도 타겟 프로파일을 생성하기 위한 실험적 데이터를 사용하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Determining the substrate temperature target profile further comprises using experimental data to generate the substrate temperature target profile. 기판을 에칭하기 위한 방법으로서,As a method for etching a substrate, 제 1 프로세스 조건에 영향을 주도록 제 1 프로세스 제어 노브(knob)를 제공 하는 단계 - 상기 제 1 프로세스 조건은 프로세스 결과들의 제 1 분포로 나타냄 -;Providing a first process control knob to affect a first process condition, wherein the first process condition is represented by a first distribution of process results; 제 2 프로세스 조건에 영향을 주도록 제 2 프로세스 제어 노브를 제공하는 단계 - 상기 제 2 프로세스 조건은 프로세스 결과들의 제 2 분포로 나타냄 -;Providing a second process control knob to affect a second process condition, said second process condition represented by a second distribution of process results; 프로세스 결과들의 제 3 분포를 형성하도록 상기 제 1 및 제 2 프로세스 제어 노브를 미리 결정된 셋팅으로 설정하는 단계 - 상기 프로세스 결과들의 제 3 분포는 상기 프로세스 결과들의 제 1 및 제 2 분포와 상이함 -; 및Setting the first and second process control knobs to predetermined settings to form a third distribution of process results, wherein the third distribution of the process results is different from the first and second distributions of the process results; And 상기 미리 결정된 셋팅으로 설정된 제 1 및 제 2 프로세스 제어 노브를 갖는 처리 챔버의 기판 지지부상에 배치된 기판을 에칭하는 단계 - 상기 제 1 프로세스 제어 노브는 상기 처리 챔버로의 가스 주입의 위치들을 선택하고, 상기 제 2 프로세스 제어 노브는 상기 기판 지지부의 온도 프로파일을 선택함 -Etching a substrate disposed on a substrate support of a processing chamber having first and second process control knobs set to the predetermined setting, the first process control knob selecting positions of gas injection into the processing chamber and The second process control knob selects a temperature profile of the substrate support; 를 포함하는 기판 에칭 방법.Substrate etching method comprising a. 제 7 항에 있어서,The method of claim 7, wherein 상기 제 1 프로세스 제어 노브를 설정하는 단계는 직접적인 가스 주입 배향 대 간접적인 가스 주입 배향의 비율을 선택하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Setting the first process control knob further comprises selecting a ratio of a direct gas injection orientation to an indirect gas injection orientation. 제 7 항에 있어서,The method of claim 7, wherein 상기 제 1 프로세스 제어 노브를 설정하는 단계는 상기 기판의 평면에 대해 실질적으로 수직인 배향에서 상기 처리 챔버로 가스를 현저하게 유동시키는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.The step of setting the first process control knob further comprises the step of significantly flowing gas into the processing chamber in an orientation substantially perpendicular to the plane of the substrate. 제 7 항에 있어서,The method of claim 7, wherein 상기 제 1 프로세스 제어 노브를 설정하는 단계는 상기 기판의 평면에 대해 실질적으로 평행한 배향에서 상기 처리 챔버로 가스를 현저하게 유동시키는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Setting the first process control knob further comprises flowing gas significantly into the processing chamber in an orientation substantially parallel to the plane of the substrate. 제 7 항에 있어서,The method of claim 7, wherein 상기 제 1 프로세스 제어 노브를 설정하는 단계는 상기 처리 챔버내에서 패시베이션 종의 국부적인 부분 압력을 제어하는 단계를 포함하는 것을 특징으로 하는 기판 에칭 방법.Setting the first process control knob comprises controlling a local partial pressure of a passivation species in the processing chamber. 제 7 항에 있어서,The method of claim 7, wherein 상기 제 1 프로세스 제어 노브를 설정하는 단계는 직접적인 및 간접적인 가스 주입 배향들에서 상기 처리 챔버로 가스를 유동시키는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Setting the first process control knob further comprises flowing gas into the processing chamber in direct and indirect gas injection orientations. 제 7 항에 있어서,The method of claim 7, wherein 상기 제 2 프로세스 제어 노브를 설정하는 단계는 제 2 부분에 비해 상기 기판 지지부의 제 1 부분을 우선적으로 가열하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Setting the second process control knob further comprises preferentially heating the first portion of the substrate support relative to the second portion. 제 7 항에 있어서,The method of claim 7, wherein 상기 제 2 프로세스 제어 노브를 설정하는 단계는 중심 부분에 비해 상기 기판 지지부의 둘레 부분을 우선적으로 가열하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Setting the second process control knob further comprises preferentially heating a peripheral portion of the substrate support relative to a central portion. 제 7 항에 있어서,The method of claim 7, wherein 상기 제 2 프로세스 제어 노브를 설정하는 단계는 제 2 부분에 비해 상기 기판 지지부의 제 1 부분을 우선적으로 냉각시키는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Setting the second process control knob further comprises preferentially cooling the first portion of the substrate support relative to the second portion. 제 7 항에 있어서,The method of claim 7, wherein 상기 기판을 에칭하는 단계는,Etching the substrate, a) BARC 층을 에칭하는 단계;a) etching the BARC layer; b) 하드 마스크 층을 에칭하는 단계; 및b) etching the hard mask layer; And c) 게이트 전극 층을 에칭하는 단계를 더 포함하고, 상기 에칭 단계 a) 내지 c) 중 2개 이상은 상기 제 1 및 제 2 프로세스 제어 노브 중 하나 이상에 대해 상이한 설정들에서 수행되는 것을 특징으로 하는 기판 에칭 방법.c) etching the gate electrode layer, wherein at least two of the etching steps a) to c) are performed at different settings for one or more of the first and second process control knobs. Substrate etching method. 제 16 항에 있어서,The method of claim 16, 상기 게이트 전극 층을 에칭하는 단계는 폴리실리콘 층을 에칭하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Etching the gate electrode layer further comprises etching a polysilicon layer. 제 17 항에 있어서,The method of claim 17, 상기 게이트 전극 층을 에칭하는 단계는 상기 폴리실리콘 층의 상부에 배치된 금속 물질을 에칭하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Etching the gate electrode layer further comprises etching a metal material disposed over the polysilicon layer. 제 7 항에 있어서,The method of claim 7, wherein 상기 기판을 에칭하는 단계는 높은 종횡비의 피쳐를 형성하도록 폴리실리콘을 에칭하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Etching the substrate further comprises etching polysilicon to form a high aspect ratio feature. 제 19 항에 있어서,The method of claim 19, 상기 제 1 프로세스 제어 노브를 설정하는 단계는 직접적인 및 간접적인 가스 주입 배향들에서 상기 처리 챔버로 가스를 유동시키는 단계를 더 포함하고, 직접적인 가스 유동 대 간접적인 가스 유동의 비율은 50:50 내지 약 0:100인 것을 특징으로 하는 기판 에칭 방법.Setting the first process control knob further includes flowing gas into the processing chamber in direct and indirect gas injection orientations, wherein the ratio of direct gas flow to indirect gas flow is from about 50:50 to about. It is 0: 100, The substrate etching method characterized by the above-mentioned. 기판을 에칭하기 위한 방법으로서,As a method for etching a substrate, 처리 챔버내에 선택가능한 분포의 종을 갖는 처리 챔버의 기판, 및 측면 온 도 제어되는 기판 지지부를 제공하는 단계 - 상기 기판 지지부 및 종 분포의 선택에 의해 유도되는 온도 프로파일은 제어 파라미터 세트를 포함함 -;Providing a substrate of a processing chamber having a selectable species of distribution in the processing chamber, and a side temperature controlled substrate support, wherein the temperature profile induced by the selection of the substrate support and species distribution comprises a set of control parameters. ; 제 1 제어 파라미터 세트를 이용하여 제 1 물질층을 에칭하는 단계; 및Etching the first layer of material using the first set of control parameters; And 제 2 제어 파라미터 세트를 이용하여 제 2 물질층을 에칭하는 단계 - 상기 제 1 및 제 2 제어 파라미터 세트는 상이함 -Etching the second layer of material using a second set of control parameters, wherein the first and second set of control parameters are different. 를 포함하는 기판 에칭 방법.Substrate etching method comprising a. 제 21 항에 있어서,The method of claim 21, 상기 제 1 및 제 2 물질층을 에칭하는 단계는,Etching the first and second material layers, 마스크 층을 에칭하는 단계; 및Etching the mask layer; And 높은 종횡비의 피쳐를 형성하도록 폴리실리콘을 에칭하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Etching the polysilicon to form a high aspect ratio feature. 제 22 항에 있어서,The method of claim 22, 상기 폴리실리콘을 에칭하는 단계를 위한 제어 파라미터 세트는 직접적인 및 간접적인 가스 주입 배향들에서 상기 처리 챔버로 가스를 유동시키는 단계를 더 포함하고, 직접적인 가스 유동 대 간접적인 가스 유동의 비율은 50:50 내지 약 0:100인 것을 특징으로 하는 기판 에칭 방법.The set of control parameters for etching the polysilicon further includes flowing gas into the processing chamber in direct and indirect gas injection orientations, wherein the ratio of direct gas flow to indirect gas flow is 50:50. To about 0: 100. 제 21 항에 있어서,The method of claim 21, 상기 제 1 및 제 2 물질층을 에칭하는 단계는,Etching the first and second material layers, 마스크 층을 에칭하는 단계; 및Etching the mask layer; And 게이트 전극층을 에칭하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.And etching the gate electrode layer. 제 24 항에 있어서,The method of claim 24, 상기 게이트 전극층을 에칭하는 단계는 폴리실리콘 층을 에칭하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Etching the gate electrode layer further comprises etching a polysilicon layer. 제 25 항에 있어서,The method of claim 25, 상기 게이트 전극층을 에칭하는 단계는 상기 폴리실리콘 층의 상부에 배치된 금속 물질을 에칭하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Etching the gate electrode layer further comprises etching a metal material disposed over the polysilicon layer. 제 21 항에 있어서,The method of claim 21, 상기 제 1 및 제 2 제어 파라미터 세트 사이의 차이를 제공하기 위해 상기 처리 챔버로의 가스 유동 분포를 변화시키는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Varying the gas flow distribution to the processing chamber to provide a difference between the first and second set of control parameters. 제 27 항에 있어서,The method of claim 27, 상기 처리 챔버내에서 종의 분포를 변화시키는 단계는 상기 기판의 평면에 대해 실질적으로 수직인 배향에서 상기 처리 챔버로 가스를 현저하게 유동시키는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Varying the distribution of species in the processing chamber further comprises flowing gas significantly into the processing chamber in an orientation substantially perpendicular to the plane of the substrate. 제 21 항에 있어서,The method of claim 21, 상기 제 1 및 제 2 제어 파라미터 세트 사이에 차이를 제공하기 위해 상기 처리 챔버로 진입하는 가스의 배향을 변화시키는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Varying the orientation of the gas entering the processing chamber to provide a difference between the first and second set of control parameters. 제 21 항에 있어서,The method of claim 21, 상기 제 1 및 제 2 제어 파라미터 세트 사이에 차이를 제공하기 위해 상기 기판 지지부의 온도 프로파일을 변화시키는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.And varying the temperature profile of the substrate support to provide a difference between the first and second set of control parameters. 제 30 항에 있어서,The method of claim 30, 상기 온도 프로파일을 변화시키는 단계는 중심 부분에 비해 상기 기판 지지부의 둘레 부분을 우선적으로 가열하는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Changing the temperature profile further comprises preferentially heating a peripheral portion of the substrate support relative to a central portion. 제 30 항에 있어서,The method of claim 30, 상기 온도 프로파일을 변화시키는 단계는 제 2 부분에 비해 상기 기판 지지 부의 제 1 부분을 우선적으로 냉각시키는 단계를 더 포함하는 것을 특징으로 하는 기판 에칭 방법.Changing the temperature profile further comprises preferentially cooling the first portion of the substrate support relative to the second portion. 제 21 항에 있어서,The method of claim 21, 프로세스 결과들의 에지 분포에 대한 실질적으로 균일한 중심을 형성하기 위해 상기 제 1 및 제 2 제어 파라미터 세트를 선택하는 단계를 더 포함하고, 상기 프로세스 결과들은 에칭 깊이, CD 편차, 마이크로로딩, 측벽 프로파일, 패시베이션, 에칭율, 스텝 커버리지, 피쳐 테이퍼 각도들 및 언더컷팅 중 하나 이상을 포함하는 것을 특징으로 하는 기판 에칭 방법.Selecting the first and second set of control parameters to form a substantially uniform center for the edge distribution of the process results, wherein the process results comprise etch depth, CD deviation, microloading, sidewall profile, And at least one of passivation, etch rate, step coverage, feature taper angles, and undercutting.
KR1020077021429A 2005-03-03 2006-03-02 Method for etching having a controlled distribution of process results KR100899244B1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US65869805P 2005-03-03 2005-03-03
US60/658,698 2005-03-03
US11/246,012 2005-10-07
US11/246,012 US8075729B2 (en) 2004-10-07 2005-10-07 Method and apparatus for controlling temperature of a substrate

Publications (2)

Publication Number Publication Date
KR20070117602A true KR20070117602A (en) 2007-12-12
KR100899244B1 KR100899244B1 (en) 2009-05-27

Family

ID=36941840

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077021429A KR100899244B1 (en) 2005-03-03 2006-03-02 Method for etching having a controlled distribution of process results

Country Status (3)

Country Link
KR (1) KR100899244B1 (en)
DE (1) DE112006000327T5 (en)
WO (1) WO2006094162A2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8486726B2 (en) * 2009-12-02 2013-07-16 Veeco Instruments Inc. Method for improving performance of a substrate carrier
WO2020167944A1 (en) * 2019-02-15 2020-08-20 Lam Research Corporation Trim and deposition profile control with multi-zone heated substrate support for multi-patterning processes

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US7199328B2 (en) * 2001-08-29 2007-04-03 Tokyo Electron Limited Apparatus and method for plasma processing
US6664738B2 (en) 2002-02-27 2003-12-16 Hitachi, Ltd. Plasma processing apparatus
JP3639268B2 (en) * 2002-06-14 2005-04-20 株式会社日立製作所 Etching method
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7993460B2 (en) * 2003-06-30 2011-08-09 Lam Research Corporation Substrate support having dynamic temperature control

Also Published As

Publication number Publication date
KR100899244B1 (en) 2009-05-27
DE112006000327T5 (en) 2007-12-27
WO2006094162A3 (en) 2007-10-18
WO2006094162A2 (en) 2006-09-08

Similar Documents

Publication Publication Date Title
US7648914B2 (en) Method for etching having a controlled distribution of process results
US7431795B2 (en) Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
KR102483741B1 (en) Apparatus and methods for spacer deposition and selective removal in advanced patterning processes
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
US8722547B2 (en) Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
US20150214101A1 (en) Methods for etching a dielectric barrier layer in a dual damascene structure
US20040058517A1 (en) Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US20070298617A1 (en) Processing method
KR20160055877A (en) Methods for etching an etching stop layer utilizing a cyclical etching process
CN111712924B (en) Air gap forming process
US10497578B2 (en) Methods for high temperature etching a material layer using protection coating
US20150118832A1 (en) Methods for patterning a hardmask layer for an ion implantation process
WO2020176181A1 (en) A film stack for lithography applications
US20060046496A1 (en) Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
US11521849B2 (en) In-situ deposition process
TWI323011B (en) Method for etching having a controlled distribution of process results
US20190221441A1 (en) Methods for etching a hardmask layer
JP7462626B2 (en) High density carbon films for patterning applications
KR100899244B1 (en) Method for etching having a controlled distribution of process results
US20230072732A1 (en) Methods for etching structures with oxygen pulsing
US20190362983A1 (en) Systems and methods for etching oxide nitride stacks
US11859275B2 (en) Techniques to improve adhesion and defects for tungsten carbide film
US11658042B2 (en) Methods for etching structures and smoothing sidewalls

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130429

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140430

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee