WO2005001926A1 - Integrated circuit and design method thereof - Google Patents

Integrated circuit and design method thereof Download PDF

Info

Publication number
WO2005001926A1
WO2005001926A1 PCT/JP2004/009190 JP2004009190W WO2005001926A1 WO 2005001926 A1 WO2005001926 A1 WO 2005001926A1 JP 2004009190 W JP2004009190 W JP 2004009190W WO 2005001926 A1 WO2005001926 A1 WO 2005001926A1
Authority
WO
WIPO (PCT)
Prior art keywords
wiring
integrated circuit
wiring layer
layer
wirings
Prior art date
Application number
PCT/JP2004/009190
Other languages
French (fr)
Japanese (ja)
Inventor
Mamoru Mukuno
Original Assignee
Sanyo Electric Co., Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sanyo Electric Co., Ltd filed Critical Sanyo Electric Co., Ltd
Priority to JP2005511088A priority Critical patent/JPWO2005001926A1/en
Publication of WO2005001926A1 publication Critical patent/WO2005001926A1/en
Priority to US11/319,644 priority patent/US20060168551A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Definitions

  • the present invention relates to an integrated circuit having a multilayer wiring structure formed on a substrate.
  • This grid is set in the X-axis direction and the Y-axis direction perpendicular thereto, and the X-axis direction or the Y-axis direction is specified alternately for each wiring layer.
  • the grids are set in a stripe shape running parallel to each other. These grid intervals are set so as to correspond to the wiring pitch satisfying the design rule. Under these settings, in the layout design using the automatic placement and routing tool described above, trial and error are repeatedly performed for the placement mode and the routing of the wiring so as to satisfy both the design rules and the required circuit characteristics. Determine the mask layout.
  • Patent Document 1 Japanese Patent Application Laid-Open No. 7-86407
  • the present invention has been made in view of such circumstances, and an object of the present invention is to provide a more efficient design that satisfies desired circuit characteristics while suppressing an increase in the space in which an integrated circuit is formed. And a design method thereof.
  • One embodiment of the present invention relates to an integrated circuit having a multilayer wiring structure formed on a substrate, and has a feature in a wiring configuration laid in a multilayer wiring layer.
  • the features are as follows.
  • a second wiring layer is provided separately from the first wiring layer on which the first wiring required to connect two desired points of the integrated circuit is laid.
  • an auxiliary second wiring different from one wiring connecting desired two points is laid.
  • This auxiliary second wiring is connected to the first wiring via via holes in various modes such as straight IJ and parallel.
  • the first wiring layer and the second wiring layer are set so as to be adjacent to each other, the first wiring and the second wiring can be easily connected to each other through the via hole. Further, when the first wiring and the auxiliary second wiring are laid in such a manner that the projection onto the substrate overlaps, the mask of both wiring layers can be made the same, and the via hole The wiring can be easily connected via the.
  • Another embodiment of the present invention relates to a method for designing an integrated circuit.
  • the outline of the design method of this integrated circuit is as follows.
  • a plurality of circuit elements constituting the integrated circuit are laid out in advance.
  • the laid out circuit elements are connected by temporary wiring based on the wiring settings characterized by the virtual physical wiring layer, which is a virtual wiring layer.
  • the arithmetic means determines whether or not the electrical characteristics of the circuit block formed by the temporary wiring satisfy the desired characteristics.
  • the wiring laid on the temporary physical wiring layer is developed as real wiring on the real wiring layer. You. In the above-described determination, when the processing of changing the wiring of one temporary physical wiring layer to the real wiring of one real wiring layer is performed, the temporary wiring of the circuit block that is determined not to satisfy the desired characteristics is temporarily determined.
  • the physical wiring layer is additionally laid as a real wiring formed by transferring the physical wiring layer to a plurality of real wiring layers. At this time, the circuit block is laid so as to satisfy desired characteristics by adjusting the length of the wiring and the capacitance between the wirings by using the above-described various wiring laying forms of the integrated circuit.
  • provisional wiring is performed again from the beginning, and this is repeated until the desired characteristics are obtained.
  • the provisional wiring is performed again by using the additional wiring layer, and the electrical characteristics of the wiring are adjusted. Since the temporary wiring is performed again based on a predetermined rule, the calculation load can be reduced as compared with the conventional method in which the temporary wiring is redone from the beginning.
  • FIG. 1 is a plan view showing a configuration of a first embodiment of an integrated circuit according to the present invention.
  • FIG. 2 is a view showing a wiring structure that is useful in the same embodiment.
  • FIG. 3 is a diagram showing a wiring structure that is useful in the same embodiment.
  • FIG. 4 is a diagram showing a wiring structure that is useful in the same embodiment.
  • FIG. 5 is a block diagram showing an overall configuration of the integrated circuit design support device according to the same embodiment.
  • FIG. 6 is a flowchart showing a procedure for designing an integrated circuit that is useful in the same embodiment.
  • FIG. 7 is a diagram showing a relationship between a circuit diagram and a layout using temporary physical wiring of the circuit diagram in the same embodiment.
  • FIG. 8 is a diagram showing a relationship between a circuit diagram and a layout using temporary physical wiring of the circuit diagram in the same embodiment.
  • FIG. 9 is a view showing a mask created in the same embodiment.
  • FIG. 10 is a diagram showing a wiring structure of an integrated circuit according to a second embodiment of the present invention.
  • FIG. 11 is a diagram showing a wiring structure according to the same embodiment.
  • FIG. 12 is a view showing a mask created in the same embodiment.
  • FIG. 13 is a diagram showing a wiring structure according to the first and second embodiments.
  • FIG. 14 is a flowchart showing a design procedure of an integrated circuit according to a third embodiment of the integrated circuit according to the present invention.
  • FIG. 15 is a block diagram showing an overall configuration of an integrated circuit design support device that is useful for an integrated circuit according to a fourth embodiment of the present invention.
  • FIG. 16 is a flowchart showing a design procedure of an integrated circuit according to a fourth embodiment of the integrated circuit according to the present invention.
  • FIG. 17 is a diagram exemplifying a partition setting mode in the embodiment.
  • FIG. 18 is a diagram showing a connection state between adjacent sections in the same embodiment.
  • FIG. 19 is a diagram showing a connection state between adjacent sections in the embodiment.
  • FIG. 20 is a diagram showing a wiring structure according to a fifth embodiment of the integrated circuit according to the present invention.
  • Garden 21] is a flowchart showing a procedure of designing an integrated circuit working in the same embodiment.
  • Garden 23 is a diagram showing a connection state between adjacent sections in the same embodiment.
  • FIG. 24 is a diagram showing a setting mode of partitions in a sixth embodiment of the integrated circuit according to the present invention.
  • FIG. 25 is a flowchart showing an integrated circuit design procedure according to a seventh embodiment of the integrated circuit according to the present invention.
  • FIG. 26 is a plan view showing a wiring structure according to the same embodiment.
  • FIG. 27 is a plan view showing a wiring structure according to the same embodiment.
  • FIG. 28 is a cross-sectional view showing a wiring structure according to the same embodiment.
  • FIG. 29 is a diagram showing a wiring structure according to an eighth embodiment of the integrated circuit according to the present invention.
  • Garden 30 It is a diagram showing a mask created in the same embodiment.
  • Garden 31 is a diagram showing a wiring structure of an integrated circuit according to a ninth embodiment of the present invention.
  • Garden 32] is a diagram showing a mask created in the same embodiment.
  • FIG. 33 is a perspective view showing a wiring structure in a modification of each of the above embodiments.
  • FIG. 35 is a schematic diagram showing a wiring structure in a modification.
  • FIG. 36 is a schematic diagram showing a wiring structure in a modification.
  • FIG. 37 is a schematic diagram showing a wiring structure in a modification.
  • FIG. 38 is a schematic diagram showing a wiring structure in a modification.
  • FIG. 39 is a schematic diagram showing a wiring structure in a modification.
  • FIG. 40 is a schematic diagram showing a wiring structure in a modification.
  • FIG. 41 is a schematic diagram showing a wiring structure in a modification.
  • FIG. 42 is a schematic diagram showing a wiring structure in a modification.
  • FIG. 43 is a schematic diagram showing a wiring structure in a modified example.
  • FIG. 44 is a schematic diagram showing a wiring structure in a modification.
  • FIG. 45 is a plan view showing the configuration of the eleventh embodiment of the semiconductor integrated circuit according to the present invention.
  • FIG. 46 is a view showing a wiring laying mode in the embodiment.
  • FIG. 47 is a view showing a wiring laying mode in the embodiment.
  • FIG. 48 is a view showing a wiring laying mode in the embodiment.
  • FIG. 49 is a plan view showing the configuration of the semiconductor integrated circuit design support device in the same embodiment.
  • FIG. 50 is a flowchart showing a design procedure of the semiconductor integrated circuit in the embodiment.
  • 10 library, 12: design specification storage unit, 14: process parameters, 16: temporary physical wiring layer rule, 20: circuit variable calculation unit, 22: automatic placement unit, 24: automatic wiring unit, 30: timing Analysis part, 32... Circuit variable determination part, 34... Wiring layer development part, 40 ⁇ Mask calculation part, 42... Division setting part, 50... Input part, 52... Image display part, 54... Control part, 1010... Library 1012: Design specification storage unit, 1014: Process parameter, 1020: Automatic placement unit, 1022: Automatic wiring unit, 1030: Timing analysis unit, 1040: Input unit, 1042: Image display unit, 1050: Control unit.
  • An integrated circuit is an integrated circuit having a multilayer wiring structure formed on a substrate, having substantially the same path direction as each other, and Wiring is provided on a plurality of wiring layers so that the projection of the circuit onto the substrate overlaps each other. Wirings laid in a plurality of wiring layers are connected via via holes to form one wiring connecting two desired points of an integrated circuit, and each specific terminal of any two elements is connected. Wiring to be connected, wiring to fix the potential of a specific terminal of an arbitrary element, and wiring with one end of the wiring being substantially open Constitute. For example, various wiring structures shown in FIGS. 2 to 4, FIG. 10, FIG. 11, and FIG. 13 correspond to this mode.
  • the projections on the substrate overlap each other means that a plurality of wirings laid in different wiring layers have substantially the same path direction, and the plurality of wirings are projected on the substrate. If one projected image coincides with another projected image, one projected image is completely included in another projected image, and one projected image and another projected image are partially This includes cases where two wirings are overlapped and two wirings can be connected via via holes.
  • An integrated circuit is an integrated circuit having a multilayer wiring structure formed on a substrate, and a first wiring connecting two desired points of the integrated circuit. And a second wiring laid on a different wiring layer from the wiring layer on which the first wiring is laid. The first wiring and the second wiring are laid in such a manner that projections onto the substrate overlap each other, and are connected via via holes to form one wiring connecting the desired two points. .
  • This wiring may be one of wiring connecting between specific terminals of any two elements, wiring fixing the potential of a specific terminal of any element, or wiring in which one end of the wiring is substantially open Function as 1 wiring.
  • various wiring structures shown in FIGS. 2, 4, 20 (a), (b), (d) and the like correspond to this mode.
  • An integrated circuit is an integrated circuit having a multilayer wiring structure formed on a substrate, and includes a first wiring connecting two desired points of the integrated circuit. And a second wiring parallel to the first wiring laid on a different wiring layer from the wiring layer on which the first wiring is laid. By electrically connecting the second wiring to the first wiring in parallel, the first wiring and the second wiring constitute one wiring connecting desired two points.
  • the wiring resistance can be reduced by the second wiring connected in parallel with the first wiring as compared with the case where two points are connected only by the first wiring.
  • FIG. 3 shows an example of this mode.
  • the first wiring and the second wiring are arranged such that projections on the substrate overlap with each other. Alternatively, it may be formed. In this case, it is possible to easily connect the first wiring and the second wiring via the via hole, and to reduce the wiring resistance while minimizing the area where the wiring is laid. And the inductance can also be reduced. Further, it is preferable that another signal transmission wiring is not laid between the first wiring and the second wiring. As a result, it is possible to suitably avoid and suppress the first wiring and the second wiring from electrically interfering with other signal propagation wirings.
  • first wiring and the second wiring may be laid on wiring layers adjacent to each other.
  • the wiring layers adjacent to each other it is possible to avoid or suppress electric interference between the wiring and the other wiring that occurs when the wiring is laid in an intermediate layer.
  • the integrated circuit according to one embodiment is an integrated circuit having a multilayer wiring structure formed on a substrate, and a plurality of wirings are formed in such a manner that projections on the substrate overlap with each other. It has a plurality of wirings laid in parallel to the layers. The plurality of wirings are electrically connected in series via via holes in a manner to reverse the signal propagation direction for each wiring layer, thereby forming one wiring connecting desired two points of the integrated circuit. I do.
  • FIG. 3 shows an example of this embodiment.
  • the resistance of the wiring can be positively increased without increasing the area where the wiring is laid.
  • This increase in the resistance value can be applied to adjustment of the amount of delay of a signal, generation of a reference potential, and the like in an integrated circuit. It also increases the wiring inductance.
  • the plurality of wirings may be laid on wiring layers adjacent to each other. By arranging the wiring layers adjacent to each other, it is possible to avoid or suppress electric interference between the wiring and the other wiring that occurs when the wiring is laid in the intermediate layer.
  • the integrated circuit according to one embodiment is an integrated circuit having a multilayer wiring structure formed on a substrate, and the wiring laying direction and the wiring interval are substantially between wiring layers adjacent to each other. Are provided with the same region. In this region, the wiring layer That is, the wiring laid on one wiring layer is offset from the wiring laid on the other wiring layer in a direction perpendicular to the laying direction within a range smaller than the wiring laying interval. .
  • FIG. 10 shows an example of this embodiment.
  • the “laying interval” of the wiring refers to the distance between the center lines of the wirings provided in parallel. According to this integrated circuit, by laying the wirings of adjacent wiring layers offset from each other, it is possible to preferably reduce the capacity between the wirings of the P-connected wiring layer without increasing the horizontal spacing of the wirings. can do.
  • An integrated circuit is an integrated circuit having a multilayer wiring structure formed on a substrate, and a first wiring and a second wiring are provided in one wiring layer. Where either one of them is laid, the other wiring is laid so as to escape to another wiring layer. At this time, the first wiring and the second wiring are laid in parallel so that the projections on the substrate are adjacent to each other.
  • FIG. 11 shows an example of this embodiment.
  • an integrated circuit having a multilayer wiring structure formed on a substrate, comprising a first wiring and a second wiring adjacent to each other when projected onto the substrate.
  • the first wiring and the second wiring can be laid in an adjacent manner on one wiring layer in terms of space. However, either the first wiring or the second wiring is laid on the one wiring layer. And lay it out in such a way that the other wiring escapes to another wiring layer.
  • the first wiring and the second wiring allow the projection on the power board to be adjacent to each other, but have the same wiring layer as compared to the case where the one wiring layer is laid in an adjacent state.
  • the adjacent length is shortened. According to this integrated circuit, the length of the pair of wirings adjacent to each other in the horizontal direction in the same wiring layer can be shortened, and the capacitance between the pair of wirings can be suitably reduced.
  • An integrated circuit is an integrated circuit having a multi-layer wiring structure formed on a substrate, and is provided adjacent to and parallel to a predetermined wiring layer.
  • the second pair of wirings is provided in such a manner that the first pair of wirings and the projection on the substrate overlap each other, and one end is connected to the first pair of wirings via via holes.
  • the two pairs of wirings are formed as dummy wirings whose other ends are substantially open.
  • FIG. 4 shows an example of this embodiment.
  • the capacitance between the wirings can be increased, the delay amount of a signal propagating through the wirings can be adjusted, and impedance matching can be performed. You can go. In addition, it is not necessary to extend the wiring length to increase the capacitance value, which may cause restrictions on the design rail or increase the resistance.
  • the first pair of wirings and the second pair of wirings may be laid so that the projections on the substrate coincide. This makes it possible to make the mask pattern the same at least for the wiring layer on which the first pair of wirings are laid and the wiring layer on which the second pair of wirings are laid.
  • the wiring layer on which the first pair of wirings are laid and the wiring layer on which the second pair of wirings are laid may be adjacent to each other. By arranging the wiring layers adjacent to each other, it is possible to avoid and suppress electric interference between the wiring and the other wiring when the wiring is laid in the intermediate layer.
  • the integrated circuit according to one embodiment is an integrated circuit having a multilayer wiring structure formed on a substrate, and wirings laid in a plurality of wiring layers are arranged in parallel via via holes. A first wiring connected to the first wiring.
  • the integrated circuit further includes a second wiring configured by connecting wirings laid on the same wiring layer as a plurality of wiring layers on which the wiring configuring the first wiring is laid in parallel via via holes. And This first wiring and the The wirings constituting each of the second wirings are provided adjacent to and in parallel in the same wiring layer corresponding to each other.
  • FIG. 33 (a) shows an example of this mode.
  • the wiring resistance can be reduced as compared with the case where the first and second wirings are single lines. Further, since the first and second wirings are formed adjacent to each other in each wiring layer, the capacitance between the two wirings is also smaller than that when the wirings are formed as a single wiring layer. And can be increased.
  • the wiring layers on which the wirings constituting the first and second wirings are respectively laid may be adjacent to each other. By arranging the wiring layers adjacent to each other, it is possible to avoid and suppress electric interference between the wiring and the other wiring which occurs when the wiring is laid in the intermediate layer.
  • An integrated circuit is an integrated circuit having a multilayer wiring structure formed on a substrate, and an aspect in which projections on the substrate overlap with a plurality of wiring layers.
  • the first wiring which is formed by electrically connecting the wiring laid in step 1 via a via hole, and the same wiring layer as a plurality of wiring layers on which the wiring configuring the first wiring is laid
  • a second wiring configured by electrically connecting wiring laid in a manner that the projection onto the substrate overlaps via a via hole.
  • the wirings constituting each of the first wiring and the second wiring may be provided adjacently in parallel in the same wiring layer corresponding to each other.
  • FIG. 33 (b) shows an example of this mode.
  • the resistance of the first and second wirings can be increased without increasing the wiring laying area. Furthermore, since the first and second wirings are formed adjacent to each other in each wiring layer, the capacitance between the two wirings is also smaller than when both wirings are formed as wiring of a single wiring layer. And can be increased.
  • the wirings constituting the first and second wirings may be laid on adjacent wiring layers. Les ,. By arranging the wiring layers adjacent to each other, it is possible to avoid and suppress electric interference between the wiring and the other wiring which occurs when the wiring is laid in the intermediate layer.
  • the integrated circuit according to the embodiment (10) is an integrated circuit having a multi-layer wiring structure formed on a substrate, and includes a plurality of terminals fixed at different potentials from signal transmission wiring. Fixed wiring. One of the signal transmission wiring and the potential fixing wiring is laid so that a part of the wiring is released to another wiring layer. At this time, the signal transmission wiring and the plurality of potential fixing wirings are laid to allow the projections on the substrate to be adjacent to each other.
  • FIG. 2932 shows an example of this embodiment.
  • the signal transmission wiring and the plurality of potential fixing wirings can be laid in a manner adjacent to one wiring layer in terms of space.
  • the wiring for signal propagation and the plurality of potential fixed wirings are adjacent in one wiring layer while allowing the projections on the substrate to be adjacent to each other. Compared with the case of laying, the lengths of adjacent wires in the same wiring layer are different.
  • the capacitance between the signal transmission wiring and the potential fixing wiring can be adjusted by changing the length of the adjacent wiring in the same wiring layer. It is possible to adjust the signal propagation speed in the transmission wiring.
  • the ratio of the lengths of adjacent signal transmission lines to the potential fixing lines that are fixed at different potentials is determined by the ratio of the adjacent lengths.
  • An integrated circuit is an integrated circuit having a multilayer wiring structure formed on a substrate, wherein wirings are arranged in substantially the same direction and at a predetermined unit interval. And a region having a plurality of wiring layers laid at integer multiples of. In this integrated circuit, at least one of the integrated circuits described in (3) and (10) is formed in the region.
  • this integrated circuit by providing a region where the wiring laying directions and the wiring laying intervals of the adjacent wiring layers are unified, it is possible to easily form the semiconductor integrated circuits of the above-described embodiments. Further, since the wiring laying interval is an integral multiple of the unit interval in the above area, it can be easily designed by an automatic wiring tool. (12) In this integrated circuit, wiring laying directions in at least one wiring layer may be different between adjacent regions. As a result, an appropriate wiring structure can be applied to each of the plurality of regions. FIG. 17 shows an example of this embodiment.
  • the wirings of the wiring layers in which the wiring laying directions are different from each other in the P-contact region are used And may be connected. That is, in the same wiring layer, the wiring is laid in a different direction in the vicinity of the boundary of the wiring laying area where wiring laying directions are different from each other. A connection is made between regions in a horizontal plane having wiring laying regions in different directions.
  • FIG. 18 and FIG. 24 show an example of this embodiment.
  • the wiring in the same wiring layer, in the vicinity of the boundary between the wiring laying areas where the wiring laying directions are different from each other, the wiring is switched to another wiring layer, and the wiring is laid straight through another wiring layer.
  • Another embodiment of the present invention relates to a method for designing an integrated circuit.
  • the outline of the design method of this integrated circuit will be described below.
  • a wiring layer in an integrated circuit in which a layout is realized is set as a temporary physical wiring layer, and a predetermined temporary physical wiring among the temporary physical wiring layers is used.
  • the arithmetic means for calculating the circuit characteristics of each wiring of the layer to desired circuit characteristics is applied to a wiring formed using at least one of the regions substantially projected onto an actual wiring layer including a plurality of wiring layers. There is a step of converting.
  • each wiring of the temporary physical wiring layer is converted into a wiring formed by using at least one of the regions substantially projected onto the actual wiring layer including a plurality of wiring layers. For this reason, it is possible to realize circuit characteristics (characteristics such as wiring characteristics and capacitance between wirings) that cannot be realized by wiring using a conventional wiring method that does not employ a wiring forming method by such conversion. Therefore, adjustment of circuit characteristics can be easily performed. Further, at this time, since the wiring is converted based on the wiring path of each wiring of the temporary physical wiring layer, such adjustment of the circuit characteristics without correcting the electrical connection mode by the wiring of the temporary physical wiring layer is performed. It can be carried out.
  • the “substantially projected region” is not limited to the region itself projected in the normal direction to the temporary physical wiring layer, but also includes a region in contact with the same region.
  • the “integrated circuit in which the layout is realized” is an integrated circuit having layout data and mask data in which each part is connected.
  • the integrated circuit design method according to the embodiment (15) is a method for designing an integrated circuit for determining a wiring path for connection of each element of an integrated circuit, and temporarily includes a wiring layer for connection. Obtained when a physical wiring layer is used, and each wiring of a predetermined temporary physical wiring layer is converted into a wiring formed using at least one of the regions substantially projected onto a real wiring layer including a plurality of wiring layers. While estimating the circuit characteristics, the wiring path on the temporary physical wiring layer is optimized based on the result of the estimation.
  • the temporary wiring when laying temporary wiring by automatic wiring or the like, the temporary wiring is laid in a wiring laying mode that cannot be realized unless it is assumed that the temporary wiring is spread on more wiring layers. Power S can.
  • the degree of freedom in selecting the wiring route can be improved, and the calculation load for determining the route of the temporary wiring by the automatic wiring can be reduced.
  • wiring having desired circuit characteristics can be easily designed, and characteristics of the entire circuit can be easily adjusted. Can be.
  • the wirings converted in this way have projections on the substrate overlapping or approaching each other, it is possible to form wirings having a high density of the projections.
  • An integrated circuit design method is a method of designing an integrated circuit in which each element of the integrated circuit is automatically arranged, wherein connections are made for each part of the integrated circuit.
  • the wiring layer is a temporary physical wiring layer, and each wiring of a predetermined temporary physical wiring layer of the integrated circuit to be connected is formed by using at least one area of a region substantially projected onto a real wiring layer including a plurality of wiring layers. Based on the results of the prediction, the layout of each element is optimized based on the prediction result of the circuit characteristics obtained when the wiring is formed. According to this design method, it is possible to realize a circuit characteristic that cannot be realized by assuming the element arrangement by the conventional method, that is, the wiring of only the wiring of the temporary physical wiring layer.
  • the arrangement of each element of the integrated circuit should be made denser since the wiring developed on the actual wiring layer is more easily allowed to have a higher density than before the development. Can be.
  • a Steiner wiring or the like may be allowed as an estimate for the placement.
  • the integrated circuit designing method is a method for designing an integrated circuit for determining a circuit configuration of an integrated circuit, in which a wiring layer for performing connection required for the circuit configuration is temporarily provided.
  • the circuit configuration is optimized based on the prediction results while predicting the characteristics.
  • the circuit configuration may be optimized by temporary wiring based on the temporary physical wiring layer. In this case, by laying wiring with various degrees of freedom in terms of circuit characteristics, the degree of freedom in circuit configuration is improved, and a circuit with excellent performance can be realized effectively and easily.
  • the optimization of the circuit configuration here includes optimization of the characteristics of the elements that make up the circuit, and optimization of the circuit architecture by replacing it with a functionally equivalent circuit.
  • the integrated circuit design method according to the embodiment (18) is a method of connecting a laid-out circuit element to form a circuit block, by temporarily arranging tentative wiring used virtually at the time of design. Lay it on the wiring layer. Arithmetic means determines whether the characteristics of the circuit block formed according to the laying mode of the temporary wiring satisfy desired characteristics, and replaces the temporary wiring laid in the temporary physical wiring layer with the actual wiring in which the actual wiring is laid. Deployed as actual wiring on the wiring layer. An example of this mode can be understood from the flowchart shown in FIG.
  • the deployment of temporary wiring on the actual wiring layer corresponds to temporary wiring laid on one temporary physical wiring layer.
  • the actual wiring in the area where the circuit block determined to not satisfy the desired characteristics by the above determination is transferred to a plurality of actual wiring layers so that the circuit block satisfies the desired characteristics.
  • the wiring may be laid again using the wiring of the wiring layer.
  • “Expanding” the wiring means transferring the temporary wiring of the temporary physical wiring layer to the real wiring layer corresponding to each temporary physical wiring layer, and using the transferred temporary wiring by a plurality of real wiring layers. Means to lay it. Further, “transfer” means to project the temporary wiring of the temporary physical wiring layer onto the actual wiring layer without changing the laying mode, but all the transferred wiring is the original temporary wiring. It is not necessary to have the same laying mode as the temporary wiring of the layer. In other words, when the integrated circuit is divided into several blocks for each function or for each section, it is only necessary that the wiring laying mode corresponding to each block is the same.
  • the transfer using the actual wiring layer on which the actual wiring layer is laid and the actual wiring layer adjacent thereto is performed again.
  • the wiring may be laid in substantially the same manner as the temporary wiring in the temporary physical wiring layer. In this case, almost the same wiring is laid between adjacent actual wiring layers, so that the wiring of the adjacent wiring layers can be easily connected in various forms such as parallel U and series by a via hole. S Speak.
  • circuit characteristics characteristics such as wiring characteristics and capacitance between wires
  • circuit characteristics that cannot be realized by using the wiring by the conventional wiring method, that is, using only the temporary wiring of the temporary physical wiring layer.
  • circuit characteristics can be realized, and adjustment of circuit characteristics can be easily performed.
  • the actual wiring on the actual wiring layer to which the data is transferred can be realized as a form using a plurality of actual wirings. can do.
  • the correspondence between the temporary physical wiring layer and the real wiring layer is determined for each of the plurality of divided sections by dividing the integrated circuit into a plurality of sections. You can make a difference.
  • An example of this embodiment is shown in FIGS.
  • wiring is developed from the temporary physical wiring layer to the real wiring layer for each section, so that desired circuit characteristics can be efficiently realized. That is, in the layout design, the wiring is not always laid almost uniformly over all the regions of each wiring layer, and a region where the wiring is not laid is often formed.
  • the number of actual wiring layers to be developed is increased in a section including more temporary physical wiring layers in which no wiring is laid, thereby increasing the number of integrated circuits.
  • the final increase in the number of wiring layers can also be suitably suppressed.
  • this design method is used in the case where the direction of laying out the wiring after the development from the temporary wiring to the actual wiring is different in the adjacent sections due to the division of the sections. Wiring for connecting across sections may be laid near the division boundary while maintaining the connection mode at the time of temporary wiring by using arithmetic means based on development from a temporary physical wiring layer.
  • An example of the design method of this integrated circuit can be understood from FIG. 6, FIG. 17, and FIG.
  • the wiring when the laying directions are different between adjacent sections wiring for connecting the adjacent sections is maintained by using arithmetic means while maintaining the connection mode at the time of wiring in the temporary physical wiring layer.
  • it may be laid as actual wiring formed by expanding from the temporary physical wiring layer to a plurality of actual wiring layers.
  • the manner in which a plurality of actual wiring layers are developed to the actual wiring is not necessarily the same between adjacent sections.
  • the wiring direction may be different between adjacent sections. At these locations, it may be difficult to connect the wiring between these compartments directly to one another. Therefore, it is necessary to maintain the connection form of the same temporary physical wiring layer with the temporary wiring even after it has been deployed to the actual wiring. By laying in such a manner, the connection between the two sections can be suitably performed.
  • An integrated circuit relates to an integrated circuit having a multilayer wiring structure formed on a substrate, and has a feature in a wiring configuration laid in a multilayer wiring layer.
  • the features are as follows. [0058] A unit interval is defined in advance for each wiring layer. The wiring is laid on the wiring layer in such a manner that the spacing between the wirings is an integral multiple of a predetermined unit spacing. Therefore, the wirings of one wiring layer are laid in parallel with each other and in the same laying direction. In addition, adjacent wiring layers are provided with regions in which wiring directions are the same. The first wiring is laid on one of the adjacent wiring layers in which such conditions are set, and the second wiring is laid on the other wiring. In this integrated circuit, the electrical characteristics of the wiring are adjusted by laying these wiring elements in various modes.
  • the following is an example of an embodiment of an integrated circuit configured using such wirings and a design method thereof.
  • the integrated circuit according to the embodiment (21) is an integrated circuit having a multilayer wiring structure formed on a substrate, and the interval between adjacent wirings is a unit defined in advance for each wiring layer. There is a region in which a plurality of wirings are laid in parallel with each other in a manner of being an integral multiple of the interval. In this integrated circuit, the region may include at least a pair of adjacent wiring layers in which wiring directions are the same.
  • FIG. 45 shows an example of this embodiment.
  • this integrated circuit has adjacent wiring layers in which the wiring laying directions are the same, the unit spacing between adjacent wiring layers can be easily approximated. Therefore, electrical connection between these adjacent wiring layers can be easily performed.
  • the wiring laying interval is set to an integral multiple of a predetermined unit interval, the wiring at the time of designing the integrated circuit can be easily performed according to a regular pattern. . Therefore, particularly in the case where the connection in the above-mentioned area is performed by the automatic wiring tool, the programming of the tool can be simplified, and the processing at the time of connection by the tool can be simplified.
  • a circuit or the like may be formed.
  • the unit interval predetermined for each wiring layer may be set to be substantially the same in the pair of adjacent wiring layers.
  • FIG. 45 shows an example of this embodiment.
  • a plurality of wirings may be laid on the pair of adjacent wiring layers on the pair of adjacent wiring layers.
  • the plurality of wirings to be provided may be laid with offset so that the projections on the substrate do not overlap each other.
  • FIG. 46 shows an example of this embodiment.
  • the distance between adjacent wirings can be increased in the same wiring layer, so that the capacitance between adjacent wirings can be reduced, and crosstalk noise can be suppressed.
  • the wiring on one wiring layer since there is no wiring in the wiring layer above or below, the wiring can be directly connected to the wiring further above or below via a via hole. As a result, the time and load required to calculate the connection path in the automatic wiring tool can be reduced.
  • two adjacent wirings are laid on one of the pair of adjacent wiring layers.
  • One of the two adjacent wirings may be laid so that the distance between the two adjacent wirings is substantially longer by switching to the other wiring layer via the via hole.
  • FIG. 47 shows an example of this embodiment.
  • this integrated circuit it is possible to minimize the portion where a pair of wirings are adjacent to each other in the same wiring layer, and furthermore, it is possible to reduce the capacitance between the pair of wirings appropriately. Become like Also, by placing the wiring layers adjacent to each other, wiring It is possible to avoid and suppress electrical interference with other wiring that occurs when the cable is laid.
  • a signal transmission wiring and a potential fixing first wiring are laid adjacent to each other on one of the pair of adjacent wiring layers.
  • the other wiring layer is laid so as to include a second wiring force S for fixing potential and a region formed by projecting the signal transmission wiring onto the other wiring layer.
  • the first and second wirings may be electrically connected to form a shield wiring of the signal transmission wiring.
  • FIG. 48 shows an example of this embodiment.
  • the signal transmission wiring and the shield wiring are adjacent to each other and no other wiring is laid in the intermediate wiring layer, it is possible to configure a shield wiring that does not significantly impair wiring resources. This makes it easy and effective to take measures against crosstalk and electromagnetic interference (EMI).
  • EMI electromagnetic interference
  • the integrated circuit according to the embodiment (26) is an integrated circuit having a multilayer wiring structure formed on a substrate, and one of a pair of adjacent wiring layers has a signal Propagation wiring and first potential fixing wiring are laid in parallel and adjacent to each other. On the other wiring layer, a second wiring for fixing a potential is laid so as to cover a region formed by projecting the wiring for signal propagation to the other wiring layer, and And the second wiring is electrically connected to form a shield wiring of the signal transmission wiring.
  • FIG. 48 shows an example of this embodiment.
  • the signal transmission wiring and the shield wiring are adjacent to each other and no other wiring is laid in the intermediate wiring layer, it is possible to configure the shield wiring without significantly impairing the wiring resources. This makes it easier to take countermeasures against crosstalk and electromagnetic interference (EMI).
  • EMI electromagnetic interference
  • An integrated circuit designing method is a method for designing an integrated circuit having a multilayer wiring structure formed on a substrate, and connects circuit elements laid out. For this purpose, wiring is laid on a plurality of wiring layers using an automatic wiring tool.
  • This automatic wiring rule sets an area in which the wiring direction is the same between adjacent wiring layers, and sets the wiring of the adjacent wiring layer in the area to an interval of an integral multiple of a unit interval defined in advance. Laying I'm sorry. FIG. 49 and FIG. 50 show an example of this embodiment.
  • the laying interval of the wiring laid in parallel with each other is set to the unit interval.
  • it is set to an integral multiple of, it is easy to approximate this for the unit spacing of wiring layers that are in P contact. For this reason, electrical connection between the wiring layers in P contact can be easily performed.
  • the automatic wiring tool sets the wiring laid on one wiring layer of the adjacent wiring layers in the area to the wiring laid on the other wiring layer.
  • the wiring may be laid in such a manner that it is offset in a direction perpendicular to the laying direction in a range smaller than the wiring laying interval.
  • FIG. 46 shows an example of this embodiment.
  • the distance between adjacent wirings can be increased in the same wiring layer, so that the capacitance between adjacent wirings can be reduced and crosstalk noise can be suppressed.
  • the wiring on one wiring layer there is no wiring in the wiring layer above or below the wiring layer, so that the wiring can be directly connected to the wiring in the further upper or lower layer via the via hole. As a result, the time and load required to calculate the connection path in the automatic wiring tool can be reduced.
  • the automatic wiring tool sets a wiring layer in which wiring is to be laid in parallel with each other in a range in which wiring for which electric characteristics need to be adjusted is laid. You can use it as an area.
  • the unit spacing between the adjacent wiring layers can be approximated and the distance between adjacent wiring layers can be quickly reduced by an electrical distance between the wiring layers. Connection can be made easily.
  • the wiring layers adjacent to each other it is possible to avoid or suppress electrical interference with other wirings that occurs when wiring is laid in an intermediate layer. This makes it possible to easily adjust the electrical characteristics of the wiring for which the adjustment of the electrical characteristics is desired, and thus to achieve a more efficient design. Will be able to do.
  • the automatic wiring tool when laying wiring for signal propagation for which low noise is required as an electrical characteristic in the area, the automatic wiring tool may include one wiring in the area.
  • a signal propagation wiring is laid on the layer, and functions as a shield wiring in a mode that includes the projection of the signal propagation wiring on a wiring layer adjacent to one wiring layer and having the same wiring laying direction.
  • a wiring for fixing the potential may be laid.
  • FIG. 48 shows an example of this embodiment.
  • the shield wiring is provided in the wiring layer adjacent to the signal transmission wiring, interference with the wiring in other wiring layers can be reduced. As a result, it is possible to configure a shield wiring that does not significantly damage the wiring resources, and it is possible to easily perform measures against crosstalk and electromagnetic interference (EMI).
  • EMI electromagnetic interference
  • FIG. 1 shows a configuration of a semiconductor integrated circuit according to the present embodiment.
  • the semiconductor integrated circuit 1 shown in FIG. 1A includes a logic circuit unit 2 and an analog circuit unit 3.
  • the logic circuit unit 2 is a part designed using an automatic placement and routing tool.
  • FIG. 1 (b) shows the wiring of the (n + 1) th wiring layer of the logic circuit section 2
  • FIG. 1 (c) shows the wiring of the nth wiring layer of the logic circuit section 2. Shown respectively.
  • each wiring of the (n + 1) th wiring layer is provided in parallel with each other, and the wiring laying interval is set to an integral multiple of a predetermined unit interval Pa.
  • the wiring of the n-th wiring layer is provided in parallel with each other.
  • the wiring interval is set to an integral multiple of a predetermined unit interval Pb.
  • the wiring laying interval is “1” times the unit interval Pa. ing. Also, between the wiring La3 and the wiring La4, the wiring laying interval is "2" times the unit interval Pa.
  • the wiring laying direction (the signal propagation direction in the case of the signal line) is changed. They are the same as each other.
  • the unit spacing Pa and the unit spacing Pb are the same.
  • a wiring of any one wiring layer projected on the other wiring layer is the same as the other wiring layer. It is the same as the wiring in the wiring layer. That is, for example, the wiring La4 of the (n + 1) th wiring layer vertically projected onto the nth wiring layer is the same as the wiring Lb4.
  • the electrical characteristics of the wiring are adjusted by configuring any of the wirings shown in FIGS. 2 to 4 described below using the wiring layers of the nth and nth layers.
  • FIGS. 2A and 2B show, for example, the wiring La4 and the wiring Lb4 shown in FIG.
  • the surface of each wiring layer is a plane extending in the X direction and the y direction, and the wiring laying direction is the X direction.
  • FIG. 2 (c) shows that each of the wiring La4 and the wiring Lb4 has the same shape as the vertical projection of each other as described above.
  • the wiring La4 and the wiring Lb4 are electrically connected to each other by plugs pgl and pg2 formed in the via holes at a plurality of locations (B1 and B2).
  • the wirings electrically connected in parallel to each other from one of the predetermined two places Pl and P2 defined in the (n-1) -th wiring layer A single signal propagates through each of La4 and wiring Lb4.
  • PI which is the connection point of the wiring Lcl and the wiring Lb4 of the (n-1) th wiring layer by the plug pg3
  • the wiring Lc2 and the wiring Lb4 of the (n-1) th wiring layer Wiring La4 and the connection between P2 which is the connection point by pg4 A signal propagates through each of the lines Lb4.
  • the resistance of the wiring can be reduced without increasing the effective wiring width, that is, the wiring width of the wiring perpendicularly projected to the substrate surface of the integrated circuit.
  • the surface area of the wiring itself is increased as a whole by paralleling a plurality of wirings, the increase in resistance due to the skin effect can be reduced.
  • the speed of the integrated circuit can be increased and the power consumption can be reduced.
  • FIGS. 3A and 3B show, for example, the wiring La3 and the wiring Lb3 shown in FIG.
  • the surface of each wiring layer is a plane extending in the X direction and the y direction, and the wiring laying direction is the X direction.
  • FIG. 3C shows that each of the wiring La3 and the wiring Lb3 has the same shape as the vertical projection of each other as described above.
  • the wiring La3 and the wiring Lb3 are connected in series by the plug pg5 in the via hole in such a manner that the signal propagation direction is reversed.
  • the effective wire length (the vertical projection of the wire on the substrate surface of the integrated circuit) is used. The wiring resistance can be increased without increasing the wiring length).
  • FIGS. 4A and 4B show, for example, the wirings La2 and Lai and the wirings Lb2 and Lbl shown in FIG.
  • the surface of each wiring layer is a plane extending in the x direction and the y direction
  • the wiring laying direction is the X direction.
  • FIG. 4C shows that each of the wiring La2 and the wiring Lb2 and each of the wiring Lai and the wiring Lbl have the same shape as the vertical projection of each other as described above.
  • the wiring La2 provided in the (n + 1) th wiring layer, the wiring Lb2 provided in the nth wiring layer, and the power via hole are provided. Are electrically connected to each other by the plug pg6.
  • the wiring Lai provided in the (n + 1) th wiring layer and the wiring Lbl provided in the nth wiring layer are provided in the via hole. Connected to each other by plug pg7.
  • one of the wiring La2 and the wiring Lb2 and one of the wiring Lai and the wiring Lbl function as a dummy wiring in which one side is open.
  • these dummy wirings are connected at one end to other wirings by the plugs Pg6 and Pg7, but are open at the other ends. Therefore, even if the other wiring is a signal transmission wiring, the dummy wiring does not become a signal propagation path. Also, even if the other wiring is a power supply wiring, the dummy wiring does not become a power supply path.
  • the capacitance between the wiring Lb2 and the wiring Lbl provided in a region where the wiring La2 and the wiring Lai are projected is added to the capacitance between the adjacent wiring La2 and the wiring Lai in the (n + 1) th wiring layer.
  • the capacitance between adjacent wirings can be increased.
  • the capacity can be increased without reducing the wiring interval or increasing the wiring length, so that the design rule is restricted and the resistance is increased. Absent.
  • the wiring La2 and the wiring Lai are a wiring fixed to the power supply voltage and a wiring grounded, respectively, it is effective in stabilizing the power supply. That is, according to the above-described configuration, it is possible to appropriately suppress the noise from being mixed into the wiring, so that it is possible to preferably suppress the electromagnetic interference.
  • the wiring having the configuration shown in FIGS. 2 to 4 can be easily realized by using the wiring laid using the automatic wiring tool. That is, the wiring of a predetermined wiring layer (temporary physical wiring layer) laid using the automatic wiring tool is replaced with a plurality of wirings of a plurality of wiring layers (real wiring layers) having the same shape as each other. It can be easily realized by conversion. In particular, when applying such a design method, the wiring structure with the above features can reverse the wiring of multiple real wiring layers to the wiring of the temporary physical wiring layer consisting of one original layer. Structure.
  • the above-mentioned wiring structure is reversible in which the conversion of the wiring path into the wiring of a plurality of real wiring layers and the conversion of the wiring of the plurality of real wiring layers to a lesser extent and the inverse conversion to the wiring of the wiring layer are possible. It has a simple structure. Therefore, the wiring structure In the trial and error of the above-mentioned conversion and the inverse conversion, it is always necessary to use the history information of the interrelationship before and after the conversion without constantly using the original laying state of the temporary physical wiring layer. .
  • FIG. 5 is a block diagram showing a configuration of an integrated circuit design support apparatus according to the present embodiment.
  • This support device is configured as a device that supports the design of the standard cell system.
  • the library 10 is a part in which performance information of the function cells, such as cell information of various function cells to be included in the integrated circuit, delay information of the function cells, and constraint information on setup and hold time, is stored.
  • various functional cells include logical operation elements (logical product, logical sum, exclusive logical sum, exclusive logical product, negation, etc.), flip-flops, memories such as RAM, analog devices such as A / D, and the like. This is a circuit formed by using.
  • the library 10 is also a part in which information on the layout of the functional cells, such as the area information of each functional cell, is stored.
  • the design specification storage section 12 is a section for storing information on the function and structure of the integrated circuit described in, for example, a hardware description language (HDL). For details, circuit information expressed by RTL (resistance transfer level), gate level, etc., and timing such as operating frequency
  • HDL hardware description language
  • the circuit information at the gate level is a netlist composed of the types and numbers of cells used and the logical connection information from the cells defined in the library 10.
  • the process parameters 14 include element characteristics according to designated design rules (rules regarding the minimum processing accuracy in the manufacturing process, rules specifying the element size and the minimum wiring interval, etc.), and the wiring characteristics for each material. This is a part in which information relating to etc. is stored.
  • the temporary physical wiring layer rule 16 is a part in which rules for converting wiring of the temporary physical wiring layer, which is a wiring layer to be connected by the automatic wiring tool, into wiring of the actual wiring layer are stored. That is, a route for converting a predetermined wiring into a wiring having the structure shown in FIGS. 2 and 3 above. A rule for converting a pair of adjacent wires into a wire having the structure shown in FIG. 4 is stored.
  • the library 10, the design specification storage unit 12, the process parameters 14, and the provisional physical wiring layer rules 16 are provided with storage devices such as a hard disk device.
  • the circuit variable calculation unit 20 is a part that calculates circuit variables of wiring converted by the rules stored in the tentative physical wiring layer rule 16 based on externally input data.
  • the automatic placement unit 22 and the automatic routing unit 24 as automatic placement and routing tools are parts for performing layout design. That is, the automatic arranging unit 22 is a unit for automatically arranging the functional cells, and the automatic wiring unit 24 is a unit for connecting the arranged functional cells. The automatic arrangement of the functional cells and the connection between the arranged functional cells are performed using the layout data of the library 10 corresponding to the functional cells.
  • the netlist of the circuit for which the wiring route is generated by the automatic wiring unit 24 is supplied to the timing analysis unit 30.
  • This netlist has a hierarchical structure, and is composed of a netlist in a functional block composed of each functional cell and a netlist between functional blocks.
  • the timing analysis unit 30 is a part that performs timing analysis based on the netlist, the process parameters 14, and the provisional physical wiring layer rules 16.
  • the circuit variable determination unit 32 is a part that determines the circuit variable of the wiring on the temporary physical wiring layer based on the above timing analysis.
  • the wiring layer developing unit 34 is a part that develops a temporary physical wiring layer into an actual wiring layer that is an actual wiring layer of the integrated circuit based on the determined circuit variables.
  • the mask calculation unit 40 is a unit that creates data (mask data) serving as a mask pattern used in manufacturing an integrated circuit based on data (layout data) serving as a final layout pattern.
  • the automatic placement unit 22, the automatic wiring unit 24, the timing analysis unit 30, the circuit variable determination unit 32, the wiring layer development unit 34, and the mask calculation unit 40 include a semiconductor device that stores a program related to the processing to be performed. It is configured to include a storage device such as a memory and a hard disk device and a computer.
  • the input unit 50 is a unit that includes various input devices such as a touch pen, a keyboard, and a mouse, and that inputs various information and commands for layout design.
  • the image display section 52 is a section for visually displaying the input information, the layout diagram, and the like.
  • the control unit 54 includes the image display unit 52, the above-described automatic placement unit 22, automatic wiring unit 24, timing analysis unit 30, circuit variable determination unit 32, wiring layer development unit 34, mask calculation unit 40, and the like. This is the part that controls the operation.
  • FIG. 6 shows a procedure for designing an integrated circuit that is useful in this embodiment.
  • a temporary physical wiring layer is defined as a wiring layer to be used when automatic wiring is used after automatic cell placement and wiring is performed. That is, the number of wiring layers that can be used as temporary physical wiring layers is set through the input unit 50 due to restrictions at the time of manufacturing the integrated circuit. The number of wiring layers is set to be equal to or less than the maximum number of actual wiring layers determined by the above-mentioned restrictions at the time of manufacturing.
  • step S110 layout design is performed using the temporary physical wiring layer defined in step S100.
  • the layout information on the functional cells stored in the library 10 and the gate-level circuit information stored in the design specification storage unit 12 are input to the automatic arrangement unit 22.
  • the automatic placement unit 22 performs automatic placement of functional cells based on the gate-level circuit information.
  • connection between the function cells is performed using the connection information of the function cells for which arrangement has been completed stored in the design specification storage unit 12.
  • step S 120 the circuit variables of the integrated circuits for which the above-mentioned connections have been completed are determined by the circuit-variable determining unit 32.
  • each wiring of a predetermined temporary physical wiring layer that satisfies design constraints such as timing is converted into a plurality of wirings that are wirings of a plurality of wiring layers (real wiring layers) and have the same shape as each other.
  • the circuit variables that minimize the number of actual wiring layers among those realized in this way are determined.
  • the timing analysis is performed by the timing analysis unit 30 using a circuit variable when the temporary physical wiring layer is not expanded to the actual wiring layer, and the presence or absence of a timing violation is analyzed. Analyze.
  • the timing analysis is performed again by using a circuit variable obtained when a predetermined temporary physical wiring layer is expanded to an actual wiring layer. That is, first, when the electrical connection by the wiring provided in the temporary physical wiring layer is converted into the wiring of the actual wiring layer including a plurality of wiring layers in which the projection of each wiring layer is the same as the wiring of its own wiring layer.
  • the circuit variables of That the characteristics obtained when the electrical connection by the predetermined wiring of the temporary physical wiring layer can be realized by, for example, the wiring over two layers shown in FIGS. 2 to 4 are set as the above circuit variables. Is done.
  • the layout in the temporary physical wiring layer corresponding to the circuit information shown in FIG. 7A is as shown in FIG. 7B and FIG.
  • the resistance value becomes R / 2
  • the resistance value becomes 2R.
  • the resistance values as circuit variables are R / 2 and 2R.
  • the resistance value as a circuit variable can be set between R / 2-R and R-2R.
  • a plan view of a layout in the provisional physical wiring layer corresponding to the circuit information shown in Fig. 8 (a) is shown in Fig. 8 (b), and its cross-sectional views are shown in Figs. It is assumed to be as shown in Fig. 8 (d). At this time, when the wiring is converted into the wiring having the structure shown in FIG. 4, the capacitance between the pair of wirings is approximately doubled.
  • the number of such deployable real wiring layers is determined based on the maximum number of real wiring layers and the number of temporary physical wiring layers, which are determined by the restrictions at the time of manufacturing and the like. In other words, if the maximum number of actual wiring layers is six and the number of temporary physical wiring layers is five, then any one of the temporary physical wiring layers will have two real layers. It can be developed in the wiring layer.
  • the circuit variables are calculated by the circuit variable calculating section 20 based on the maximum number of wiring layers and the number of provisional physical wiring layers input from the input section 50. And this The circuit variables of the temporary physical wiring layer are stored in the temporary physical wiring layer rule 16 described above. In order to expand the range of the above-mentioned circuit variables, it is desirable to set the number of temporary physical wiring layers small.
  • the number of actual wiring layers is increased stepwise, and instead, all timing analysis is performed by circuit variables corresponding to all possible actual wiring layers.
  • the circuit variable may be determined based on the result.
  • step S130 the wiring of the temporary physical wiring layer is developed to the wiring of the actual wiring layer that realizes the circuit variables determined in step S120. That is, for example, the wiring shown in FIG. 7B and FIG. 7C is converted into the two-layer wiring shown in FIG. 2 or FIG. Further, for example, the pair of wires shown in FIGS. 8B to 8D is converted to the pair of wires shown in FIG.
  • step S140 the validity of the layout of the integrated circuit in which the connection using the temporary physical wiring layer is made in step S110 and the portion is modified to the real wiring layer in step S130 is checked.
  • a restriction is, for example, an antenna rule that prevents a gate insulating film from being destroyed by a charge accumulated in a gate connected to a transistor in a manufacturing process of the integrated circuit. This is a restriction, for example, in the manufacturing process, when the wiring connected to the gate does not exceed a predetermined wiring length.
  • this antenna rule may be considered in step S110 or step S120.
  • the conversion from the wiring in the temporary physical wiring layer to the wiring in the actual wiring layer is performed according to the antenna rule.
  • the wiring shown in FIG. 7 is converted to the wiring having the wiring structure shown in FIG. 2, when the wiring Lb4 of the n-th wiring layer is formed, the gate insulation of the transistor connected to the wiring LC2 when the wiring Lb4 is formed.
  • dielectric breakdown of the film occurs To do.
  • the connection between the wiring LC2 and the wiring Lb4 is avoided by shortening the length of the wiring Lb4.
  • the wiring Lb4 and the wiring La4 are connected, and the wiring La4 and the wiring LC2 are connected. Accordingly, in the case where the wiring Lb4 is connected to the drain and the source of the transistor by manufacturing the wiring of the (n + 1) th wiring layer, insulation breakdown can be avoided.
  • the mask calculation section 40 performs mask pattern data (mask data) based on data (layout data) serving as a layout pattern. ).
  • the mask of the wiring La4 of the (n + 1) th wiring layer and the wiring Lb4 of the nth wiring layer shown in FIG. 2 are the same as those shown in FIG. 9 (a). Is the mask data. Then, the mask of the via hole for connecting the wiring La4 of the (n + 1) th wiring layer and the wiring Lb4 of the nth wiring layer shown in FIG. 2 is shown in FIG. 9 (b). It will be shown.
  • the mask of the wiring La3 of the (n + 1) th wiring layer and the wiring Lb3 of the nth wiring layer shown in FIG. 3 is a single mask shown in FIG. 9 (c). It becomes mask data.
  • the via hole mask for connecting the wiring La3 of the (n + 1) th wiring layer and the wiring Lb3 of the nth wiring layer shown in FIG. 3 is shown in FIG. 9 (d). It becomes what is shown in.
  • the mask of the pair of wirings La2 and Lai of the (n + 1) th wiring layer and the pair of wirings Lb2 and Lbl of the nth wiring layer shown in FIG. This is the single mask data shown in (e).
  • a via hole mask for connecting the pair of wirings La2 and Lai of the (n + 1) th wiring layer and the pair of wirings Lb2 and Lbl of the nth wiring layer shown in FIG. Is as shown in FIG. 9 (f).
  • these mask data are subject to restrictions from the manufacturing process of the integrated circuit. That is, for example, when manufacturing a wiring having a predetermined wiring width and a wiring interval in the integrated circuit, a mask in which the wiring width and the wiring interval are converted to a predetermined value according to the manufacturing process is used. For this reason, in the mask shown in FIG. 9, the wiring width, the wiring interval, and the like may be different from those of the layout data designed by step S140. As described above, according to the present embodiment, the mask of the (n + 1) th wiring layer and the mask of the nth wiring layer can be made common.
  • the electrical connection by the wiring of the temporary physical wiring layer is realized by the wiring of the plurality of real wiring layers. Therefore, it is possible to adjust the circuit variables so as to satisfy the design constraints while avoiding changes in the wiring layout. Therefore, it is possible to reduce the trial and error due to the automatic wiring unit 24, and it is possible to reduce the calculation load of the automatic wiring tool.
  • Wirings La 4 which are used for signal propagation and are provided in a plurality of wiring layers in parallel with each other and electrically connected to each other via via holes at a plurality of locations. Added Lb4. As a result, it is possible to reduce the wiring resistance without increasing the effective wiring width, that is, the wiring width of the wiring occupying the substrate surface of the integrated circuit.
  • the integrated circuit can adjust the signal delay amount and generate the reference potential.
  • the resistance can be adjusted in the direction of increasing the resistance.
  • a pair of adjacent wirings La2 and Lai provided in a predetermined wiring layer and a pair of wirings La2 and Lai are provided in a region where the pair of wirings La2 and Lai are projected on a common wiring layer different from the predetermined wiring layer.
  • the interconnects Lb2 and Lbl were connected to each other via via holes. Thus, the capacitance between adjacent wirings can be increased.
  • the wiring laying interval is set to an integral multiple of the common unit interval Pa. ing.
  • the predetermined wiring of the (n + 1) th wiring layer and the predetermined wiring of the nth wiring layer these are projected onto the other wiring layer. Things match.
  • the wiring is not necessarily formed in a region where the wiring of the (n + 1) th wiring layer or the wiring of the nth wiring layer is projected on the other wiring layer. Not necessarily. This is because the (n + 1) th wiring layer and the nth wiring layer are further provided with a pair of wirings having the configuration shown in FIG. 10 or FIG.
  • FIG. 10 shows a cross-sectional configuration of the wiring Lcl and the wiring Lc2 in the yz plane when the normal direction of the wiring layer is the z direction.
  • the wiring Lcl and the wiring Lc2 which are a pair of wirings that are closest to each other when the integrated circuit is projected onto the substrate surface, are provided in different wiring layers. become. As a result, the capacitance between the wiring Lcl and the wiring Lc2 can be suitably reduced without increasing the horizontal distance between the wiring Lcl and the wiring Lc2.
  • FIG. 11 will be described.
  • the (n + 1) th wiring layer shown in FIG. 11 (a) and the nth wiring layer shown in FIG. 11 (b) have a plane extending in the X direction and the y direction.
  • the laying direction is the X direction.
  • the wiring Ldl and the wiring Ld2 provided over the (n + 1) th and nth wiring layers shown in FIGS. 11A and 11B are flat with each other. It is provided in a row, and the laying interval is the unit interval Pa.
  • FIG. 11 (c) shows a cross-sectional configuration on the xz plane of the wiring Ldl when the normal direction of each wiring layer is the z direction. That is, the wiring Ldl is formed over the (n + 1) th wiring layer and the nth wiring layer, and both wirings are formed by the plug pg8 provided in the via hole between these wiring layers. The portions formed in the layers are electrically connected in series.
  • FIG. 11D shows a cross-sectional configuration on the xz plane of the wiring Ld2 when the normal direction of each wiring layer is the z direction. That is, the wiring Ld2 is formed over the (n + 1) th wiring layer and the nth wiring layer, and both wirings are formed by the plug pg9 provided in the via hole between these wiring layers. The portions formed in the layers are electrically connected in series.
  • the wiring Ldl and the wiring Ld2 are provided so that the (n + 1) th wiring layer and the nth wiring layer are alternately switched via via holes. Therefore, the portion where the wiring Ldl and the wiring Ld2 are horizontally adjacent in the same wiring layer can be reduced as much as possible, so that the capacity between the wiring Ldl and the wiring Ld2 can be appropriately reduced. Become. Further, since the wiring Ldl and the wiring Ld2 are provided so as to change wiring layers, the influence of the electrical coupling to the outside of the wiring Ldl and the wiring Ld2 is reduced.
  • step S150 shown in FIG. 6 the mask data of the (n + 1) th wiring layer and the n-th Create mask data for each wiring layer separately.
  • the mask for the wiring Lcl shown in FIG. 10 is as shown in FIG. 12 (a), and the mask for the wiring Lc2 in FIG. 10 is as shown in FIG. 12 (b).
  • An example of a mask of a via hole between the (n + 1) th wiring layer and the nth wiring layer connecting these wirings Lcl and Lc2 to another wiring is shown in FIG. It will be as shown in c).
  • the mask of the (n + 1) -th wiring layer of the wirings Ldl and Ld2 shown in FIG. 11 is as shown in FIG. 12D, and the n-th wiring of the wirings Ldl and Ld2 is shown in FIG.
  • the layer mask is as shown in Fig. 12 (e).
  • FIG. 12F shows a mask of a via hole connecting the wirings Ldl and Ld2 in the second wiring layer.
  • the wiring having the configuration shown in FIG. 10 and FIG. It can be reduced.
  • the capacitance between the wirings can be reduced, the speed of the integrated circuit can be reduced, the power consumption can be reduced, and the crosstalk noise can be suitably reduced.
  • the present invention is not limited to this. That is, for example, as shown in FIG. 13 (a), it may be expanded to four actual wiring layers.
  • the wiring Lei and the wiring Le5 are formed in the first real wiring layer
  • the wiring Le3 is formed in the second wiring layer
  • the wiring Le2 and the wiring Le6 are formed in the third wiring layer.
  • the wiring Le4 is formed in the fourth wiring layer.
  • the wiring Lei and the wiring Le2 have substantially the same projection as themselves, and are connected to each other via a via hole.
  • the wiring Le3 and the wiring Le4 have substantially the same projection as themselves, and are connected to each other via via holes.
  • the wiring Le5 and the wiring Le6 have substantially the same projection as themselves, and are connected to each other via via holes.
  • the wiring having the closest distance in the line width direction to the wiring Le4 except for immediately below the wiring Le4 is the wiring Le2 and the wiring Le6 provided in the wiring layer one layer below.
  • the wiring having the closest distance in the line width direction except for the wiring Le3 is the wiring Lei and the wiring Le5 provided in the wiring layer one layer below. Therefore, the wirings Le4 and Le3 are set to reduce the capacitance between the wirings Le2 and Lei, which are the wirings having the closest distance in the line width direction, and the wirings Le6 and Le5.
  • the wiring Lf 1 and the wiring Lf 2 are connected in series, and the wiring Lf 2 and the wiring Lf 3 are connected in series in such a manner that the signal propagation directions are inverted.
  • An example is shown.
  • the wiring Lcl and the wiring Lc2, which are a pair of wirings composed of wirings that are closest to each other and projected onto the substrate surface of the integrated circuit, are configured to have different wiring layers.
  • the capacitance between the wiring Lc1 and the wiring Lc2 can be suitably reduced without increasing the horizontal distance between the wiring Lcl and the wiring Lc2.
  • the wiring Ldl and the wiring Ld2 alternately switch between the (n + 1) th wiring layer and the nth wiring layer via the via hole. For this reason, the portion where the wiring Ldl and the wiring Ld2 are horizontally adjacent to each other can be reduced as much as possible, and the capacitance between the wiring Ldl and the wiring Ld2 can be reduced appropriately. It can be reduced.
  • the electrical connection by the wiring of the temporary physical wiring layer is performed by the real wiring including a plurality of wiring layers.
  • the layout was designed in consideration of the realization with the layer wiring.
  • the layout is changed in order to improve the performance of the integrated circuit in which the layout has been realized (the integrated circuit in which layout data, mask data, and the like have already been designed). This is the case, for example, when (a) an already manufactured integrated circuit is found to have large characteristic variations and a low yield.
  • (Mouth) When a change in performance, such as the operating frequency of an integrated circuit whose design has been completed or an existing integrated circuit that has already been manufactured, is requested.
  • C For example, when designing an integrated circuit with a design rail of “0.18 zm” based on an existing integrated circuit with a design rule of “0.35 xm”, design an integrated circuit with a modified design rail. When adjusting the timing of a downsized integrated circuit that is similar to an existing off-the-shelf integrated circuit. And so on.
  • FIG. 14 shows a processing procedure for changing the layout of an integrated circuit according to the present embodiment.
  • the process shown in FIG. 14 is performed using the design support device shown in FIG. It is. However, in this case, not all the configurations of the support device shown in FIG. 5 are used, and the usage of each functional block is slightly different. This will be pointed out when describing the processing procedure in FIG.
  • step S200 a rule for converting a wiring of a single wiring layer (temporary physical wiring layer) into a wiring of a plurality of real wiring layers is set as a temporary physical wiring layer rule. Define.
  • the maximum number of wiring layers that can be used due to restrictions at the time of manufacturing the integrated circuit and the number of wiring layers of the integrated circuit for which the layout design has been completed are first determined by the input unit 5 shown in FIG. Entered through 0. Then, based on these differences, the circuit variable calculation unit 20 shown in FIG. 5 determines the number of wiring layers that can be expanded to actual wiring layers. For example, if the maximum number of wiring layers is “6” and the number of wiring layers of the integrated circuit for which the layout design has been completed is 5 ”, this is expanded to two actual wiring layers for one wiring layer. be able to.
  • each of the two wiring layers is changed to two actual wiring layers.
  • one wiring layer can be expanded to three real wiring layers.
  • a predetermined wiring is expanded in accordance with this, for example, to wiring having a configuration as exemplified in FIGS. 2 to 4, 10, 10, and 13. Constraints are defined.
  • the circuit variable calculation unit 20 calculates a circuit variable based on the number of expandable actual wiring layers.
  • step S210 among the wiring layers of the integrated circuit for which the layout design has been completed, a wiring layer that allows a change in the wiring laying mode is selected, and this is set as a temporary physical wiring layer.
  • the instruction to make this specific wiring layer a temporary physical wiring layer is issued through the input unit 50 in FIG.
  • the circuit variable of the wiring of the temporary physical wiring layer is determined by the circuit variable determination unit 32 shown in FIG.
  • a circuit variable that satisfies design constraints such as timing and minimizes the number of expansions from the temporary physical wiring layer to the actual wiring layer is determined.
  • the timing analysis is performed while the number of actual wiring layers to be developed is gradually increased to two or three layers. Then, the actual wiring layer that realizes the circuit variables at the time when the timing violation has disappeared is determined as the finally used actual wiring layer.
  • the number of actual wiring layers is increased in a stepwise manner. Instead, timing analysis using each circuit variable corresponding to all possible actual wiring layers is performed. The circuit variable may be determined based on the result.
  • step S230 the wiring of the temporary physical wiring layer is changed to the wiring of the actual wiring layer that realizes the circuit variable determined in step S220 by the wiring layer developing unit 34 shown in FIG. expand.
  • step S240 the validity of the layout of the integrated circuit having the portion modified in step S230 is confirmed.
  • step S210 Note that such design constraints may be considered in step S210 or step S220.
  • step S250 the mask calculation section 40 creates mask data based on the layout data.
  • the converted wiring is It can also be dealt with by inversely converting to the wiring of FIG. Therefore, for such layout data, not only can the number of actual wiring layers be increased, but also the timing can be adjusted by reducing the number of actual wiring layers.
  • a wiring layer that allows a change in the wiring layout of an integrated circuit whose layout has been completed is a temporary physical wiring layer, and the electrical connection by the wiring of the temporary physical wiring layer is performed by a plurality of real wiring layers. I thought about realizing it with the wiring of. Therefore, it is possible to easily adjust the circuit characteristics of the integrated circuit for which the layout design has been completed.
  • the area where the layout is designed by the automatic placement and routing tool (the logic circuit section 2 in FIG. 1 above) Is divided into a plurality of sections, and each of the divided sections can be separately developed into an actual wiring layer.
  • the wiring is not necessarily laid almost uniformly over the entire area of each temporary physical wiring layer. Therefore, there is a possibility that an area where wiring is not laid will be formed.
  • the present embodiment when considering realizing the electrical connection by the wiring of the temporary physical wiring layer by the wiring of a plurality of real wiring layers for each section, the expansion to the same real wiring layer is considered. The use of an area where no wiring is laid can also be considered. Therefore, it is possible to improve the circuit characteristics of the layout using the temporary physical wiring layer while reducing the redundancy due to the layout design.
  • FIG. 15 shows the overall configuration of the design support apparatus used in the present embodiment.
  • members having the same functions as those of the design support apparatus shown in FIG. 5 are given the same reference numerals for convenience.
  • a section setting section 42 is provided.
  • the section setting unit 42 sets not only the above-described sections but also sets a connection area between adjacent sections having different development modes on the actual wiring layer, and performs an electrical connection between both sections.
  • the partition setting unit 42 also includes a computer and a storage device such as a semiconductor memory or a hard disk device that stores programs related to the above-described processes, and a computer.
  • step S300 similarly to step S100 shown in FIG. 6, a wiring layer used when wiring is performed using an automatic wiring tool after automatic cell placement. Is defined as a temporary physical wiring layer.
  • the number of deployable real wiring layers is determined based on the maximum number of wiring layers determined by the above-described restrictions at the time of manufacturing and the like, but this number is the same as the maximum number of wiring layers and the provisional physical layer. The difference from the number of wiring layers is not necessarily dealt with, and it is desirable that the number be larger than this.
  • step S310 a layout design is performed using the temporary physical wiring layer defined in step S300.
  • step S320 the area for which layout has been designed by the automatic placement and routing tool is divided into small sections by the section setting section 42 shown in FIG. 15 in the integrated circuit.
  • the logic circuit section 2 is divided into a plurality of rectangular sections (at in the figure).
  • the circuit variable determination unit 32 determines circuit variables of the wirings related to the respective connections for each of the sections.
  • a circuit variable that satisfies design constraints such as timing and minimizes the number of developments from the temporary physical wiring layer to the actual wiring layer is determined.
  • the circuit variable determination unit 32 detects the number of wiring layers where no wiring is laid in each section. Then, a section having a large number of wiring layers where no wiring is laid is preferentially set as a section to be developed to an actual wiring layer. That is, for example, the number of temporary physical wiring layers is “5”, of which, in section a shown in FIG. 17 above, the number of wiring layers to be laid is three, and in section c, the number of wiring layers is three. Assuming that the number of wiring layers to be laid is "5", development to the actual wiring layer is performed preferentially in section a over section c.
  • the two wiring layers in the section a are redundant at the end of the layout setting in step S310. Therefore, the circuit characteristics of the integrated circuit as a whole are adjusted by effectively utilizing the redundant space. By doing so, the increase in the number of wiring layers of the integrated circuit can be suppressed as much as possible.
  • a circuit change when the temporary physical wiring layer is not expanded to the actual wiring layer is performed.
  • the timing analysis is performed by the timing analysis unit 30 using the number, and the presence or absence of a timing violation is analyzed.
  • timing analysis is performed again using the circuit variables obtained when the wiring of the predetermined temporary physical wiring layer in the predetermined section is converted to the wiring of the actual wiring layer. I do.
  • the actual wiring layer that realizes the circuit variable at the time when the timing violation is eliminated is finally determined as the actual wiring layer to be used.
  • step S340 the wiring of the temporary physical wiring layer is converted into the wiring of the actual wiring layer for each subsection by the wiring layer developing unit 34 based on the determined circuit variables.
  • step S350 the section setting unit 42 sets a connection area between adjacent sections having different development modes on the actual wiring layer. That is, when the development to the actual wiring layer is performed, the connection state at the time of the layout design in step S310 cannot be maintained between adjacent sections having different development modes to the actual wiring layer. . Therefore, a connection area is set between these adjacent sections.
  • FIG. 17 (b) boundaries between sections having different development modes on the actual wiring layer are extracted. As a result, a new section is defined in units of areas having the same development pattern on the actual wiring layer.
  • sections a, b, f, and g shown in FIG. 17 (a) are new sections D and sections d, e, h, i, j, m, n, o. Is defined as a new section C, sections k, 1, p, and g are defined as a new section A, and sections r, s, and t are defined as a new section B, respectively.
  • the development to the actual wiring layer in step S340 is the same.
  • the temporary physical wiring layer K is expanded to the actual wiring layers K (l) and ⁇ (2), and the temporary physical wiring layer K + 1 is actually realized.
  • the wiring layers K + 1 (1) and K + 1 (2) are expanded, and the temporary physical wiring layer ⁇ + 2 is expanded to the real wiring layers ⁇ + 2 (1) and ⁇ + 2 (2).
  • the provisional physical wiring layer ⁇ + 2 is expanded to the actual wiring layers ⁇ + 2 (1) and ⁇ + 2 (2).
  • the horizontal direction is the X direction and the vertical direction is the Z direction.
  • the hatching of the actual wiring layer matches the hatching of the temporary physical wiring layer before development.
  • step S350 as shown in Fig. 18 (c), the vicinity of the boundary between adjacent new sections is defined as a connection area.
  • wiring is formed so as to maintain the connection state in the layout design in step S310.
  • the hatching of each wiring layer in the coupling region matches the hatching of the temporary physical wiring layer in which the connection state is maintained by the wiring layer.
  • the partition A is set so as to straddle the boundary between the new partition A and the new partition B. And wiring to connect Section B is laid.
  • the wiring laying direction is the Y direction, which is parallel to the boundary between the new section A and the new section B. Therefore, in the connection area, wiring is laid on the temporary physical wiring layer K and the temporary physical wiring layer (K + 2) so as to maintain the connection state between the new section A and the new section B.
  • the wiring of the real wiring layer K (l) and the wiring of the real wiring layer K (2) in the new section A and the wiring of the real wiring layer ⁇ in the new section ⁇ form a new section ⁇ and a new section.
  • the wiring of the real wiring layer K + 2 (1) and the real wiring layer K + 2 (2) in the new section A and the real wiring layer K + 2 (1) and the real wiring layer K + 2 in the new section B The new section A and the new section B are connected by the wiring of (1).
  • the actual wiring layer developed from the same temporary physical wiring layer between adjacent sections is Adopt a structure that connects continuously.
  • FIG. 18 (c) the connection area that connects the real wiring layers K (l) and ⁇ (2) of the new section A and the real wiring layer ⁇ of the new section ⁇ ⁇ continuously A wiring layer in the X direction (first layer in the figure) is provided.
  • the wiring in the Y direction is connected to the connection area where the real wiring layer ⁇ + 1 (1) and ⁇ + 1 (2) of the new section ⁇ and the real wiring layer ⁇ + 1 of the new section ⁇ ⁇ are continuously connected.
  • Layers (second and third layers in the figure) are provided.
  • the real wiring layers K + 2 (1) and K + 2 (2) of the new section A and the real wiring layers K + 2 (1) and K + 2 (2) of the new section B are continuously connected.
  • Wiring layers in the X direction (fourth and fifth layers in the figure) are provided in the connection area to be connected.
  • Fig. 18 (c) an area where connection between the connection area and a section adjacent to the connection area is not permitted is marked with an X. This is set by the section setting unit 42 as an area where connection is not permitted when setting the connection area.
  • connection area for example, only a temporary physical wiring layer having a wiring that straddles the partitioned area may be connected to the actual physical wiring layer, and may be continuously connected. That is, for example, in FIG. 18 described above, a connection region that continuously connects the real wiring layers K + 1 (1) and K + 1 (2) of the new section A and the real wiring layer K + 1 of the new section B is continuously connected. In setting, it is not necessary to provide an X-direction wiring laying across the sections in the provisional physical wiring layer K + 1. By determining the wiring structure of the coupling region in consideration of the presence or absence of the wiring straddling between adjacent sections in this manner, the degree of freedom of the wiring structure allowed in the coupling region can be increased. For this reason, among the restrictions on the laying of the wiring, the restriction from the structure of the coupling region can be reduced, and the required circuit characteristics of the wiring can be easily realized.
  • step S360 the new sections are electrically connected so as to maintain the electrical connection obtained by the layout design in step S310.
  • the electrical connection between the new sections using this coupling region is as illustrated in FIG. 18 (d).
  • the real wiring layers K (l) and ⁇ (2) expanded into two layers in the new section A are connected to the real wiring layer in the new section ⁇ ⁇ via the coupling region. Connected to the wiring.
  • the real wiring layer K + 2 (l) and the wiring of ⁇ + 2 (2) expanded to two layers in the new section ⁇ are expanded to two layers in the new section ⁇ through the coupling region. Connected to the wiring of layers ⁇ + 2 (1) and ⁇ + 2 (2).
  • Fig. 18 the force of one expansion structure of the wiring in the coupling region is used.
  • the connection state of the wiring of the temporary physical wiring layer between adjacent sections cannot be satisfied.
  • a plurality of the same expanded structures may be provided. That is, for example, when the wiring of the provisional physical wiring layer shown in FIG. 19A is converted to the wiring of the actual wiring layer shown in FIG. 19B, a connection region as shown in FIG. 19C is set. You may.
  • FIG. 19 (d) the wiring of the real wiring layer K (l), ⁇ (2), ⁇ (3) of the new section and the wiring of the real wiring layer ⁇ of the new section ⁇ And are connected.
  • a new real wiring layer ⁇ ⁇ +2 The real wiring layers ⁇ + 2 (1) and ⁇ + 2 (2) in the section ⁇ are connected.
  • step S370 shown in Fig. 16 above the validity of the integrated circuit is checked in the same manner as in step S140 in Fig. 6 above. Further, in step S380, similar to step S150 shown in FIG. 16, mask data is created, and this series of processing ends.
  • the coupling region having the wiring provided such that the integrated circuit is projected on the substrate surface in a straight line and provided so as to change wiring layers is set near the boundary between adjacent sections.
  • the connection between the adjacent sections can be preferably performed.
  • the wiring of the temporary physical wiring layer when the wiring of the temporary physical wiring layer is developed into the wiring of the actual wiring layer, the wiring is not limited to those shown in FIG. 2, FIG. 4, FIG. 10, and FIG. A wiring having a structure as illustrated in FIG. 20 is further used.
  • FIG. 20 (a) shows the same physical wiring when one temporary physical wiring layer is expanded into three real wiring layers.
  • wiring Lgl and wiring Lg2 are provided on the first and third layers, respectively, and no wiring is provided on the intermediate second layer.
  • FIG. 20 (a) shows a via hole which takes a contact between the actual wiring layers and a plug Lg2 and a plug connecting between the wiring Lg2, which show that the shape of the plug formed in the via hole may be arbitrary.
  • pgl0 and a plug pgl l are illustrated.
  • wirings Lhl Lh3 of real wiring layers adjacent to each other are provided in parallel with each other and electrically connected to each other by plugs pgl 2 -pgl 6 in via holes at a plurality of locations.
  • the layout of the via holes is illustrated for the connected wiring.
  • the wiring Lhl and the wiring with the plug pgl2 are connected from the connection point of the wiring Lhl and the wiring Lh2 with the plug pgl4.
  • the signal propagates to the connection point of Lh2 via each of the wiring Lhl and the wiring Lh2.
  • the signal further propagates through the wiring Lh3. Therefore, the resistance of the propagation path when a signal propagates from the end L to the end R can be adjusted by the connection point of the wirings Lhl-Lh3 by these plugs.
  • FIG. 20 (c) shows that the wiring Lil-Li3 provided in the real wiring layers adjacent to each other propagates a signal from the end L of the wiring Lil to the end R of the wiring Li3. They are electrically connected to each other so as to form a system IJ.
  • the wiring length laid in the layout using the temporary physical wiring layer is the wiring length of the wiring Li3, and the wiring Lil and the wiring Li2 when the wiring is expanded to the actual wiring layer.
  • the length is different from the wiring length of the wiring of the temporary physical wiring layer.
  • the resistance of the signal propagation path when the signal propagates from the end L to the end R can be adjusted by the wiring length.
  • FIG. 20 (d) shows, in the case shown in FIG. 4 above, the wiring length of the wiring Lj1 fixed to the potential and the wiring Lj2 connected via the contact hole. The case where the wiring length is different is shown.
  • the wirings Lkl, Lk of the actual wiring layers expanded to a plurality of wiring layers are shown. 2 shows a case where each has a different wiring width. Note that these wirings Lkl and Lk2 may be, for example, the (n + 1) th layer wiring and the nth layer wiring shown in FIGS. 2 to 4 and FIGS. 10, 11, and 13 described above. .
  • temporary connection which is a connection process with a smaller computational load than detailed wiring for completing all connections.
  • the development from the temporary physical wiring layer to the actual wiring layer is performed.
  • at least one area of the area where the wiring of the predetermined temporary physical wiring layer is substantially projected onto the actual wiring layer including a plurality of wiring layers is used for the temporary connection. This is performed based on the circuit characteristics on the assumption that the wiring is converted into a wiring formed.
  • step S400 a temporary physical wiring layer is defined as in step S300 shown in FIG.
  • step S410 based on the provisional physical wiring layer, the automatic placement unit 22 performs the automatic placement of the function cells and the automatic wiring unit 24 performs the temporary connection.
  • the temporary connection may be a Steiner wiring connecting a desired two points with a straight line, or a global connection that requires less computational load than the detailed wiring described above, for example, by performing a trial and error within a predetermined time limit. There are wiring etc. In these cases, for example, a short-circuit of wiring may be allowed.
  • estimation of circuit variables in these processes is performed by
  • each wiring of the wiring layer is converted to a wiring formed using at least one region of a region substantially projected onto an actual wiring layer including a plurality of wiring layers.
  • step S420 similarly to step S320 in Fig. 16 described above, the area for which layout has been designed by the automatic placement and routing tool in the integrated circuit is defined by the partition setting unit shown in Fig. 15 above. Divide into small sections by 42.
  • the circuit variable determination is performed.
  • the setting unit 32 determines the upper limit value and the lower limit value of the circuit variable of the wiring that works on each connection for each section. That is, in the present embodiment, even if the number of actual wiring layers is determined, the circuit variables are not uniquely determined to enable the wiring structure as exemplified in FIG. The lower limit is determined.
  • a circuit variable that satisfies design constraints such as timing and minimizes the number of developments from the temporary physical wiring layer to the actual wiring layer is determined.
  • timing analysis unit 30 When verifying whether or not the above timing design constraint is satisfied, note the following when performing the timing analysis by the timing analysis unit 30 based on the layout data on which the temporary connection has been performed. .
  • the timing analysis is performed by, for example, setting a larger coupling capacitance between adjacent wirings as the wiring congestion degree becomes higher.
  • step S440 when the wiring of the temporary physical wiring layer is expanded to the actual wiring layer for each of the sections by the above-described section setting section 42, boundaries between the sections having different development modes are extracted, and these sections are connected. Set the connection area.
  • step S450 the automatic placement unit 22 performs fine adjustment of the placement based on the information obtained from the estimation by the processing shown in steps S430 and S440. That is, in step S430, the number of temporary physical wiring layers that can be used for wiring in each subsection is determined. Further, in step S440, a connection mode between adjacent sections having different developments on the actual wiring layer is set. Therefore, based on these information, the arrangement obtained in step S410 is further finely adjusted. In this case, Step S430: Make fine adjustments to obtain an optimal arrangement within the range of the upper and lower limits of the circuit variables determined in S430.
  • step S460 detailed wiring for connecting all parts of the integrated circuit is performed based on the provisional physical wiring layer, and the wiring obtained by the detailed wiring is expanded to wiring in the actual wiring layer.
  • the detailed wiring refers to converting each wiring of a predetermined temporary physical wiring layer into a wiring formed by using at least one region of a region substantially projected onto a real wiring layer including a plurality of wiring layers. This is performed based on the assumed circuit characteristics. It is desirable to use this detailed wiring if the temporary connection made in step S410 is a global wiring. Instead of this, adjustment of circuit variables is performed while estimating by temporary connection again.
  • the adjustment of the circuit variable be within the range of the upper limit value and the lower limit value of the circuit variable determined in step S430.
  • the timing analysis is performed by the timing analysis unit 30 to determine a final circuit variable.
  • the wiring layer developing unit 34 expands the wiring of the temporary physical wiring layer into the wiring of the actual wiring layer based on the final circuit variable.
  • the wiring of the temporary physical wiring layer is converted into a wiring formed using at least one of the regions substantially projected onto the real wiring layer including a plurality of wiring layers.
  • the wiring on the actual wiring layer does not necessarily match the region where the wiring of the temporary physical wiring layer is simply projected onto the real wiring layer.
  • step S470 the sections are electrically connected to each other using the coupling region so as to maintain the electrical connection of the temporary physical wiring layer by the detailed wiring in step S460.
  • step S440 the setting of the connection area in step S440 and the processing in step S470 based on the setting are desirably performed as shown in FIG.
  • Fig. 22 (a) shows the layout of wiring in the temporary physical wiring layers when the number of temporary physical wiring layers in which wiring is laid differs between the adjacent sections A and B.
  • b) shows a mode of development to an actual wiring layer.
  • the joint between the sections shown in Fig. 18 In addition to the method shown in Fig. 22 (c), in the case where the wiring laying directions in the same real wiring layer of the adjacent sections A and B are The provisional physical wiring layers that can be combined in the section are newly defined.
  • the connection is made across the adjacent sections in the above step S460, the wiring layer is formed, and the actual wiring layer is expanded. Maintain the connection relationship.
  • the connection between the adjacent sections A and B is performed using the connection area.
  • the connection can be newly established in the coupling region, thereby reducing the connection via different actual wiring layers. It can. That is, for example, in FIG. 22 (a), the temporary physical wiring layer in which wiring is laid in the section A is only the temporary physical wiring layer K-K + 2, which is the wiring in the section B where the wiring is laid. This is different from the temporary physical wiring layer K-K + 4, which is a temporary physical wiring layer.
  • FIGS. 23 (a) and 23 (b) show the same situation as FIGS. 22 (a) and 22 (b).
  • the wiring layer is the same real wiring layer of the adjacent sections A and B, and the wiring laying direction is the same, and the wiring layer is orthogonal to the boundary between the sections A and B. Only allow connections between Block A and Block B at.
  • the processing in the above step S470 as shown in FIG. 23 (d)
  • the connection between the adjacent sections A and B is performed using the connection area.
  • the connection between the provisional physical wiring layer K + 2 of the section A and the provisional physical wiring layer K + 2 of the section B is not maintained.
  • steps S480 and S490 When the connection between the adjacent sections is performed in this way, in steps S480 and S490, the same processing as in steps S370 and S380 in Fig. 16 is performed, and this series of processing ends.
  • each real wiring layer is provided in parallel with each other and are electrically connected to each other at a plurality of locations by plugs pgl2-pgl6 in via holes.
  • the arrangement of via holes can be set arbitrarily. This makes it possible to adjust the resistance value of the propagation path when the signal propagates from the end to the end R.
  • the wiring width is allowed to differ between real wiring layers developed from one temporary physical wiring layer. Thereby, the resistance value and the capacitance between wirings can be adjusted.
  • each wiring of the temporary physical wiring layer is converted into a wiring formed using at least one region of a region substantially projected onto a real wiring layer including a plurality of wiring layers.
  • the projection of the integrated circuit onto the substrate surface is performed from the temporary physical wiring layer for each of the plurality of divided sections. Development to the wiring layer was performed. That is, the integrated circuit was divided into two-dimensional sections, which were developed into actual wiring layers as a unit. On the other hand, in the present embodiment, not only is the integrated circuit divided into planar partitions, but also division in the vertical direction is performed using several temporary physical wiring layers as a unit.
  • FIG. 24 exemplifies how the integrated circuit is divided into sections according to the present embodiment.
  • FIG. 24 illustrates a division state of the logic circuit unit 2 having the temporary physical wiring layer K and the temporary physical wiring layer K + 7.
  • FIG. 24 (a) shows a division mode of the provisional physical wiring layer K ⁇ K + 4 in the logic circuit unit 2.
  • the remaining temporary physical wiring layer K + 5K + 7 in the logic circuit section 2 is divided into a section u shown in FIG. 24 (b), a section V shown in FIG. 24 (c), and a section V shown in FIG. 24 (d). And is divided into the indicated sections w.
  • the temporary physical wiring layer K + 5, the temporary physical wiring layer K + 6, the temporary physical wiring layer K + 7, and the force are respectively divided into one section.
  • step S230 for the provisional physical wiring layer K + 5—K + 7, based on the circuit variables determined by the processing of step S220 in FIG. 14 above, in the processing of step S230, FIG. 24 (e) and FIG. As shown in f), development from the temporary physical wiring layer to the actual wiring layer is performed.
  • the wiring generated by expanding from the temporary physical wiring layer to the real wiring layer has the same wiring formation mask on each of the developed real wiring layers as illustrated in FIGS. It is desirable that
  • a partition is configured in units of several temporary physical wiring layers, and circuit characteristics are adjusted for each partition. You will be able to make adjustments. That is, for example, the wiring in the uppermost layer of the integrated circuit tends to have a longer wiring length, so that the wiring resistance increases remarkably. However, the wiring of this temporary physical wiring layer is replaced with, for example, the wiring shown in FIG. By performing the conversion, the resistance value can be suitably suppressed.
  • a section which is a unit of development from a temporary physical wiring layer to an actual wiring layer is defined as an integrated circuit board. Not only was it configured as a two-dimensional division in a plane parallel to the plane, but also as a division in units of several temporary physical wiring layers. Thereby, the circuit characteristics of the integrated circuit can be adjusted more suitably.
  • connection is once performed using the temporary physical wiring layer, and then the connection is developed to the actual wiring layer.
  • a temporary physical wiring layer and an actual wiring layer obtained by expanding the temporary physical wiring layer are determined in advance, and connection is performed by an automatic wiring tool.
  • the connection is performed by providing a prohibited area for which connection by the automatic wiring tool is prohibited corresponding to the upper layer or the lower layer of the wiring laying area where the adjustment of the electrical characteristics is desired.
  • the predetermined wiring is converted into a wiring having the same structure as the wiring illustrated in FIGS. 2-4, 10, 10, 11, and 20 by using the prohibited area.
  • the wiring prohibition area has features such as permission / prohibition of via formation, so that it is possible to control a wiring path and a degree of freedom in forming a wiring structure.
  • FIG. 25 is a flowchart showing a procedure for designing an integrated circuit according to the present embodiment.
  • This design procedure is performed using the design support device shown in FIG.
  • step S500 the automatic placement unit 22 performs automatic placement of a functional cell for which circuit design has been completed.
  • connection using an automatic wiring tool is prohibited in an upper layer or a lower layer of a predetermined wiring laying area where adjustment of electric characteristics of the wiring, such as wiring resistance and capacitance between the wirings, is desired.
  • a prohibited area is provided.
  • the predetermined wiring is, for example, a bus wiring or a clock wiring.
  • the upper or lower wiring layer of the predetermined wiring laying area is a wiring layer adjacent to the wiring layer on which the predetermined wiring is laid.
  • this prohibited area stores, for example, circuit information and the like in the design specification storage unit 12 described above.
  • the predetermined wiring such as a bus wiring or a clock wiring may be used to specify the predetermined wiring and, based on the specified wiring, notify the automatic wiring unit 24 of the prohibited area through the input unit 50.
  • step S520 in the automatic wiring unit 24, connection between the functional cells is performed in such a manner as to avoid the prohibited area.
  • the circuit variables are determined by the circuit variable determining unit 32 in step S530. This can be done, for example, as follows. That is, first, the values that can be taken by the circuit variables when the above-mentioned predetermined wiring is converted into the wiring extending over the two wiring layers having the structure illustrated in FIGS. 2-4, 10, 10, and 20, etc. Is calculated by the circuit variable calculator 20. Then, based on the circuit variables calculated by the circuit variable calculator 20, the timing analyzer 30 performs timing analysis. Then, a circuit variable that satisfies the design constraint is determined based on the analysis result of the timing analysis unit 30.
  • step S540 based on the determined circuit variables, the wiring layer developing unit 34 expands the wiring to the prohibited area. Then, in steps S550 and S560, the same processing as the processing in steps S140 and S150 shown in FIG. 6 is performed.
  • FIGS. 26 (a) to 26 (c) show a plurality of actual wiring layers K (3)-(1) in which the provisional physical wiring layer K is developed.
  • a prohibited area is set as an area where the temporary physical wiring layer is developed before wiring by the automatic wiring tool. That is, the wiring prohibited area DAI is set in the real wiring layer K (3) shown in FIG. 26A, and the prohibited areas DA2, DA3, and DA4 are set in the real wiring layer K (2) shown in FIG. 26A. Is set, and the prohibited area DA5 is set in the actual wiring layer ⁇ (1) shown in FIG. 26 (a).
  • the prohibited area DA4 shown in FIG. 26B prohibits the formation (automatic placement) of wiring and through vias (via holes that penetrate the wiring layer and connect the upper and lower wirings of the wiring layer).
  • the other prohibited areas DAI, DA2, DA3, and DA5 are areas where wiring is prohibited but formation of through vias is permitted. Also, as shown in FIG. 26 (a), predetermined wirings Ll l and L12 are provided in the actual wiring layer K (3), and as shown in FIG. 26 (c), predetermined wirings Ll l and L12 are provided in the actual wiring layer K (l). Wiring L13 and L14 are laid.
  • Figs. 27 (a) -1 (c) and 28 (a)-(c) show the actual distribution in which the temporary physical wiring layer K is expanded after connection.
  • Line layer K (3) shows K (l).
  • the wiring L11 of the provisional physical wiring layer ⁇ is changed to the wiring LI la, LI lb and the plug Pl la, PI lb of the real wiring layer ⁇ (2) and the real wiring layer ⁇ (3) based on the determined circuit variables.
  • the wiring L14 of the provisional physical wiring layer K is expanded to the wirings L14a, L14b, L14c and the plugs P12a, P12b of the actual wiring layer K (l) and the actual wiring layer K (2) based on the determined circuit variables. ing.
  • the logic circuit section 2 further includes the wiring shown in FIG. FIG. 29 (a) shows a circuit diagram of the wiring included in the logic circuit unit 2.
  • a wiring Lml for signal propagation is shielded by wirings Lm2 and Lm3 whose potentials are fixed at a power supply potential and a ground potential.
  • FIG. 29 (b) The wiring structure corresponding to the circuit diagram of FIG. 29 (a) is shown in FIG. 29 (b) —FIG. 29 (e).
  • FIGS. 29D and 29E are cross-sectional views of the wiring Lm2 and the wiring Lm3, respectively.
  • the normal direction of the xy plane is the z direction.
  • the wiring Lm2 switches the wiring layer via the plug pgl7
  • the wiring Lm3 switches the wiring layer via the plug pgl8. Is going.
  • the wirings Lm2 and Lm3 for shielding the signal transmission wiring Lml in the n-th wiring layer are switched to the (n + 1) -th wiring layer, and the signal The capacitance between the transmission wiring Lm1 and the wiring Lm2 and the capacitance between the signal transmission wiring Lml and the wiring Lm3 are adjusted. That is, as shown in FIG. 29 (c), the wirings Lm2 and Lm3 are All of them are laid in the n-th layer adjacent to the signal propagation wiring Lml, and are switched to the (n + 1) -th wiring layer in the middle as shown in FIG. 29 (b). .
  • the signal transmission wiring Lml and the wiring Lm2 and Lm3 having a fixed potential are adjacent to each other. Is different between the wiring Lm2 and the wiring Lm3. Then, in the example shown in FIG. 29, the length of the wiring Lm3 fixed to the ground potential is shorter than the length of the wiring Lml for signal propagation adjacent to the wiring Lm2 fixed to the power supply potential. Therefore, the signal propagating through the signal transmission wiring Lml has a relatively high rise time compared to the fall time of the signal.
  • the signal propagation speed and signal waveform of the signal propagation wiring Lml are reduced. Be able to adjust.
  • the integrated circuit according to the present embodiment having such a wiring structure can also be designed by the design procedure shown in FIG. That is, by performing the layout design using the temporary physical wiring layer in step S110, the layout data of the wiring shown in FIG. 30A is realized from the circuit shown in FIG. 29A. Further, by converting the wiring of the temporary physical wiring layer into the wiring of the actual wiring layer in the above steps S120 and S130, the layout data of the wiring shown in FIGS. 29B to 29E is realized. Then, in the above step S150, the mask data shown in FIG. 30 (b) and FIG. 30 (d) is generated. The mask data shown in FIG. 30 (b) is for the (n + 1) th wiring layer, and the mask data shown in FIG. 30 (c) is for the (n + 1) th and nth layers. The mask data shown in FIG. 30 (d) is for the nth wiring layer.
  • the wiring Lm2 and Lm3 for shielding the signal transmission wiring Lml in the n-th wiring layer are switched to the (n + 1) -th wiring layer to connect with the signal transmission wiring Lml.
  • the capacitance between Lm2 and the capacitance between the signal propagation wiring Lml and the wiring Lm3 can be adjusted.
  • the logic circuit unit 2 further includes the wiring shown in FIG. FIG. 31 (a) shows a circuit diagram of the wiring included in the logic circuit unit 2.
  • the wiring Lml for signal propagation is shielded by wirings Ln2 and Ln3 whose potentials are fixed at the power supply potential and wirings Ln4 and Ln5 whose potentials are fixed at the ground potential. I have.
  • FIG. 31 (b) and FIG. 31 (e) show a wiring structure corresponding to the circuit of FIG. 31 (a).
  • FIGS. 31B and 31C are plan views of the wirings Lnl to Ln5 in the (n + 1) th wiring layer and the nth wiring layer, respectively.
  • the surface of each wiring layer is a plane defined by the x direction and the y direction, and the wiring laying direction is the X direction.
  • FIGS. 31D and 31E are cross-sectional views of the wiring Lnl, the wiring Ln2, and the wiring Ln5, respectively.
  • the normal direction of the xy plane is the z direction.
  • the wiring Lnl switches wiring layers via the plug pgl9.
  • the wiring Ln2 is formed over two adjacent wiring layers via the plug pg20, and the wiring Ln5 is formed on the two adjacent wiring layers via the plug pg21. It is formed over.
  • each of the wirings Ln2 to Ln5 has the same length, and in the wiring laying area between the wirings Ln2 and Ln5 and the wirings Ln3 and Ln4, A wiring Lnl for signal propagation is laid.
  • the lengths of the wirings Ln2 and Ln3 whose potentials are fixed at the power supply potential and the wirings whose potentials are fixed at the ground potential The lengths of Ln4 and Ln5 are different from each other. Therefore, in the (n + 1) -th wiring layer, the length of the signal transmission wiring Lnl adjacent to these wirings Ln2 and Ln3 and the wirings Ln4 and Ln5 is different from each other.
  • the lengths of the lines Ln4 and Ln5 fixed to the ground potential are closer to the wiring Ln1 for signal propagation than the lines Ln2 and Ln3 fixed to the power supply potential. It is getting shorter. Therefore, the signal propagating through the signal transmission line Lnl has a relatively high rise time compared to the fall time of the signal.
  • the signal waveform of the signal transmission line Lnl can be adjusted by adjusting the region where the signal transmission line Lnl and the fixed potential lines Ln2 to Ln5 are adjacent to each other. You.
  • the integrated circuit according to the present embodiment having such a wiring structure can also be designed by the design procedure shown in FIG. That is, by performing the layout design using the temporary physical wiring layer in step S110, the layout data of the wiring shown in FIG. 32 (a) is realized from the circuit shown in FIG. 31 (a). Further, by converting the wiring of the temporary physical wiring layer into the wiring of the real wiring layer in the above steps S120 and S130, the layout data of the wiring shown in FIGS. 31 (b) to 31 (e) is realized. Then, in step S150, the mask data shown in FIGS. 32 (b) to 32 (d) is generated.
  • the mask data shown in FIG. 32 (b) is for the (n + 1) th wiring layer
  • the mask data shown in FIG. 32 (c) is for the (n + 1) th and nth layers.
  • the mask data shown in FIG. 32 (d) is for the nth wiring layer.
  • the effects (1), (1), (3), and (5) of the first embodiment and the effects (6) and (5) of the second embodiment described above are also obtained.
  • the effect of 7) and the effect of (16) of the eighth embodiment can be obtained.
  • the structure for increasing the capacitance of a pair of adjacent wires is not limited to the structure illustrated in FIG. For example, it may be as shown in FIG.
  • FIG. 33 (a) shows that a pair of adjacent wirings (wiring Lol and wiring Lo2 and wiring Lo3 and wiring Lo4) are respectively formed by parallel connection of wirings of a plurality of wiring layers. Is common to a pair of wirings. Incidentally, the wiring Lol and the wiring Lo2 are connected in parallel to each other by plugs pg22 and pg23. Also wiring.
  • wiring Lo4 are connected in parallel with each other by plugs pg24 and pg25.
  • the wiring Lol and the wiring Lo3, the wiring Lo2 and the wiring Lo4 are formed on the same wiring layer.
  • FIG. 33 (b) shows that a pair of adjacent wirings (wiring Lpl and wiring Lp2 and wiring Lp3 and wiring Lp4) are laid in parallel with each other and the collection of paths from one end to the other end.
  • the wiring power of a plurality of wiring layers connected in series with each other so that the projection of the integrated circuit onto the substrate surface is configured.
  • the wiring Lpl and the wiring Lp2 are connected in series with each other by a plug Pg26.
  • the wiring Lp3 and the wiring Lp4 are connected in series to each other by a plug Pg27.
  • the wiring Lpl and the wiring Lp3, the wiring Lp2 and the wiring Lp4 are formed in the same wiring layer.
  • one of the wiring Lbl and the wiring Lb2 may not be connected to the wiring La1 or the wiring La2.
  • the wiring connected to the wirings Lbl and Lb2 of the (n + 1) th wiring layer is a dummy wiring having one open side. be able to. Then, a capacitance between the dummy wiring and the adjacent wiring is given to the wiring connected to the dummy wiring. Therefore, the capacitance between the wiring connected to the dummy wiring and the wiring connected to the P wiring can be increased.
  • the wiring shown in FIG. 2 also has a specific wiring such as between specific terminals ta and tb of any two elements Ea and Eb of the integrated circuit. It is desirable that the wiring be used to connect two terminals.
  • FIGS. 2, 3, 4, 10, 10, 11, 13, 20, 29, 31, and 33, etc. are also described in these figures. Re, limited to those illustrated. The point is that it is composed of wirings of a plurality of wiring layers connected to each other by via holes in such a manner that they have the same route direction as each other and that the projection of the integrated circuit onto the substrate surface overlaps each other. It may be changed appropriately within the range.
  • the mask data is created from now on.
  • the present invention is not limited to this.
  • the placement and connection by an automatic placement and routing tool, the development to an actual wiring layer, and the like may be performed using mask data.
  • the mode of setting the sections by the section setting unit 42 is not limited to the examples illustrated in Figs. What? This section is not limited to a rectangular shape.
  • the area designed using the automatic placement and routing tool is not limited to the logic circuit section.
  • the prohibition region is not limited to the one provided on a single wiring layer, but may be at least one of an upper layer and a lower layer of a wiring laying region where electric characteristics are desired to be adjusted.
  • a connection prohibited area where connection by the automatic wiring tool is prohibited is provided in the layer of.
  • Step 1 After performing automatic placement using the temporary physical wiring layer by the conventional method, perform temporary connection on the temporary physical wiring layer with less computational load than the final connection, and Estimate the wiring route on the temporary physical wiring layer.
  • the circuit characteristics based on the assumption that the wiring of a predetermined temporary physical wiring layer is converted into a wiring formed using at least one region of a region substantially projected onto a real wiring layer including a plurality of wiring layers are described. Provisional connection is performed based on this.
  • (B) Final connection is performed based on the estimation of the wiring route.
  • IIii Perform the conversion based on the above premise based on the circuit characteristics that help estimate the wiring route.
  • Step 2 (a) Convert the wiring of the predetermined temporary physical wiring layer into a wiring formed using at least one of the regions substantially projected onto the real wiring layer including a plurality of wiring layers. Arrange them based on the assumed circuit characteristics. (A) Connect each part of the integrated circuit by the conventional wiring method using the temporary physical wiring layer. (Iii) Converting a wiring of a predetermined wiring layer of the temporary physical wiring layer into a wiring formed by using at least one region of a region substantially projected onto a real wiring layer including a plurality of wiring layers.
  • step S310 In the layout design of step S310 shown in FIG. 16 above, after the placement is completed, the integrated circuit is divided into a plurality of regions, and the cost for laying wiring is defined for each region. Under the specified conditions, the connection of each part of the integrated circuit may be performed so as to reduce the cost.
  • a region where high-speed performance is required such as a region in which it is particularly desired to adjust circuit variables by expanding to an actual wiring layer, is defined so as to increase the cost.
  • reducing the number of temporary physical wiring layers used for laying wiring in the same area Can do. For this reason, in this area, the development to the actual wiring layer can be preferentially performed.
  • priority can be increased in areas where specific circuit characteristics such as high speed are desired. This can be applied to actual wiring layers, and the circuit characteristics can be greatly improved.
  • the present invention is not limited to the one performed by the design illustrated in the embodiment and its modification. Further, for example, assuming the layout by the conventional automatic wiring tool in which the wiring laying direction is orthogonal between the adjacent wiring layers, the previous FIGS. 2, 3, 4, 4, 10, 11, 13, and 20 are used.
  • An integrated circuit having the wiring structure illustrated in FIG. 29, FIG. 31, and FIG. 33 may be designed. That is, for example, by using two wiring layers that separate one wiring layer, such as a third layer and a fifth layer, wiring that requires a low resistance, for example, when high-speed operation is required, as shown in FIG.
  • the wiring may be configured as exemplified in a). At this time, between the wiring Lgl and the wiring Lg2, a wiring in a direction orthogonal to the laying direction of the wiring Lgl and the wiring Lg2 may be formed so as to intersect with the wiring Lg1 and the wiring Lg
  • the present invention is not limited to the standard cell method, and may be applied to the wiring design of an integrated circuit using a multilayer wiring, in addition to applying the design method of the present invention to a gate array.
  • the arithmetic means for converting into wiring formed by using is not limited to those exemplified in the above embodiments.
  • a dedicated hardware unit may be used instead of using software and a program.
  • the arithmetic means for setting a wiring layer used for coupling between adjacent sections having different development modes on the actual wiring layer is not limited to the above section setting section 42.
  • a dedicated hardware unit may be used instead of using software and a program.
  • the design method of expanding the temporary physical wiring layer into the actual wiring layer is used for the area designed using the automatic placement and routing tool. ,to this Not exclusively.
  • the wiring of a predetermined wiring layer is drawn in the area where each wiring is roughly projected onto the actual wiring layer consisting of multiple wiring layers.
  • the target of the design using such an automatic wiring tool is, for example, an analog macro including analog elements, such as a DZA converter and an AZD converter.
  • the position of the plug may be changed as appropriate.
  • the wiring structure in the temporary physical wiring layer shown in FIG. 35 (a) is developed into a wiring structure including a plurality of physical wiring layers as shown in FIG. 35 (b).
  • FIG. 35 (c) is a cross-sectional view of the wiring having the structure developed on the actual wiring layer as viewed from the X direction.
  • the adjacent plugs (vias) P21 and P22 indicated by the arrows are formed so as to be displaced in the X direction so that they are not overlapped.
  • the position may be shifted so that the plug does not overlap in the Y direction.
  • a plug can be formed at an arbitrary position on the actual via layer between the actual wiring layers. Therefore, as shown in FIG. 13A, by forming the plugs so as to overlap in the X direction or the Y direction, it is possible to increase the capacity S between the plugs. Conversely, as shown in Fig. 35 (b), by forming the plugs so that they do not overlap, it is possible to reduce the capacitance between the plugs by increasing the distance between the plugs, and to reduce the crosstalk noise. . By appropriately changing the position of the plug (via) as described above, the capacitance between wirings can be increased or decreased without changing the wiring path, and a circuit having desired electrical characteristics can be obtained. Can be.
  • the wiring separation layer (horizontal and vertical insulating layers) in the expanded wiring region
  • adjust the height or width of the wiring separation layer or develop a configuration using a high-permittivity material or a low-permittivity material. May be.
  • the wiring L31 defined in the provisional physical wiring layer shown in FIGS. 38 (a) and (b) is developed in the actual wiring layer to form the coil 61 shown in FIGS. 38 (c) and (d).
  • the coil 61 is a planar spiral coil formed along the X-Z plane.
  • the frame-shaped wiring L41 defined in the temporary physical wiring layer shown in Fig. 39 (a)-(c) is developed in the actual wiring layer, and the coil 62 shown in Figs. Form.
  • the coil 62 is a spiral coil extending along the Z direction.
  • Fig. 40 (a) shows the wiring and plug developed from the wiring L41a (between terminals B1 and B2) in Fig. 39 (c)
  • Fig. 40 (b) shows the wiring L41b (between terminals A1 and A2 in Fig. 39 (c)).
  • the wires and plugs with () are shown.
  • FIG. 40 (c) shows the wiring and plug obtained by expanding the wiring L41c (between terminals A2 and B2) of FIG. 39 (c)
  • FIG. 40 (d) shows the wiring L41d (terminals A1-B1) of FIG. 39 (c).
  • the wiring and the plug are shown in the expanded state.
  • the wiring L51 defined in the temporary physical wiring layer shown in FIGS. 41 (a) and 41 (b) is developed in the actual wiring layer to form the coil 63 shown in FIGS. 41 (c) and 41 (d).
  • the coil 63 is a zigzag (meander) coil formed along the XZ plane.
  • FIG. 43 (a) shows a wiring and a plug obtained by expanding the wiring L62 of FIG. 42 (a)
  • FIG. 43 (b) shows a wiring and a plug obtained by developing the wiring L61 of FIG. 42 (b).
  • FIG. 43 (c) shows the wirings of the actual wiring layer K (l), the actual wiring layer K (3) and the actual wiring layer ⁇ (6), respectively.
  • the wiring in order to comply with the antenna rule, for example, as shown in FIG. 44, the wiring is changed to a bridge structure in the actual wiring layer to shorten the wiring length.
  • the wiring L71 defined in the temporary physical wiring layer shown in FIGS. 44 (a) and (b) is extended to the actual wiring layer to form the wiring L71 shown in FIG. 44 (c).
  • This wiring L71 is connected to the gate terminal of the transistor at the terminal A2.
  • the length of the wiring L71a formed on the actual wiring layer K (l) connected to the terminal A2 violates the antenna rule.
  • the length of the wiring connected to the gate terminal of the transistor is shortened, and the wiring stored in the wiring during manufacturing is shortened. Reduce the amount of electric charge. In this way, by deploying the wiring that violates the antenna rule into a bridge structure, the violation of the rule can be easily eliminated.
  • the development as the wiring of a plurality of actual wiring layers means that the thickness of the actual wiring layer is, in principle, a method of realizing the case of manufacturing in which the thickness is fixedly determined. Shows.
  • the thickness of the actual wiring layer is set arbitrarily (multi-step) in manufacturing, a structure that is developed as a wiring of a plurality of actual wiring layers is used as an actual wiring having a plurality of wiring thicknesses. This is realized by treating it as a layer structure.
  • FIG. 35 In addition to the integrated circuit designed by the method of expanding the temporary physical wiring layer to the real wiring layer, other than the integrated circuit designed in FIG. 2, FIG. 3, FIG. 4, FIG. 10, FIG. 11, FIG. , FIG. 29, FIG. 31, FIG. 33, FIG. 35—FIG.
  • FIG. 45 shows a configuration of a semiconductor integrated circuit having a multilayer wiring structure according to the present embodiment.
  • the semiconductor integrated circuit 1 shown in FIG. 45A includes a logic circuit unit 2 and an analog circuit unit 3.
  • the logic circuit unit 2 is designed using an automatic placement and routing tool. Part.
  • Fig. 45 (b)-Fig. 45 (e) show the laying rules for each wiring from the (n + 3) th wiring layer to the nth wiring layer of the same logic circuit unit 2.
  • wirings are provided substantially in parallel with each other.
  • the laying interval of each of these wirings is an integral multiple of the unit interval Pd. That is, the wiring intervals of P-connected wiring are Pd, 2Pd, 3Pd, and so on.
  • the laying direction of the wiring laid on the (n + 3) th layer is the Y direction.
  • the wiring is provided substantially parallel to the (n + 2) -th wiring layer.
  • the laying interval of each of these wirings is an integral multiple of the unit interval Pc.
  • the laying direction of the wiring laid on the (n + 2) th layer is the X direction.
  • the (n + 1) th wiring layer is provided with wirings substantially parallel to each other.
  • the laying interval of each of these wirings is an integral multiple of the unit spacing Pc equal to the unit spacing in the (n + 2) th wiring layer.
  • the wiring direction of the wiring laid on the (n + 1) th layer is the X direction.
  • wirings are provided substantially in parallel with each other.
  • the laying interval of each of these wirings is an integral multiple of the unit interval Pa.
  • the wiring direction of the wiring laid on the nth layer is in the Y direction.
  • the inverse scaling rule is applied, in which an upper wiring layer has a larger wiring laying interval.
  • the wiring width and the wiring interval can be increased in the upper wiring layer, so that the wiring resistance can be reduced and the capacitance between adjacent wirings can be reduced.
  • the upper wiring layer has a configuration in which a wiring having a longer wiring length can be easily laid.
  • such an inverse scaling rule is applied intentionally for the purpose of making it easier to lay wiring having a longer wiring length in an upper wiring layer, and is also applied as a request from a semiconductor integrated circuit manufacturing process. Sometimes.
  • the wiring direction is the same in the (n + 2) th wiring layer and the (n + 1) th wiring layer that are adjacent wiring layers. .
  • the wiring laying directions in adjacent wiring layers have different directions, and especially in the middle wiring layer, the wiring laying in P contact always has a different laying direction.
  • the rules for arranging the wiring directions of the respective wiring layers are changed so that the wiring laying directions are the same between the wiring layers adjacent to each other, the laying of these wirings is considered.
  • the unit intervals can be easily made equal.
  • the inverse scaling rule is intentionally applied for the purpose of making it easier to lay wiring with a longer wiring length in the upper wiring layer, the above-mentioned unit intervals should be equal without substantially impairing the purpose. Can be. Further, even when the inverse scaling rule is applied due to restrictions from the manufacturing process, the difference in the unit spacing is smaller between the wiring layers adjacent to each other than in the wiring layers separated from each other. I'm sorry.
  • FIG. 46 (a) shows the wirings Lel, Le3, Le5 laid in the (n + 1) th wiring layer and the (n)
  • FIG. 46B shows a YZ sectional view of the wiring Lei-Le5.
  • the wirings Lel, Le3, and Le5 of the (n + 1) th wiring layer are laid such that the laying interval Pe is twice the unit spacing Pc, and the (n) + 2)
  • wiring Le2 and Le4 of the wiring layer are laid so that the laying interval between them is twice the unit interval Pc.
  • the center lines of the wirings of the respective layers are alternately laid so as to be offset by the unit interval Pc so that the wirings of the respective layers do not overlap on the XY plane.
  • the distance between adjacent wirings in the same wiring layer can be made larger than the unit spacing, so that the capacitance between adjacent wirings can be suitably reduced.
  • the wiring Le3 and the wiring of the (n + 3) th layer in which the wiring laying direction is different from each other via connection the wiring of the (n + 2) th layer located in the middle may be an obstacle. Direct contact Since the connection is possible, the via connection can be easily performed.
  • the distance Pe between the center lines of the wirings in the same wiring layer is set to twice the unit interval Pc.
  • the present invention is not limited to this. If it ’s twice, in the wiring of the (n + 1) th wiring layer and the wiring of the (n + 2) th wiring layer, it is assumed that the center lines of the respective wirings are laid with a unit interval Pc offset. , Not limited to this. The point is that the wiring of each layer does not overlap on the XY plane.
  • FIG. 47 (a) shows the wiring Lai and the wiring La2 laid on the (n + 1) th wiring layer and the (n + 2) th wiring layer
  • FIG. 47 (b) shows an XZ cross-sectional view of the wiring Lai
  • FIG. 47 (c) shows an XZ cross-sectional view of the wiring La2.
  • the wirings Lai and La2 are provided adjacent to each other, and the wiring La2 is connected to the (n + 2) th through the plug pg in the via hole. It is provided so that it can be switched to the wiring layer of the layer.
  • FIG. 48 (a) and FIG. 48 (c) show how to lay the shield wiring for shielding the signal transmission wiring Lcl for which protection against the influence of noise or the like is desired in the present embodiment.
  • wirings Lc2 and Lc3 having fixed potentials are provided on both sides of the wiring Lcl for signal propagation.
  • the (n + 2) th wiring layer has a potential formed so as to include the projection area of the signal transmission wiring Lcl to the (n + 2) th wiring layer.
  • the fixed wiring Lc4 is formed.
  • these (n + 1) th layer wiring Lc2, wiring Lc3 and (n + 2) th layer wiring Lc4 are electrically connected to each other by a plug pg provided in the via hole. Accordingly, the wirings Lc2 and Lc3 of the (n + 1) th wiring layer and the wiring Lc4 of the (n + 2) th wiring layer shield the signal transmission wiring Lcl from surrounding electromagnetic waves. To form a shielded wiring. [0310] As a result, it is possible to appropriately take measures against crosstalk and electromagnetic interference (EMI) with respect to the signal transmission wiring Lcl. By the way, it is desirable that the shielded wiring to be shielded by the shield wiring is a bus wiring or a clock wiring.
  • EMI electromagnetic interference
  • Figs. 46 (c), 47 (d) and 48 (d) show the same laying direction between adjacent wiring layers according to the setting of the laying direction of wiring in a normal automatic wiring tool. When they are orthogonal, they are shown and shown.
  • wirings Lel, Le3, and Le5 are laid on the (n + 1) th wiring layer, and wirings Le2 and Le4 are laid on the (n + 3) th wiring layer. Is laid.
  • the wirings Lbl and Lb2 are provided adjacent to each other in the (n + 1) th wiring layer, and the wiring Lb2 is connected through the plug in the via hole. It is provided so as to be switched to the (n + 3) th wiring layer.
  • the wiring Lcl for signal propagation is formed by the wirings Lc2 and Lc3 of the (n + 1) th wiring layer and the wiring Lc4 of the (n + 3) th wiring layer.
  • the shield wiring for is configured.
  • the unit spacing between the wirings of the (n + 1) th wiring layer is the (n + 3)
  • the figure shows a case in which the unit spacing Pd of the wiring layer of the layer is combined. In other words, usually, the bow of the wiring tends to be shorter than that of the wiring in the (n + 3) th wiring layer. 3)
  • the unit spacing for the wiring of the (n + 1) th wiring layer smaller than the wiring of the wiring layer of the (n + 1) th layer is set to the unit spacing side of the wiring layer of the (n + 1) th layer. For this reason, the reduction in wiring resources is larger than in the case of FIGS. 46 (a) and (b) and FIG. 47 (a) —FIG. 47 (c) and FIG. 48 (a) —FIG. Has become.
  • the unit spacing of the wiring of the (n + 3) th wiring layer is changed to the unit spacing of the wiring of the (n + 1) th wiring layer. It may be possible to combine with However, in this case, the wiring of the (n + 3) th layer violates the inverse scaling rule set in consideration of the tendency of the wiring length to become longer, and the wiring resistance, the capacitance between the wirings, etc. In addition, a problem easily occurs in electrical characteristics.
  • the wiring directions of the (n + 1) th wiring layer and the (n + 2) th wiring layer are made the same, whereby The problem that occurs when the unit intervals described above are the same for the wiring of the wiring layer can be suitably suppressed.
  • FIG. 49 is a block diagram showing a configuration of a semiconductor integrated circuit design support apparatus according to the present embodiment.
  • This support device is configured as a device that supports the design of the standard cell system or the gate array system.
  • the library 1010 stores the performance information of the functional cells, such as the cell information of various functional cells constituting the semiconductor integrated circuit, the delay information of the functional cells, and the constraint information on the setup and hold time. It is the part that is done.
  • the various functional cells are logical operation elements (logical product, logical sum, exclusive logical sum, exclusive logical product, negation, etc.) ⁇ flip-flops, memories such as RAM, analog devices such as A / D, or the like. It is a circuit formed using them.
  • the library 1010 is a part in which information on the layout of the functional cells, such as the area information of each functional cell, is stored.
  • the design specification storage section 1012 is a section for storing information on functions and structures of the semiconductor integrated circuit described in, for example, a hardware description language (HDL). More specifically, the design specification storage unit 1012 stores circuit information expressed by RTL (resistor transfer level), gate level, and the like, timing such as operating frequency, power conditions, and the like.
  • RTL resistor transfer level
  • gate level gate level
  • timing such as operating frequency, power conditions, and the like.
  • the circuit information at the gate level is obtained from the cells defined in the library 1010, the type and number of cells used, and the logical connection information thereof. Netlist.
  • the process parameter 1014 includes element characteristics according to designated design rules (rules regarding minimum processing accuracy in the manufacturing process, rules specifying element size and minimum wiring interval, etc.), wiring characteristics for each material, and the like. This is the part where the information about is stored.
  • the library 1010, the design specification storage unit 1012, and the process parameter 1014 are provided with a storage device such as a hard disk device.
  • the automatic placement unit 1020 and the automatic routing unit 1022 as automatic placement and routing tools are parts for performing layout design. That is, the automatic arrangement unit 1020 is a unit for automatically arranging the function cells, and the automatic wiring unit 1022 is a unit for connecting the arranged function cells. The automatic arrangement of the functional cells and the connection between the arranged functional cells are performed using the layout data of the library 1010 corresponding to the functional cells.
  • the netlist of the circuit generated by the automatic wiring unit 1022 is supplied to the timing analysis unit 1030.
  • This netlist has a hierarchical structure, and is composed of a netlist in a functional block composed of functional cells and a netlist between functional blocks.
  • the timing analysis unit 1030 is a unit that performs timing analysis based on the netlist and the process parameters 1014.
  • the automatic placement unit 1020, the automatic wiring unit 1022, and the timing analysis unit 1030 each include a powerful storage device such as a semiconductor memory or a hard disk device that stores a program related to the processing to be performed, and a computer. It is configured.
  • the input unit 1040 is a unit that includes input devices such as a touch pen, a keyboard, and a mouse, and that inputs various information and commands for layout design.
  • the image display unit 1042 is a part that visually displays the input information, the layout diagram, and the like.
  • the control unit 1050 controls the operations of the image display unit 1042, the automatic placement unit 1020, the automatic wiring unit 1022, the timing analysis unit 1030, and the like.
  • FIG. 50 shows a procedure for designing a semiconductor integrated circuit according to the present embodiment.
  • step S1100 the layout information relating to the functional cells stored in the library 1010 and the gate-level circuit information stored in the design specification storage unit 1012 are automatically placed by the automatic placement unit 1020. Is input to Then, the automatic placement unit 1020 performs automatic placement of functional cells based on the gate-level circuit information.
  • step S1110 the laying area of the shielded wiring, which is the wiring shielded by the shield wiring, is specified through the input unit 1040. Further, in adjacent wiring layers in which the wiring laying direction is the same, the wiring of each layer is laid at intervals larger than the unit interval, and when viewed from the substrate surface of the semiconductor integrated circuit, the wiring of each layer is The area laid so as not to overlap is also specified through the input unit 1040.
  • step S1120 and step S1130 in the automatic wiring unit 1022, the connection information of the functional cell stored in the design specification storage unit 1012 and the laying of the shielded wiring specified in step S1110 are performed. Using the information on the area where wiring is to be laid so that the wiring of each layer does not overlap when viewed from the substrate surface in the area or the adjacent wiring layer, the connection between the functional cells where the above arrangement is completed is performed .
  • step S1120 wiring with fixed potentials such as power supply wiring and the connection between these laid wirings are performed.
  • the shield wiring laying area is set, for example, through the input unit 1040 so as to correspond to the shielded wiring laying area.
  • the laying area of the shielded wiring designated in step S1110 is an area where the laying of the wiring with the fixed potential is prohibited.
  • step S1130 wiring is laid to help signal propagation between the functional cells.
  • the timing analysis unit 1030 performs timing analysis based on the layout data in which the connection between the functional cells has been completed and the information stored in the process parameter 1014. It is desirable that this timing analysis includes a crosstalk noise analysis based on the extraction of the capacitance between P-connected wires.
  • step S1150 the timing analysis unit 1030 checks this timing analysis. It is determined whether or not the result is within an allowable range. If not within the allowable range, the process returns to step S1130, and the connection of each functional cell is performed again.
  • the (n + 1) th wiring layer and the (n + 2) th wiring layer are adjacent to each other in one of the wiring layers. By replacing one of the provided pair of wirings with the other wiring layer, timing violation due to crosstalk noise is avoided.
  • step S1130 as a process immediately after the processing of steps S1110 and S1120, any one of the (n + 1) th wiring layer and the (n + 2) th wiring layer
  • the wiring may be laid such that the wiring of a pair of wirings provided adjacent to each other is shifted to the other wiring layer. This can be realized, for example, by providing the automatic wiring unit 1022 with a function of performing transfer when the wiring length of an adjacent wiring exceeds a predetermined length.
  • the wiring of each layer is laid at an interval larger than the unit interval, and the wiring of each layer does not overlap when viewed from above the substrate surface of the semiconductor integrated circuit.
  • the designation of the area to be laid may be performed through the input unit 1040 based on the result of the timing analysis in step S1150, and the process may return to step S1130 and connect the functional cells again.
  • step 1140 when it is determined in step 1140 that the timing violation cannot be eliminated in the layout, the process returns to step S1100 to relocate the functional cells.
  • step S1150 If it is determined in step S1150 that the result of the timing analysis is within the allowable range, the series of processes is temporarily terminated.
  • the distance between the wirings of the (n + 1) th and (n + 2) th wiring layers is set to be larger than the unit spacing, and when viewed from above the substrate surface, the (n + 1) th
  • the capacitance between the wirings in the same wiring layer can be suppressed, and crosstalk noise can be reduced. The accompanying timing violation can be avoided.
  • Shield wiring was configured using adjacent wiring layers having the same wiring laying direction. This makes it possible to avoid electrical connection between the shield wirings and interference with the another wiring layer as in the case where another wiring layer is provided between the wiring layers in which the wirings constituting the shield wiring are provided. As a result, it is possible to configure a shielded wiring that does not significantly damage wiring resources, and it is possible to easily perform measures against crosstalk and electromagnetic interference (EMI).
  • EMI electromagnetic interference
  • the first wiring which is a wiring constituting the shield wiring and runs in parallel with the shielded wiring, is not necessarily provided on both sides of the signal propagation wiring as exemplified in FIG.
  • the configuration is not limited.
  • the positional relationship between the signal transmission wiring and the second wiring, which is a wiring constituting the shield wiring and is provided in a wiring layer different from the wiring layer in which the signal transmission wiring is provided, is shown in FIG. Re, limited to those exemplified in the above. That is, for example, the second wiring may be provided in the lower layer where the signal transmission wiring is provided, or the second wiring may be provided in both the upper layer and the lower layer.
  • the (n + 2) -th wiring layer and the (n + 1) -th wiring layer are not necessarily related to the wiring pitch.
  • the unit intervals are not limited to those having the same unit interval.
  • the wiring laying directions of the adjacent wiring layers are the same. This makes it possible to avoid interference as in the case where an intermediate wiring layer is provided between them.
  • the difference in the wiring pitch between wiring layers having the same wiring laying direction can be reduced by making the wiring laying directions of the wiring layers in contact with each other the same.
  • the area designed by the automatic wiring tool is not limited to the logic circuit section.
  • the wiring direction of two adjacent wiring layers is not limited to be set to the same direction in advance.
  • the wiring laying direction may be the same between adjacent wiring layers only at a portion where the wiring is laid.
  • the present invention is not limited to this.
  • the present invention may be applied to an integrated circuit provided, for example, an integrated circuit in which elements on a silicon, glass, or printed circuit board are circuit-connected.
  • the present invention can also be grasped as follows.
  • An invention relates to an integrated circuit having a multilayer wiring structure, comprising: a. A wiring connecting between specific terminals of any two elements included in the integrated circuit; and b. An arbitrary one of the integrated circuits. Wiring that fixes the potential of a specific terminal of the element; c. Wiring that has a fixed potential and is substantially open on one side; and d. Is connected to the terminal of the specific element and the other end is substantially At least one of the interconnects that are to be opened at the same time has substantially the same path direction as each other, and the projection of the path onto the substrate surface of the integrated circuit overlaps with the via hole in a manner overlapping each other.
  • the gist of the present invention is that it is composed of wirings of a plurality of wiring layers connected to each other.
  • the wiring force of the ad which is usually configured by one wiring, has substantially the same path direction, and the projection of the path onto the substrate surface of the integrated circuit overlaps with each other. It is composed of a plurality of wiring layers connected to each other by via holes. For this reason, compared to the case of forming on a single wiring layer, In comparison, the degree of freedom of the circuit variables that can be taken by the wiring can be improved. For this reason, it becomes possible to easily deal with various problems associated with miniaturization.
  • the “path” is a long side in the longitudinal direction of the wiring separated by the via hole, and does not include the via hole.
  • the overlapping of the projections of the routes on the wiring layers includes the case where the projections of the routes on the wiring layers and the wirings are in contact with each other.
  • One invention provides a wiring force for connecting between specific two terminals of an element included in the integrated circuit, the wiring force being provided in a plurality of wiring layers in parallel with each other and being electrically connected in parallel with each other.
  • the gist is to have a part that has
  • the wirings electrically connected in parallel with each other be formed so that the projection of the integrated circuit onto the substrate surface overlaps each other. This makes it possible to adjust the effective wiring width and wiring length, that is, the wiring resistance without increasing the wiring width and wiring length of a vertical projection of the wiring on the substrate surface of the integrated circuit. This reduces the inductance that does not increase the effective wiring length, that is, the wiring length in the vertical projection of the wiring with respect to the substrate surface of the integrated circuit.
  • One aspect of the invention is an integrated circuit having a multilayer wiring structure, in which a region provided in parallel with a plurality of wiring layers and where the projection of the integrated circuit onto a substrate surface overlaps each other.
  • the gist is that the plurality of wirings are connected in series in such a manner that the signal propagation directions are inverted with respect to each other.
  • the effective wiring length that is, the wiring length in the vertical projection of the wiring is enlarged because the signal propagates through the wiring connected in series in a manner in which the signal propagation directions are inverted with respect to each other. It is possible to increase the resistance of the wiring to be performed. For this reason, the present invention can be applied to the adjustment in the direction of increasing the resistance value in the adjustment of a signal delay amount, the generation of a reference potential, and the like in the integrated circuit.
  • the inductance is increased without increasing the effective wiring length, that is, the wiring length in the vertical projection of the wiring with respect to the substrate surface of the integrated circuit.
  • the plurality of wiring layers may include wiring layers adjacent to each other.
  • One invention is directed to a method in which the laying direction and the laying interval of wirings provided in parallel to each other are set to be substantially the same between adjacent wiring layers.
  • the gist is that projections are provided so as to form a pair of wiring layers having wirings that are closest to each other and different wiring layers.
  • the pair of wirings are provided in different wiring layers of adjacent wiring layers. Therefore, the capacitance between the pair of wirings can be suitably reduced without increasing the horizontal interval between the pair of wirings.
  • the one invention is that the projection of the integrated circuit onto the substrate surface is provided such that adjacent wirings are alternately switched between at least two wiring layers via via holes. Make a summary.
  • the wirings provided so that the projection of the integrated circuit onto the substrate surface are adjacent to each other are placed in the same wiring layer, and the portion adjacent to the horizontal direction is reduced as much as possible. As a result, the capacitance between these horizontally adjacent wirings can be suitably reduced.
  • the at least two wiring layers may include wiring layers adjacent to each other.
  • One invention provides an integrated circuit having a multilayer wiring structure, wherein at least one of a pair of wirings provided adjacent to and parallel to a predetermined wiring layer includes the predetermined wiring layer. And a corresponding wiring of a pair of wirings provided adjacent to each other and in parallel with the pair of wirings in the same direction as the pair of wirings.
  • the gist is that at least one of the pair of wirings provided in the above is formed as a dummy wiring whose one side is substantially open.
  • At least one of the pair of wirings in the predetermined wiring layer is placed in another wiring layer as described above, and a wiring adjacent to the dummy wiring to be connected thereto (a pair of another wiring layer). (The other of the wirings). Therefore, the capacitance between the wiring connected to the dummy wiring and the wiring connected to the P-contact can be increased. For this reason, it is possible to adjust the delay amount of the signal propagating through the wiring and to perform impedance matching.
  • both of the pair of wirings of the another wiring layer become dummy wirings. Since the capacitance between the dummy wirings is added to the capacitance between the pair of wirings in the wiring layer, the capacitance between the pair of wirings in the predetermined wiring layer can be increased.
  • the pair of wirings in the another wiring layer may be substantially equal to a pair of wirings in the predetermined wiring layer projected on the same wiring layer.
  • the mask pattern of the predetermined wiring layer and another wiring layer can be the same for at least the pair of wirings.
  • the predetermined wiring layer and the another wiring layer may be wiring layers adjacent to each other. This avoids electrical interference between the wiring routed in the wiring layer between them and the wiring of the wiring layers of the above configuration, which is likely to occur when a plurality of wiring layers are not adjacent to each other. Or can be suppressed.
  • One invention is an integrated circuit having a multi-layered wiring structure, wherein a wiring connecting between specific terminals of any two elements provided in the integrated circuit, and an arbitrary one of the integrated circuits is provided.
  • a pair of wirings each composed of at least one of wirings for fixing the potential of a specific terminal of one element is formed by parallel connection of wirings of a plurality of wiring layers, respectively, and the plurality of wiring layers are formed of the pair of wirings.
  • the gist is that the pair of wirings common to each other and in each of these wiring layers are formed adjacent to each other.
  • the pair of wirings is configured by connecting the wirings of a plurality of wiring layers in parallel, so that the wiring resistance is reduced as compared with the case where the pair of wirings are formed as a single line. Power S can. Further, since the pair of wirings are formed adjacent to each other in each wiring layer, the capacitance between the pair of wirings is also reduced to at least one of the pair of wirings by a wiring of a single wiring layer. It can be increased as compared with the case of forming as. It is desirable that the wirings of the plurality of wiring layers include wiring layers adjacent to each other. This avoids electrical interference between the wiring routed in the wiring layer between the wiring layers, which tends to occur when the wiring layers are not adjacent to each other, and the wiring of the wiring layers having the above configuration, or Can be suppressed.
  • One invention is an integrated circuit having a multi-layered wiring structure, wherein a wiring connecting between specific terminals of any two elements provided in the integrated circuit, and an arbitrary one of the integrated circuit is provided.
  • a pair of wirings each of which consists of at least one of the wirings for fixing the potential of a specific terminal of one element, are laid in parallel with each other, and the path from one end to the other end is over projected on the substrate surface of the integrated circuit.
  • the plurality of wiring layers are connected in series so as to overlap with each other, and the plurality of wiring layers are common to the pair of wiring layers, and the pair of wirings in each of the wiring layers is The gist is that they are formed adjacent to each other.
  • the pair of wires are connected in series so as to overlap each other, so that the resistance of the pair of wires is reduced by the effective wire length, that is, the wire in the vertical projection of the wire. It can be increased without increasing the length. Since the pair of wirings are formed adjacent to each other in each wiring layer, the capacitance between the pair of wirings is also set such that at least one of the pair of wirings is a wiring of a single wiring layer. It can be increased as compared with the case of forming by forming.
  • the wirings of the plurality of wiring layers include wiring layers adjacent to each other. This avoids electrical interference between the wiring routed in the wiring layer between the wiring layers, which tends to occur when the wiring layers are not adjacent to each other, and the wiring of the wiring layers having the above configuration, or Can be suppressed.
  • One aspect of the present invention relates to an integrated circuit having a multilayer wiring structure, in which a plurality of potential fixed wirings, which are a plurality of wirings fixed at mutually different potentials, and a signal propagation wiring are provided. At a portion where the projections of the integrated circuit onto the substrate surface are formed adjacent to and parallel to each other, at least one of the wirings changes wiring layers so that the signal transmission wirings have the plurality of potentials. The length adjacent to the fixed wiring and any wiring layer is different for each potential fixed wiring.
  • the gist is to be made different.
  • the length of the adjacent signal transmission wiring and potential fixing wiring corresponds to the magnitude of the capacitance between these wirings. Then, the propagation speed of the signal on the signal transmission wiring changes depending on the magnitude of the capacitance between the signal transmission wiring and the adjacent potential fixing wiring.
  • the waveform of the signal propagating through the signal transmission wiring changes depending on the ratio of the length of the signal transmission wiring adjacent to the potential fixing wiring fixed at a different potential.
  • the signal propagation speed and signal waveform on the signal propagation wiring can be adjusted by adjusting the length of the signal propagation wiring adjacent to each potential fixing wiring.
  • One aspect of the present invention is an integrated circuit having a multilayer wiring structure, wherein the wiring directions of wirings provided substantially in parallel with each other are set to be substantially the same between wiring layers, and And a region formed by a plurality of wiring layers in which the distance between the center lines with respect to the line width of the wiring provided in the wiring layers is set to an integral multiple of a unit interval that is substantially the same between the wiring layers.
  • a plurality of wirings which are provided in parallel with each other on a plurality of wiring layers and have an area where projections on the wiring layers overlap with each other.
  • C. a pair of wirings connected in series in such a manner that the signal propagation directions are inverted with respect to each other; and C. a pair of wirings provided adjacent to each other in parallel on a predetermined wiring layer and the predetermined wirings.
  • One side is substantially open by being provided adjacent to and in parallel with another wiring layer and at least one of the layers is connected to a corresponding wiring of the pair of wirings via a via hole.
  • D. A pair of wirings formed as dummy wirings, and d.
  • Yo F. A plurality of potential fixed wirings, which are a plurality of wirings fixed at mutually different potentials, and signal transmission wirings, which are projected onto the substrate surface of the integrated circuit. Are formed adjacent to each other and parallel to each other. At least one of the wirings changes wiring layers, so that the signal transmission wiring is fixed to the plurality of potentials.
  • the gist of the present invention is that at least one of the wirings in which the length adjacent to the wiring in an arbitrary wiring layer is different for each potential fixing wiring is provided.
  • the laying directions of the wirings provided substantially in parallel with each other are set to be substantially the same between the wiring layers, and the interval between the center lines with respect to the line width of the wirings provided in parallel with each other is set.
  • the wiring layer has a region composed of a plurality of wiring layers set to an integral multiple of substantially the same unit interval.
  • the wiring described in a above when a single signal is transmitted to one of the two places in the wiring layer and the other to the other, the signal is transmitted to the substrate surface of the integrated circuit.
  • a plurality of wirings provided in parallel on a plurality of wiring layers so that the projections of the propagation paths overlap each other are used.
  • the wiring resistance can be suitably adjusted according to the laying mode of the plurality of wirings and the relationship between the signal propagation directions in the respective wiring layers corresponding to the overlap region.
  • the capacitance between the dummy wirings is added to the capacitance of the adjacent wiring in one wiring layer, so that the capacitance between the adjacent wirings may be increased. it can.
  • the pair of dummy wirings be provided in a region where the pair of wirings is projected on the another wiring layer.
  • the wiring c when the wiring c is provided, at least one of a pair of wirings in a predetermined wiring layer is adjacent to a dummy wiring connected thereto in the another wiring layer. In this case, the capacitance between the wiring and the other wiring (the other of the pair of wirings in another wiring layer) is provided. For this reason, the capacitance between the wiring connected to the dummy wiring and the wiring connected to the P wiring can be increased.
  • the capacity between the same pair of wirings can be suitably reduced without increasing the horizontal interval between the pair of wirings.
  • horizontally adjacent wirings can minimize a horizontally adjacent part in the same wiring layer, and as a result, these horizontally adjacent wirings can be reduced. It is possible to preferably reduce the capacitance between the wirings to be performed. Further, when the wiring of the above f is provided, the signal transmission speed and the signal waveform on the signal transmission wiring are adjusted by the length of the signal transmission wiring adjacent to each potential fixing wiring. become able to.
  • the above-mentioned region is provided with at least two of these a-f wirings so as to satisfy a plurality of required elements with respect to increase and decrease of resistance, capacitance between wirings, and the like.
  • the above-mentioned area is an area that can be easily designed by an automatic wiring tool because the center line spacing with respect to the line width of the wiring provided in parallel with each other is an integral multiple of the unit spacing. You.
  • One invention is the invention according to the tenth aspect, wherein the region provided with at least one of the wirings a to f has a wiring laying direction between adjacent regions in a predetermined wiring layer.
  • the gist of the present invention consists of a plurality of different areas.
  • an appropriate wiring structure is applied to each region of the integrated circuit because a plurality of regions have wiring layers in which wiring laying directions are different between adjacent regions.
  • the projection of the integrated circuit onto the substrate surface is straight on the connection between the adjacent regions among the regions in which the wiring laying directions are different from each other, and the wiring layer May be used. This makes it possible to appropriately suppress the length of the wiring route for connecting the adjacent regions without taking a detour route or the like.
  • a wiring layer in an integrated circuit in which a layout is realized is a temporary physical wiring layer, and that circuit characteristics of each wiring of a predetermined temporary physical wiring layer among the temporary physical wiring layers are desired. Converting the respective wirings into wirings formed using at least one of the regions substantially projected onto an actual wiring layer composed of a plurality of wiring layers by means of a circuit property to be calculated and a slip calculating means. This is the gist.
  • each wiring of the temporary physical wiring layer is converted into a wiring formed using at least one of the regions substantially projected onto the actual wiring layer including a plurality of wiring layers. For this reason, it is possible to realize circuit characteristics (characteristics such as wiring characteristics and capacitance between wirings) that cannot be realized by wiring using a conventional wiring method that does not employ a wiring forming method by such conversion. Therefore, adjustment of circuit characteristics can be easily performed.
  • the “substantially projected region” is not limited to the region itself projected in the normal direction to the temporary physical wiring layer, but also includes a region in contact with the same region.
  • the “integrated circuit in which the layout is realized” is an integrated circuit having layout data and mask data in which each part is connected.
  • One invention is directed to an integrated circuit design method for determining a wiring route to be applied to each element of an integrated circuit, wherein the wiring layer for performing the connection is a temporary physical wiring layer, Based on the circuit characteristics based on the premise that each wiring of the physical wiring layer is converted into a wiring formed using at least one of the regions substantially projected onto an actual wiring layer including a plurality of wiring layers, The gist is to determine the wiring route on the temporary physical wiring layer by automatic wiring.
  • each wiring of a predetermined temporary physical wiring layer is replaced with an actual wiring composed of a plurality of wiring layers.
  • a wiring path on the temporary physical wiring layer is determined by automatic wiring on the premise of circuit characteristics based on conversion into wiring formed using at least one of the regions substantially projected onto the wiring layer. Therefore, when the wiring route is determined by the automatic wiring, it is possible to use circuit characteristics (characteristics such as wiring characteristics and capacitance between wirings) that cannot be realized by the wiring without assuming the above conversion. The degree of freedom of selection can be improved, and the calculation load for determining the wiring route by automatic wiring can be reduced.
  • the conversion to the presupposed wiring is performed based on the wiring path determined in this way, a wiring having desired circuit characteristics can be easily designed. Therefore, adjustment of circuit characteristics can be easily performed. Since the wirings converted in this way overlap with (or approach) the projections of the integrated circuit onto the substrate surface, it is possible to form wirings with a high density of the projections.
  • the “substantially projected region” is not limited to the region itself projected in the normal direction to the temporary physical wiring layer, but also includes a region in contact with the same region.
  • An invention is directed to an integrated circuit design method for automatically arranging each element of an integrated circuit, wherein a wiring layer to be connected to each part of the integrated circuit is a temporary physical wiring layer, and the connection is made. It is assumed that each wiring of the predetermined temporary physical wiring layer of the integrated circuit is converted into a wiring formed using at least one region of a region substantially projected onto a real wiring layer including a plurality of wiring layers. The gist is to perform the automatic placement based on the circuit characteristics thus determined.
  • the above design method is based on the premise that each wiring of a predetermined temporary physical wiring layer is converted into a wiring formed using at least one of the regions substantially projected onto an actual wiring layer including a plurality of wiring layers.
  • the automatic arrangement is performed based on the circuit characteristics thus determined. For this reason, when deciding placement by automatic placement, placement based on circuit characteristics (characteristics such as wiring characteristics and capacitance between wirings) that cannot be realized by wiring that does not assume the above conversion can be performed. The degree of freedom can be improved. In particular, the converted wiring allows higher density placement than before conversion.
  • the arrangement of each element of the integrated circuit can be made denser to be simple. Then, if the conversion to the wiring assumed as described above is performed based on the determined layout, wiring having circuit characteristics suitable for the determined layout can be easily designed.
  • the “substantially projected region” is not limited to the region itself projected in the normal direction to the temporary physical wiring layer, but also includes a region in contact with the same region.
  • the “connection” includes, for example, a Steiner wiring or the like that is performed as an estimate for placement.
  • An invention according to any one of the aforementioned items 1315 further comprising a step of dividing the integrated circuit into a plurality of regions, wherein the step of dividing the integrated circuit into a plurality of regions is performed.
  • the conversion to the wiring formed by using at least one of the substantially projected areas is performed by at least one of the substantially projected areas onto the actual wiring layer including the number of wiring layers set for each of the above sections.
  • the gist is that the conversion to the wiring formed by using is performed.
  • the number of actual wiring layers to be expanded is increased in a section including more temporary physical wiring layers in which no wiring is laid, so that the finalized integrated circuit is obtained. It is desirable to appropriately suppress an increase in the number of wiring layers.
  • the automatic wiring is performed under the condition that the cost for laying the wiring is defined for each of the above-mentioned sections so as to reduce the cost. You can do it. In this way, for example, for sections where high-speed performance is required, such as sections where adjustment of circuit variables is particularly desired by expanding to the actual wiring layer, a definition that increases the cost is defined. It is possible to reduce the number of temporary physical wiring layers used for laying wiring in the sections. Therefore, in this region, the number of actual wiring layers used for the conversion can be preferentially increased.
  • connection mode by the wiring of substantially the same temporary physical wiring layer over the P-contacted partition is converted.
  • the wiring route of the actual wiring layer straddling each of the sections to be maintained even when The gist of the present invention is to further include a step of setting by arithmetic means using layer switching.
  • the mode of conversion into wiring of a plurality of actual wiring layers is not always the same between adjacent sections. Therefore, even in the actual wiring layer which is the same layer between adjacent sections, the wiring laying direction is not necessarily the same. In such places, it may be difficult to connect the wiring between these two sections directly to each other.
  • the wiring mode of the actual wiring layer that straddles these sections so as to maintain the connection mode by the wiring of the same temporary physical wiring layer even when the conversion is performed is defined by the actual wiring layer.
  • the connection between the two sections can be suitably performed.
  • the “connection” includes, for example, a Steiner wiring or the like that is performed as an estimate for placement.
  • One aspect of the present invention is a semiconductor integrated circuit having a multi-layered wiring structure, wherein wirings are laid in parallel with each other in such a manner that a center line spacing with respect to a line width is an integral multiple of a unit spacing.
  • the gist is that the region has an adjacent wiring layer in which the laying direction of the wiring is the same.
  • the unit spacing between the adjacent wiring layers can be easily approximated. Therefore, electrical connection between these adjacent wiring layers can be easily performed.
  • the connection at the time of designing the integrated circuit is easily performed according to a regular pattern. You can also. Therefore, especially in the case where the connection of the above-mentioned area is performed by the automatic wiring tool, the programming of the tool can be simplified, and the processing at the time of connection by the tool can be simplified. It is desirable that a logic circuit of an integrated circuit be formed in this region. In contrast, memory, analog circuits, I / O (input / output)
  • One invention is the invention according to the above item 18, wherein in the multilayer wiring structure, the unit spacing is set to be larger in an upper wiring layer.
  • the so-called reverse scaling rule is applied, in which the unit spacing becomes larger in the upper wiring layer, so that the wiring in the upper wiring layer is more easily reduced in wiring resistance. For this reason, in the upper wiring layer, it is possible to lay a wiring having a long wiring length for connecting portions separated from each other.
  • the inverse scaling rule is applied because the wiring layers have adjacent wiring layers in the same wiring direction, but the unit spacing between the adjacent wiring layers is substantially omitted. It can be approximated.
  • the distance between adjacent wirings can be increased in the same wiring layer.
  • One invention is the invention according to any one of the aforementioned 18-21, wherein a pair of wirings provided adjacent to any one of the adjacent wiring layers is provided.
  • the gist of the present invention is that one of the wires is provided such that one of the wires is transferred to the other wiring layer.
  • one of the adjacent wiring layers has a first wiring having a fixed potential and a signal transmission wiring.
  • Wirings are provided adjacent to each other, and the other wiring layer has a fixed potential formed so as to include a projection area of the signal transmission wiring onto the other wiring layer.
  • the gist is that a second wiring is formed, and the first and second wirings are electrically connected to form a shield wiring of the signal transmission wiring.
  • the shield wiring is configured using the adjacent wiring layers. This avoids the interference between the electrical connection between the shield wirings and the wiring of the another wiring layer as in the case where another wiring layer is provided between the wiring layers in which the wiring forming the shield wiring is provided. be able to. This makes it possible to configure shielded wiring that does not significantly damage wiring resources, and can easily implement measures against crosstalk and electromagnetic interference (EMI).
  • EMI electromagnetic interference
  • One invention is a semiconductor integrated circuit having a multilayer wiring structure, wherein a wiring for signal propagation and a first wiring having a fixed potential are provided on one of the wiring layers that are in P contact.
  • the other wiring layer has a fixed potential formed so as to include a projection area of the signal transmission wiring onto the other wiring layer.
  • a second wiring is formed, and the first and second wirings are electrically connected to form a shield wiring of the signal transmission wiring.
  • the shield wiring is configured using the adjacent wiring layers. This avoids the interference between the electrical connection between the shield wirings and the wiring of the another wiring layer as in the case where another wiring layer is provided between the wiring layers in which the wiring forming the shield wiring is provided. be able to. This makes it possible to configure shielded wiring that does not significantly damage wiring resources, and can easily implement measures against crosstalk and electromagnetic interference (EMI).
  • EMI electromagnetic interference
  • One invention provides a method for designing a semiconductor integrated circuit, in which wiring is performed on a semiconductor integrated circuit on which layout has been completed by using an automatic wiring tool, wherein the wiring is laid in a wiring direction between adjacent wiring layers.
  • the gist is that the process is performed while setting the same wiring layer.
  • the distance between the center line and the line width of the wiring laid in parallel with each other is defined as a unit spacing.
  • the unit spacing between adjacent wiring layers can be approximated. Therefore, electrical connection between adjacent wiring layers can be easily performed.
  • the distance between adjacent wirings can be increased in the same wiring layer, so that the capacitance between P-connected wirings is reduced, and crosstalk noise can be suppressed.
  • wiring in another layer in the adjacent wiring layer is not required. Since direct connection is possible without any obstacles, the connection can be made easily and the time and load required to calculate the connection route with the automatic wiring tool can be reduced.
  • One invention uses an automatic wiring tool for a semiconductor integrated circuit whose arrangement has been completed.
  • a semiconductor integrated circuit for performing connection by wiring it is preferable that, in the connection, under a predetermined condition where adjustment of electrical characteristics of the wiring is desired, a region in which the wiring direction is the same between adjacent wiring layers is provided. This is the gist.
  • the unit spacing between adjacent wiring layers can be approximated. Therefore, in this region, electrical connection between the wiring layers that are in P-contact can be easily performed. Further, in this region, it is possible to avoid interference between the electrical connection between the adjacent wiring layers and the wiring of the intermediate wiring layer as in the case where an intermediate wiring layer is interposed between the wiring layers adjacent to each other. . Therefore, the adjustment of the electrical characteristics of the wiring for which the adjustment of the electrical characteristics is desired can be easily performed, and the more efficient design can be achieved.
  • One invention is the invention according to the above item 23, wherein the shielded wiring is a wiring that is desired to be a countermeasure against noise as a wiring that satisfies a predetermined condition for which adjustment of the electrical characteristics is desired.
  • a potential fixed wiring which is a wiring having a fixed potential
  • the gist is to lay the fixed potential wiring and the shielded wiring in the same wiring direction by using the automatic wiring tool.
  • a potential fixed wiring as a shield wiring having a fixed potential for shielding the shielded wiring is configured using the adjacent wiring layer.
  • At least one of the regions substantially projected onto the actual wiring layer comprising the plurality of wiring layers
  • a pair of wirings composed of wirings that are closest to each other in the temporary physical wiring layer The pair of wirings were approximately projected onto the actual wiring layer.
  • E. A pair of wirings in the region and converted to wirings provided so that the pair of wirings are different wiring layers from each other; e. A pair of wirings composed of wirings closest to each other in the temporary physical wiring layer Converting at least two wiring layers out of a region where the pair of wirings are substantially projected onto the actual wiring layer into wirings provided so as to be alternately switched via via holes;
  • a plurality of potential fixed wirings, which are a plurality of wirings fixed to different potentials in the temporary physical wiring layer, and a signal transmission wiring are connected to at least one of the plurality of potential fixed wirings and the signal transmission wiring.
  • a method for designing an integrated circuit characterized in that at least one of the two wirings is converted into wiring formed by changing wiring layers.
  • the present invention is applicable to an integrated circuit, an integrated circuit design method, and the like.

Abstract

In Fig. 13 (a), for a wire Le4, the wire in the proximity in the horizontal direction excluding the one immediately below is a wire Le 2 and a wire Le6 arranged at the wire layer lower by one layer. Moreover, for a wire Le3, the wire in the proximity in the horizontal direction excluding the one immediately below is a wire Le1 and a wire Le5 arranged at the wire layer lower by one layer. Accordingly, the wire Le4 and the wire Le3 are set so as to reduce the capacity between the wire Le2 and Le1 and between the wire Le6 and the wire Le5. Moreover, in Fig. 13 (b), a wire Lf1 and wire Lf2 as well as the wire Lf2 and a wire Lf3 are connected in series so as to reverse the propagation direction of the signal of each other.

Description

集積回路及びその設計方法  Integrated circuit and design method thereof
技術分野  Technical field
[0001] 本発明は基板上に形成される多層配線構造を有する集積回路に関するものである 背景技術  The present invention relates to an integrated circuit having a multilayer wiring structure formed on a substrate.
[0002] 近年、半導体集積回路の高集積化と設計期間の短縮との両立を図るベぐ汎用の 自動配置配線ツールを用いて半導体集積回路のレイアウト設計を行うことが主流とな つてきている。こうした自動配置配線ツールでは、半導体集積回路の製造プロセスか ら要請されるデザインルールを満たすように定義されたグリッドが設定され、このグリツ ド上に配線が敷設可能となっている。  [0002] In recent years, it has become mainstream to design a semiconductor integrated circuit layout using a general-purpose automatic placement and routing tool that aims to achieve both high integration of the semiconductor integrated circuit and shortening of the design period. . In such an automatic placement and routing tool, a grid defined so as to satisfy a design rule required by a semiconductor integrated circuit manufacturing process is set, and wiring can be laid on the grid.
[0003] このグリッドは、 X軸方向及び、これと垂直な Y軸方向に設定されており、各配線層 毎に交互に X軸方向又は Y軸方向が指定されており、各配線層において、上記グリツ ドは、互いに平行に走るストライプ状に設定されることとなる。そして、これらグリッド間 隔は、デザインルールを満たす配線ピッチに対応するように設定される。こうした設定 の下、上記自動配置配線ツールを用いたレイアウト設計においては、上記デザイン ルールおよび要求される回路特性をともに満たすように配置態様及び配線の引き回 しについてトライアンドエラーを繰り返し、最終的なマスクレイアウトを決定する。  [0003] This grid is set in the X-axis direction and the Y-axis direction perpendicular thereto, and the X-axis direction or the Y-axis direction is specified alternately for each wiring layer. The grids are set in a stripe shape running parallel to each other. These grid intervals are set so as to correspond to the wiring pitch satisfying the design rule. Under these settings, in the layout design using the automatic placement and routing tool described above, trial and error are repeatedly performed for the placement mode and the routing of the wiring so as to satisfy both the design rules and the required circuit characteristics. Determine the mask layout.
[0004] し力 ながら、こうしたグリッドを使用して行われるレイアウト設計においては、有効 に利用されない配線層が生じることがあり、効果的な配線の観点からは必ずしも望ま しい結果が得られるとは限らなかった。そこで、例えば特許文献 1に見られるように、 グリッドを、 X軸方向及び Y軸方向に加えて、これら X軸方向及び Y軸方向に交差す る斜め方向に設定する手法などが提案されていた。  [0004] However, in a layout design performed using such a grid, a wiring layer that is not effectively used may occur, and a desired result is not always obtained from the viewpoint of effective wiring. Did not. Therefore, for example, as disclosed in Patent Document 1, a method has been proposed in which a grid is set in an oblique direction intersecting the X-axis direction and the Y-axis direction in addition to the X-axis direction and the Y-axis direction. .
特許文献 1:特開平 7 - 86407号公報  Patent Document 1: Japanese Patent Application Laid-Open No. 7-86407
発明の開示  Disclosure of the invention
発明が解決しょうとする課題  Problems to be solved by the invention
[0005] ところで、近年の半導体集積回路の微細化に伴って、半導体集積回路内の配線幅 の縮小に伴う配線抵抗の増大や、隣接する配線間の間隔の縮小に伴う同配線間の 容量の増大等が、配線内での電圧降下の増大(IRドロップ)や、クロストークノイズの 増大、電磁障害 (EMI)、エレクト口マイグレーション等の問題などを引き起こし、回路 設計上無視できない大きな問題となりつつある。 [0005] By the way, with the recent miniaturization of semiconductor integrated circuits, the width of wiring in semiconductor integrated circuits has been increasing. Increasing the wiring resistance due to the reduction in the wiring, the increase in the capacitance between the wirings due to the reduction in the distance between the adjacent wirings, etc., increase the voltage drop (IR drop) in the wiring, increase the crosstalk noise, It causes problems such as electromagnetic interference (EMI) and electoral port migration, and is becoming a serious problem that cannot be ignored in circuit design.
[0006] 半導体回路設計においては、回路素子および配線を限られたスペース上にレイァ ゥトし、かつ所望の特性を満たした回路を実現する必要がある。上記の抵抗値や配 線間容量の増大は、信号の伝搬に大きな影響を及ぼすことになるが、もし、抵抗値や 容量値を低減するために、配線を単純に太くしたりすれば、限られたスペース上に所 望の回路を形成することが困難となる。 In semiconductor circuit design, it is necessary to lay out circuit elements and wiring in a limited space and to realize a circuit that satisfies desired characteristics. The above-mentioned increase in resistance and capacitance between wirings has a great effect on signal propagation.However, if the wiring is simply made thicker to reduce the resistance and capacitance, it is limited. It becomes difficult to form a desired circuit in the space provided.
半導体集積回路の各箇所の結線を効率的に行うために開発された上記自動配置 配線ツールによっては、こうした微細化に伴う諸問題に対処することが困難なものとな つてきている。すなわち、上記自動配線ツールによる結線のためのトライアンドエラー を行ったとしても、上記諸問題のために、タイミングなどの設計制約を満たす解を得る こと自体が非常に困難なものとなっている。これは、半導体集積回路の設計開発の 負荷を増大させ、開発期間の長期化という新たな問題を引き起こしている。  With the automatic placement and routing tools developed to efficiently connect each part of a semiconductor integrated circuit, it is becoming difficult to address the various problems associated with miniaturization. That is, even if a trial and error for connection by the automatic wiring tool is performed, it is extremely difficult to obtain a solution that satisfies design constraints such as timing due to the above problems. This has increased the load on the design and development of semiconductor integrated circuits, and has caused a new problem of prolonging the development period.
[0007] 本発明はこうした実情に鑑みてなされたものであり、その目的は、集積回路が形成 されるスペースの増大を抑制しつつ、所望の回路特性を満たす、より効率的な設計 を行うことのできる集積回路及びその設計方法を提供することにある。 [0007] The present invention has been made in view of such circumstances, and an object of the present invention is to provide a more efficient design that satisfies desired circuit characteristics while suppressing an increase in the space in which an integrated circuit is formed. And a design method thereof.
課題を解決するための手段  Means for solving the problem
[0008] 本発明のある態様は、基板上に形成される多層配線構造を有する集積回路に関し 、多層配線層に敷設される配線の敷設形態にその特徴を有する。その特徴は以下 の通りである。 [0008] One embodiment of the present invention relates to an integrated circuit having a multilayer wiring structure formed on a substrate, and has a feature in a wiring configuration laid in a multilayer wiring layer. The features are as follows.
[0009] 集積回路の所望の 2点を結線するのに必要な第 1の配線が敷設される第 1の配線 層とは別の、第 2の配線層を設ける。第 2の配線層には、所望の 2点を結線する一の 配線とは別の補助的な第 2の配線が敷設される。この補助的な第 2の配線は、第 1の 配線とビアホールを介して直歹 IJ、並列等様々な態様にて接続される。このようにして 形成される配線を組み合わせることによって、集積回路の所望の 2点を結線する配線 の抵抗値、インダクタンス値や、隣接する配線間に生ずる寄生容量値、信号伝搬の 遅延時間など電気的特性を調節する役割を果たす。 [0009] A second wiring layer is provided separately from the first wiring layer on which the first wiring required to connect two desired points of the integrated circuit is laid. In the second wiring layer, an auxiliary second wiring different from one wiring connecting desired two points is laid. This auxiliary second wiring is connected to the first wiring via via holes in various modes such as straight IJ and parallel. By combining the wirings formed in this way, the resistance and inductance of the wiring connecting the desired two points of the integrated circuit, the parasitic capacitance generated between adjacent wirings, and the signal propagation It plays a role in adjusting electrical characteristics such as delay time.
[0010] 第 1の配線層と第 2の配線層を互いに隣接するように設定した場合、第 1の配線と 第 2の配線とをビアホールにて簡易に接続することができる。また、第 1の配線と、補 助的な第 2の配線を、基板への投影がオーバーラップする態様にて敷設した場合に は、両配線層のマスクを同一にすることができる、さらにビアホールを介しての配線の 接続を容易に行うことができる。  [0010] When the first wiring layer and the second wiring layer are set so as to be adjacent to each other, the first wiring and the second wiring can be easily connected to each other through the via hole. Further, when the first wiring and the auxiliary second wiring are laid in such a manner that the projection onto the substrate overlaps, the mask of both wiring layers can be made the same, and the via hole The wiring can be easily connected via the.
[0011] 本発明の別の態様は集積回路の設計方法に関する。この集積回路の設計方法の 概要は以下の通りである。  [0011] Another embodiment of the present invention relates to a method for designing an integrated circuit. The outline of the design method of this integrated circuit is as follows.
集積回路を構成する複数の回路素子が予めレイアウトされている。レイアウトされた 回路素子は、仮想的に設けられた配線層である仮物理配線層に特徴づけられた配 線設定に基づレ、た仮配線によって結線される。仮配線による結線により形成される回 路ブロックの電気的特性が、所望の特性を満たすかどうかを演算手段により判定する 仮物理配線層に敷設された配線は、実配線層の実配線として展開される。前記判 定により、 1つの仮物理配線層の配線を 1つの実配線層の実配線とする処理を行つ た場合に、所望の特性を満たさないと判定された回路ブロックの仮配線は、仮物理 配線層を付加的に複数の実配線層に転写して形成された実配線として敷設される。 この際に、上述の集積回路のさまざまな配線の敷設形態を利用し、その配線長や、 配線間容量を調節することにより、回路ブロックが所望の特性を満たすように敷設す る。  A plurality of circuit elements constituting the integrated circuit are laid out in advance. The laid out circuit elements are connected by temporary wiring based on the wiring settings characterized by the virtual physical wiring layer, which is a virtual wiring layer. The arithmetic means determines whether or not the electrical characteristics of the circuit block formed by the temporary wiring satisfy the desired characteristics. The wiring laid on the temporary physical wiring layer is developed as real wiring on the real wiring layer. You. In the above-described determination, when the processing of changing the wiring of one temporary physical wiring layer to the real wiring of one real wiring layer is performed, the temporary wiring of the circuit block that is determined not to satisfy the desired characteristics is temporarily determined. The physical wiring layer is additionally laid as a real wiring formed by transferring the physical wiring layer to a plurality of real wiring layers. At this time, the circuit block is laid so as to satisfy desired characteristics by adjusting the length of the wiring and the capacitance between the wirings by using the above-described various wiring laying forms of the integrated circuit.
[0012] 従来であれば、回路ブロックが所望の特性を満たさない場合には、再度、一から仮 配線を行い、所望の特性が得られるまでこれを繰り返していた。本発明では、回路ブ ロックが所望の特性を満たさない場合に、付加的な配線層を利用して再度仮配線を 行レ、、配線の電気的特性を調節する。この再度の仮配線は、ある決められたルール にもとづいて行われるため、一から仮配線をやり直す従来に比べて、演算負荷を抑 えることができる。  [0012] Conventionally, when the circuit block does not satisfy the desired characteristics, provisional wiring is performed again from the beginning, and this is repeated until the desired characteristics are obtained. In the present invention, when the circuit block does not satisfy the desired characteristics, the provisional wiring is performed again by using the additional wiring layer, and the electrical characteristics of the wiring are adjusted. Since the temporary wiring is performed again based on a predetermined rule, the calculation load can be reduced as compared with the conventional method in which the temporary wiring is redone from the beginning.
発明の効果  The invention's effect
[0013] 本発明によれば、集積回路が形成されるスペースの増大を抑制しつつ、集積回路 の設計をより効率的に行うことができる。 According to the present invention, it is possible to suppress an increase in the space in which an integrated circuit is formed, and Can be designed more efficiently.
図面の簡単な説明 Brief Description of Drawings
[図 1]本発明にかかる集積回路の第 1の実施形態の構成を示す平面図である。 FIG. 1 is a plan view showing a configuration of a first embodiment of an integrated circuit according to the present invention.
[図 2]同実施形態に力かる配線構造を示す図である。 FIG. 2 is a view showing a wiring structure that is useful in the same embodiment.
[図 3]同実施形態に力かる配線構造を示す図である。 FIG. 3 is a diagram showing a wiring structure that is useful in the same embodiment.
[図 4]同実施形態に力かる配線構造を示す図である。 FIG. 4 is a diagram showing a wiring structure that is useful in the same embodiment.
[図 5]同実施形態にかかる集積回路の設計支援装置の全体構成を示すブロック図で ある。  FIG. 5 is a block diagram showing an overall configuration of the integrated circuit design support device according to the same embodiment.
[図 6]同実施形態に力かる集積回路の設計手順を示すフローチャートである。  FIG. 6 is a flowchart showing a procedure for designing an integrated circuit that is useful in the same embodiment.
[図 7]同実施形態において、回路図と同回路図の仮物理配線を用いたレイアウトとの 関係を示す図である。  FIG. 7 is a diagram showing a relationship between a circuit diagram and a layout using temporary physical wiring of the circuit diagram in the same embodiment.
[図 8]同実施形態において、回路図と同回路図の仮物理配線を用いたレイアウトとの 関係を示す図である。  FIG. 8 is a diagram showing a relationship between a circuit diagram and a layout using temporary physical wiring of the circuit diagram in the same embodiment.
[図 9]同実施形態において作成されるマスクを示す図である。  FIG. 9 is a view showing a mask created in the same embodiment.
[図 10]本発明にかかる集積回路の第 2の実施形態に力かる配線構造を示す図である  FIG. 10 is a diagram showing a wiring structure of an integrated circuit according to a second embodiment of the present invention.
[図 11]同実施形態に力かる配線構造を示す図である。 FIG. 11 is a diagram showing a wiring structure according to the same embodiment.
[図 12]同実施形態において作成されるマスクを示す図である。  FIG. 12 is a view showing a mask created in the same embodiment.
[図 13]上記第 1及び第 2の実施形態にかかる配線構造を示す図である。  FIG. 13 is a diagram showing a wiring structure according to the first and second embodiments.
[図 14]本発明にかかる集積回路の第 3の実施形態に力、かる集積回路の設計手順を 示すフローチャートである。  FIG. 14 is a flowchart showing a design procedure of an integrated circuit according to a third embodiment of the integrated circuit according to the present invention.
[図 15]本発明にかかる集積回路の第 4の実施形態に力、かる集積回路の設計支援装 置の全体構成を示すブロック図である。  FIG. 15 is a block diagram showing an overall configuration of an integrated circuit design support device that is useful for an integrated circuit according to a fourth embodiment of the present invention.
[図 16]本発明にかかる集積回路の第 4の実施形態に力、かる集積回路の設計手順を 示すフローチャートである。  FIG. 16 is a flowchart showing a design procedure of an integrated circuit according to a fourth embodiment of the integrated circuit according to the present invention.
[図 17]同実施形態における区画の設定態様を例示する図である。  FIG. 17 is a diagram exemplifying a partition setting mode in the embodiment.
[図 18]同実施形態において隣接する区画間の結線態様を示す図である。  FIG. 18 is a diagram showing a connection state between adjacent sections in the same embodiment.
[図 19]同実施形態において隣接する区画間の結線態様を示す図である。 [図 20]本発明にかかる集積回路の第 5の実施形態にかかる配線構造を示す図である 園 21]同実施形態に力かる集積回路の設計手順を示すフローチャートである。 FIG. 19 is a diagram showing a connection state between adjacent sections in the embodiment. FIG. 20 is a diagram showing a wiring structure according to a fifth embodiment of the integrated circuit according to the present invention. Garden 21] is a flowchart showing a procedure of designing an integrated circuit working in the same embodiment.
園 22]同実施形態において隣接する区画間の結線態様を示す図である。 Garden 22] is a diagram showing a connection state between adjacent sections in the same embodiment.
園 23]同実施形態において隣接する区画間の結線態様を示す図である。 Garden 23] is a diagram showing a connection state between adjacent sections in the same embodiment.
[図 24]本発明にかかる集積回路の第 6の実施形態における区画の設定態様を示す 図である。  FIG. 24 is a diagram showing a setting mode of partitions in a sixth embodiment of the integrated circuit according to the present invention.
園 25]本発明にかかる集積回路の第 7の実施形態に力、かる集積回路の設計手順を 示すフローチャートである。 FIG. 25 is a flowchart showing an integrated circuit design procedure according to a seventh embodiment of the integrated circuit according to the present invention.
園 26]同実施形態に力かる配線構造を示す平面図である。 FIG. 26 is a plan view showing a wiring structure according to the same embodiment.
園 27]同実施形態に力かる配線構造を示す平面図である。 Garden 27] FIG. 27 is a plan view showing a wiring structure according to the same embodiment.
園 28]同実施形態に力かる配線構造を示す断面図である。 FIG. 28 is a cross-sectional view showing a wiring structure according to the same embodiment.
[図 29]本発明にかかる集積回路の第 8の実施形態にかかる配線構造を示す図である 園 30]同実施形態において作成されるマスクを示す図である。  FIG. 29 is a diagram showing a wiring structure according to an eighth embodiment of the integrated circuit according to the present invention. Garden 30] It is a diagram showing a mask created in the same embodiment.
園 31]本発明にかかる集積回路の第 9の実施形態に力かる配線構造を示す図である 園 32]同実施形態において作成されるマスクを示す図である。 Garden 31] is a diagram showing a wiring structure of an integrated circuit according to a ninth embodiment of the present invention. Garden 32] is a diagram showing a mask created in the same embodiment.
[図 33]上記各実施形態の変形例における配線構造を示す斜視図である。  FIG. 33 is a perspective view showing a wiring structure in a modification of each of the above embodiments.
園 34]上記各実施形態の配線の回路図である。 Garden 34] It is a circuit diagram of the wiring of each embodiment.
園 35]変形例における配線構造を示す概略図である。 FIG. 35 is a schematic diagram showing a wiring structure in a modification.
園 36]変形例における配線構造を示す概略図である。 FIG. 36 is a schematic diagram showing a wiring structure in a modification.
園 37]変形例における配線構造を示す概略図である。 FIG. 37 is a schematic diagram showing a wiring structure in a modification.
園 38]変形例における配線構造を示す概略図である。 FIG. 38 is a schematic diagram showing a wiring structure in a modification.
園 39]変形例における配線構造を示す概略図である。 FIG. 39 is a schematic diagram showing a wiring structure in a modification.
園 40]変形例における配線構造を示す概略図である。 FIG. 40 is a schematic diagram showing a wiring structure in a modification.
園 41]変形例における配線構造を示す概略図である。 FIG. 41 is a schematic diagram showing a wiring structure in a modification.
園 42]変形例における配線構造を示す概略図である。 [図 43]変形例における配線構造を示す概略図である。 FIG. 42 is a schematic diagram showing a wiring structure in a modification. FIG. 43 is a schematic diagram showing a wiring structure in a modified example.
[図 44]変形例における配線構造を示す概略図である。  FIG. 44 is a schematic diagram showing a wiring structure in a modification.
[図 45]本発明にかかる半導体集積回路の第 11の実施形態の構成を示す平面図で ある。  FIG. 45 is a plan view showing the configuration of the eleventh embodiment of the semiconductor integrated circuit according to the present invention.
[図 46]同実施形態における配線の敷設態様を示す図である。  FIG. 46 is a view showing a wiring laying mode in the embodiment.
[図 47]同実施形態における配線の敷設態様を示す図である。  FIG. 47 is a view showing a wiring laying mode in the embodiment.
[図 48]同実施形態における配線の敷設態様を示す図である。  FIG. 48 is a view showing a wiring laying mode in the embodiment.
[図 49]同実施形態における半導体集積回路の設計支援装置の構成を示す平面図 である。  FIG. 49 is a plan view showing the configuration of the semiconductor integrated circuit design support device in the same embodiment.
[図 50]同実施形態における半導体集積回路の設計手順を示すフローチャートである 符号の説明  FIG. 50 is a flowchart showing a design procedure of the semiconductor integrated circuit in the embodiment.
[0015] 10…ライブラリ、 12…設計仕様格納部、 14…プロセスパラメータ、 16…仮物 理配線層ルール、 20…回路変数算出部、 22…自動配置部、 24…自動配線部 、 30…タイミング解析部、 32…回路変数決定部、 34…配線層展開部、 40· · · マスク算出部、 42…区画設定部、 50…入力部、 52…画像表示部、 54…制御 部、 1010…ライブラリ、 1012…設計仕様格納部、 1014…プロセスパラメータ、 1020…自動配置部、 1022…自動配線部、 1030…タイミング解析部、 1040 …入力部、 1042…画像表示部、 1050…制御部。  [0015] 10: library, 12: design specification storage unit, 14: process parameters, 16: temporary physical wiring layer rule, 20: circuit variable calculation unit, 22: automatic placement unit, 24: automatic wiring unit, 30: timing Analysis part, 32… Circuit variable determination part, 34… Wiring layer development part, 40 ··· Mask calculation part, 42… Division setting part, 50… Input part, 52… Image display part, 54… Control part, 1010… Library 1012: Design specification storage unit, 1014: Process parameter, 1020: Automatic placement unit, 1022: Automatic wiring unit, 1030: Timing analysis unit, 1040: Input unit, 1042: Image display unit, 1050: Control unit.
発明を実施するための最良の形態  BEST MODE FOR CARRYING OUT THE INVENTION
[0016] はじめに実施の形態の概要を説明する。 First, an outline of an embodiment will be described.
[0017] (1)一の実施の形態である集積回路は、基板上に形成される多層配線構造を有す る集積回路であって、互いに実質的に同一の経路方向を有するとともに、前記集積 回路の基板への投影が互いにオーバーラップする態様にて複数の配線層に敷設さ れた配線を備える。複数の配線層に敷設された配線が、ビアホールを介して接続さ れることにより、集積回路の所望の 2点を結線する一の配線であって、任意の 2つの 素子の各特定の端子間を結線する配線、任意の素子の特定の端子の電位を固定す る配線、および配線の一端が実質的にオープンとされる配線のレ、ずれか一の配線を 構成する。例えば図 2—図 4、図 10,図 11 ,図 13などに示すさまざまな配線構造がこ の態様に対応している。 (1) An integrated circuit according to one embodiment is an integrated circuit having a multilayer wiring structure formed on a substrate, having substantially the same path direction as each other, and Wiring is provided on a plurality of wiring layers so that the projection of the circuit onto the substrate overlaps each other. Wirings laid in a plurality of wiring layers are connected via via holes to form one wiring connecting two desired points of an integrated circuit, and each specific terminal of any two elements is connected. Wiring to be connected, wiring to fix the potential of a specific terminal of an arbitrary element, and wiring with one end of the wiring being substantially open Constitute. For example, various wiring structures shown in FIGS. 2 to 4, FIG. 10, FIG. 11, and FIG. 13 correspond to this mode.
[0018] ここで「基板への投影が互いにオーバーラップ」するとは、異なる配線層に敷設され た複数の配線が実質的に同一の経路方向を有しており、複数の配線を基板に投影 した場合に、一の投影像が他の投影像と一致する場合、 1の投影像が他の投影像に 完全に包含される場合、さらに 1の投影像と他の投影像とが、その一部において重な つておりビアホールを介して 2つの配線が接続可能な場合等も含む。  Here, “the projections on the substrate overlap each other” means that a plurality of wirings laid in different wiring layers have substantially the same path direction, and the plurality of wirings are projected on the substrate. If one projected image coincides with another projected image, one projected image is completely included in another projected image, and one projected image and another projected image are partially This includes cases where two wirings are overlapped and two wirings can be connected via via holes.
[0019] (2)一の実施の形態である集積回路は、基板上に形成される多層配線構造を有す る集積回路であって、集積回路の所望の 2点を結線する第 1の配線と、第 1の配線の 敷設される配線層とは別の配線層に敷設される第 2の配線とを備える。第 1の配線と 第 2の配線は、基板への投影が互いにオーバーラップする態様にて敷設され、ビア ホールを介して接続されることにより前記所望の 2点を結線する一の配線を構成する 。この配線は、任意の 2つの素子の各特定の端子間を結線する配線、任意の素子の 特定の端子の電位を固定する配線、および配線の一端が実質的にオープンとされる 配線のいずれか 1の配線として機能する。例えば図 2、図 4、図 20 (a)、(b)、 (d)など に示すさまざまな配線構造がこの態様に対応している。  (2) An integrated circuit according to an embodiment is an integrated circuit having a multilayer wiring structure formed on a substrate, and a first wiring connecting two desired points of the integrated circuit. And a second wiring laid on a different wiring layer from the wiring layer on which the first wiring is laid. The first wiring and the second wiring are laid in such a manner that projections onto the substrate overlap each other, and are connected via via holes to form one wiring connecting the desired two points. . This wiring may be one of wiring connecting between specific terminals of any two elements, wiring fixing the potential of a specific terminal of any element, or wiring in which one end of the wiring is substantially open Function as 1 wiring. For example, various wiring structures shown in FIGS. 2, 4, 20 (a), (b), (d) and the like correspond to this mode.
[0020] この集積回路によれば、単一の実配線層ごとに経路を定めて、実際の配線を敷設 してレ、く手法と比較して、当該配線の取りうる電気的特性の自由度を向上させること ができ、ひいては微細化に伴う諸問題に簡易に対処することができるようになる。  [0020] According to this integrated circuit, a route is determined for each single actual wiring layer, and actual wiring is laid. Therefore, various problems associated with miniaturization can be easily dealt with.
[0021] (3)一の実施の形態である集積回路は、基板上に形成される多層配線構造を有す る集積回路であり、集積回路の所望の 2点を結線する第 1の配線と、第 1の配線の敷 設される配線層とは別の配線層に敷設される第 1の配線と平行な第 2の配線とを備え ている。第 1の配線に対し第 2の配線を電気的に並列に接続せしめることにより第 1の 配線と第 2の配線は、所望の 2点を結線する 1の配線を構成する。  (3) An integrated circuit according to an embodiment is an integrated circuit having a multilayer wiring structure formed on a substrate, and includes a first wiring connecting two desired points of the integrated circuit. And a second wiring parallel to the first wiring laid on a different wiring layer from the wiring layer on which the first wiring is laid. By electrically connecting the second wiring to the first wiring in parallel, the first wiring and the second wiring constitute one wiring connecting desired two points.
この集積回路によれば、第 1の配線と並列に接続される第 2の配線によって、第 1の 配線のみで 2点を結線する場合と比較して配線抵抗を低減することができる。図 3は この態様の一例を示してレ、る。  According to this integrated circuit, the wiring resistance can be reduced by the second wiring connected in parallel with the first wiring as compared with the case where two points are connected only by the first wiring. FIG. 3 shows an example of this mode.
[0022] なお、第 1の配線と第 2の配線は基板への投影が互いにオーバーラップするように して形成してもよい。この場合、ビアホールを介して第 1の配線と第 2の配線を容易に 接続することが可能となるとともに、配線の敷設される面積を最小限にとどめつつ、配 線の抵抗値を低減することができ、さらにインダクタンスをも低減させることができる。 さらに第 1の配線と第 2の配線との間には、他の信号伝搬用の配線が敷設されない ことが好ましい。この結果、第 1の配線と第 2の配線とが、他の信号伝搬用の配線と電 気的に干渉することを好適に回避、抑制することができる。 [0022] Note that the first wiring and the second wiring are arranged such that projections on the substrate overlap with each other. Alternatively, it may be formed. In this case, it is possible to easily connect the first wiring and the second wiring via the via hole, and to reduce the wiring resistance while minimizing the area where the wiring is laid. And the inductance can also be reduced. Further, it is preferable that another signal transmission wiring is not laid between the first wiring and the second wiring. As a result, it is possible to suitably avoid and suppress the first wiring and the second wiring from electrically interfering with other signal propagation wirings.
また、第 1の配線と第 2の配線は、互いに隣接する配線層に敷設されてもよい。配 線層を隣接させることにより、中間の層に配線が敷設される場合に生ずる他の配線と の間の電気的な干渉を回避、抑制することができる。  Further, the first wiring and the second wiring may be laid on wiring layers adjacent to each other. By arranging the wiring layers adjacent to each other, it is possible to avoid or suppress electric interference between the wiring and the other wiring that occurs when the wiring is laid in an intermediate layer.
[0023] (4)一の実施の形態である集積回路は、基板上に形成される多層配線構造を有す る集積回路であり、基板への投影が互いにオーバーラップする態様にて複数の配線 層に平行に敷設された複数の配線を備えている。複数の配線は、配線層ごとに信号 の伝搬方向を反転させる態様にてビアホールを介して電気的に直列に接続されるこ とにより、集積回路の所望の 2点を結線する 1の配線を構成する。図 3はこの態様の 一例を示している。 (4) The integrated circuit according to one embodiment is an integrated circuit having a multilayer wiring structure formed on a substrate, and a plurality of wirings are formed in such a manner that projections on the substrate overlap with each other. It has a plurality of wirings laid in parallel to the layers. The plurality of wirings are electrically connected in series via via holes in a manner to reverse the signal propagation direction for each wiring layer, thereby forming one wiring connecting desired two points of the integrated circuit. I do. FIG. 3 shows an example of this embodiment.
この集積回路によれば、配線の敷設される面積を増大させることなぐ配線の抵抗 を積極的に増大させることができる。この抵抗値の増大は、集積回路において信号 の遅延量の調整やリファレンス電位の生成等の調整に適用することができる。さらに 配線のインダクタンスを増加させることにもなる。  According to this integrated circuit, the resistance of the wiring can be positively increased without increasing the area where the wiring is laid. This increase in the resistance value can be applied to adjustment of the amount of delay of a signal, generation of a reference potential, and the like in an integrated circuit. It also increases the wiring inductance.
[0024] さらに複数の配線間には、他の信号伝搬用の配線が敷設されないことが好ましい。  [0024] Further, it is preferable that another signal transmission wiring is not laid between the plurality of wirings.
この結果、複数の配線が、他の信号伝搬用の配線と電気的に干渉することを好適に 回避、抑制することができる。  As a result, it is possible to preferably avoid and suppress electrical interference of a plurality of wirings with other signal transmission wirings.
さらに、複数の配線は互いに隣接する配線層に敷設されてもよい。配線層を隣接さ せることにより、中間の層に配線が敷設される場合に生ずる他の配線との間の電気的 な干渉を回避、抑制することができる。  Further, the plurality of wirings may be laid on wiring layers adjacent to each other. By arranging the wiring layers adjacent to each other, it is possible to avoid or suppress electric interference between the wiring and the other wiring that occurs when the wiring is laid in the intermediate layer.
[0025] (5)一の実施の形態である集積回路は、基板上に形成される多層配線構造を有す る集積回路であり、配線の敷設方向および敷設間隔が隣接する配線層間で実質的 に同一に設定されている領域を備えている。この領域において、 P 接する配線層のう ち一方の配線層に敷設される配線が、他方の配線層に敷設される配線に対して、敷 設方向と垂直をなす方向に配線の敷設間隔よりも小さい範囲でオフセットする態様 にて設けられる。図 10はこの態様の一例を示している。 (5) The integrated circuit according to one embodiment is an integrated circuit having a multilayer wiring structure formed on a substrate, and the wiring laying direction and the wiring interval are substantially between wiring layers adjacent to each other. Are provided with the same region. In this region, the wiring layer That is, the wiring laid on one wiring layer is offset from the wiring laid on the other wiring layer in a direction perpendicular to the laying direction within a range smaller than the wiring laying interval. . FIG. 10 shows an example of this embodiment.
ここで、配線の「敷設間隔」とは、平行に設けられた配線の中心線間の距離をいう。 この集積回路によれば、隣接する配線層の配線同士がオフセットして敷設されるこ とにより、配線の水平方向の間隔を拡大することなぐ P 接する配線層の配線間の容 量を好適に低減することができる。  Here, the “laying interval” of the wiring refers to the distance between the center lines of the wirings provided in parallel. According to this integrated circuit, by laying the wirings of adjacent wiring layers offset from each other, it is possible to preferably reduce the capacity between the wirings of the P-connected wiring layer without increasing the horizontal spacing of the wirings. can do.
[0026] (6)一の実施の形態である集積回路は、基板上に形成される多層配線構造を有す る集積回路であり、ある一の配線層に第 1の配線および第 2の配線のいずれか一方 が敷設される箇所において、他方の配線は他の配線層へと逃がすように敷設されて いる。その際、第 1の配線と第 2の配線は、基板への投影が互いに隣接するよう平行 に敷設される。図 11はこの態様の一例を示してレ、る。  (6) An integrated circuit according to one embodiment is an integrated circuit having a multilayer wiring structure formed on a substrate, and a first wiring and a second wiring are provided in one wiring layer. Where either one of them is laid, the other wiring is laid so as to escape to another wiring layer. At this time, the first wiring and the second wiring are laid in parallel so that the projections on the substrate are adjacent to each other. FIG. 11 shows an example of this embodiment.
[0027] すなわち、基板上に形成される多層配線構造を有する集積回路であって、当該基 板への投影が互いに隣接する第 1の配線と第 2の配線を備えている。第 1の配線と第 2の配線は、スペース的には一の配線層で隣接する態様にて敷設しうるものであると ころ、レ、ずれか一方の配線が前記一の配線層に敷設される箇所にぉレ、て他方の配 線を他の配線層へと逃がす態様にて敷設する。その結果、第 1の配線と第 2の配線 力 基板への投影が互いに隣接することを許しつつ、前記一の配線層で隣接する態 様にて敷設した場合と比して、同一の配線層において隣接する長さを短くしている。 この集積回路によれば、一対の配線同士が、同一配線層内において水平方向に 隣接する長さを短くすることができ、一対の配線間の容量を好適に削減することがで きる。  That is, an integrated circuit having a multilayer wiring structure formed on a substrate, comprising a first wiring and a second wiring adjacent to each other when projected onto the substrate. The first wiring and the second wiring can be laid in an adjacent manner on one wiring layer in terms of space. However, either the first wiring or the second wiring is laid on the one wiring layer. And lay it out in such a way that the other wiring escapes to another wiring layer. As a result, the first wiring and the second wiring allow the projection on the power board to be adjacent to each other, but have the same wiring layer as compared to the case where the one wiring layer is laid in an adjacent state. The adjacent length is shortened. According to this integrated circuit, the length of the pair of wirings adjacent to each other in the horizontal direction in the same wiring layer can be shortened, and the capacitance between the pair of wirings can be suitably reduced.
[0028] さらに一対の配線間には、他の信号伝搬用の配線が敷設されないことが好ましい。  [0028] Further, it is preferable that another wiring for signal propagation is not laid between the pair of wirings.
この結果、第 1の配線と第 2の配線とが、他の信号伝搬用の配線と電気的に干渉する ことを好適に回避、抑制することができる。  As a result, it is possible to preferably avoid and suppress the first wiring and the second wiring from electrically interfering with other signal propagation wirings.
さらに一対の配線は互いに隣接する配線層に敷設されてもよい。配線層を隣接さ せることにより、中間の層に配線が敷設される場合に生ずる他の配線との間の電気的 な干渉を回避、抑制することができる。 [0029] (7)一の実施の形態である集積回路は、基板上に形成される多層配線構造を有す る集積回路であり、所定の配線層に互いに隣接して平行に敷設された第 1の一対の 配線と、所定の配線層とは別の配線層に互いに隣接して平行に敷設された第 2の一 対の配線とを備えている。前記第 2の一対の配線は、第 1の一対の配線と基板への 投影がオーバーラップする態様にて設けられており、一端をビアホールを介して第 1 の一対の配線に接続せしめることにより第 2の一対の配線は、他端が実質的にォー プンとされるダミー配線として形成されている。図 4はこの態様の一例を示している。 Further, the pair of wirings may be laid on wiring layers adjacent to each other. By arranging the wiring layers adjacent to each other, it is possible to avoid or suppress electric interference between the wiring and the other wiring that occurs when the wiring is laid in the intermediate layer. (7) An integrated circuit according to one embodiment is an integrated circuit having a multi-layer wiring structure formed on a substrate, and is provided adjacent to and parallel to a predetermined wiring layer. One pair of wirings and a second pair of wirings laid in parallel with each other on a wiring layer different from the predetermined wiring layer. The second pair of wirings is provided in such a manner that the first pair of wirings and the projection on the substrate overlap each other, and one end is connected to the first pair of wirings via via holes. The two pairs of wirings are formed as dummy wirings whose other ends are substantially open. FIG. 4 shows an example of this embodiment.
[0030] この集積回路によれば、複数の配線層にて配線が隣接し合うため、配線間の容量 を増大させることができ、配線を伝搬する信号の遅延量を調整したり、インピーダンス マッチングを行ったりすることができる。さらに、容量値を増加させるために配線長を 延ばす必要がなレ、ため、デザインノレールの制約や抵抗の増大を招くこともなレ、。 なお、この集積回路において、第 1の一対の配線と第 2の一対の配線は基板への 投影が一致するように敷設してもよい。これにより、第 1の一対の配線が敷設される配 線層と第 2の一対の配線が敷設される配線層について、少なくともこれら一対の配線 に関しては、そのマスクパターンを同一とすることができる。  According to this integrated circuit, since the wirings are adjacent to each other in a plurality of wiring layers, the capacitance between the wirings can be increased, the delay amount of a signal propagating through the wirings can be adjusted, and impedance matching can be performed. You can go. In addition, it is not necessary to extend the wiring length to increase the capacitance value, which may cause restrictions on the design rail or increase the resistance. Note that, in this integrated circuit, the first pair of wirings and the second pair of wirings may be laid so that the projections on the substrate coincide. This makes it possible to make the mask pattern the same at least for the wiring layer on which the first pair of wirings are laid and the wiring layer on which the second pair of wirings are laid.
さらに第 1の一対の配線と第 2の一対の配線との間には、他の信号伝搬用の配線が 敷設されないことが好ましい。この結果、第 1の一対の配線と第 2の一対の配線とが、 他の信号伝搬用の配線と電気的に干渉することを好適に回避、抑制することができ る。  Further, it is preferable that no other signal transmission wiring is laid between the first pair of wirings and the second pair of wirings. As a result, it is possible to preferably avoid and suppress electrical interference between the first pair of wirings and the second pair of wirings with other signal transmission wirings.
また、第 1の一対の配線が敷設される配線層と第 2の一対の配線が敷設される配線 層は互いに隣接していてもよい。配線層を隣接させることにより、中間の層に配線が 敷設される場合に生ずる他の配線との間の電気的な干渉を回避、抑制することがで きる。  Further, the wiring layer on which the first pair of wirings are laid and the wiring layer on which the second pair of wirings are laid may be adjacent to each other. By arranging the wiring layers adjacent to each other, it is possible to avoid and suppress electric interference between the wiring and the other wiring when the wiring is laid in the intermediate layer.
[0031] (8)一の実施の形態である集積回路は、基板上に形成される多層配線構造を有す る集積回路であり、複数の配線層に敷設された配線をビアホールを介して並列に接 続して構成される第 1の配線を備える。さらにこの集積回路は、第 1の配線を構成す る配線が敷設される複数の配線層と同一の配線層に敷設された配線をビアホールを 介して並列に接続して構成される第 2の配線とを備えている。この第 1の配線と前記 第 2の配線それぞれを構成する配線は、互いに対応する同一の配線層で隣接して 平行に設けられている。図 33 (a)はこの態様の一例を示している。 (8) The integrated circuit according to one embodiment is an integrated circuit having a multilayer wiring structure formed on a substrate, and wirings laid in a plurality of wiring layers are arranged in parallel via via holes. A first wiring connected to the first wiring. The integrated circuit further includes a second wiring configured by connecting wirings laid on the same wiring layer as a plurality of wiring layers on which the wiring configuring the first wiring is laid in parallel via via holes. And This first wiring and the The wirings constituting each of the second wirings are provided adjacent to and in parallel in the same wiring layer corresponding to each other. FIG. 33 (a) shows an example of this mode.
[0032] この集積回路によれば、第 1および第 2の配線を単線とした場合と比較して配線抵 抗を低減することができる。更に、第 1および第 2の配線は、各配線層において互い に隣接して形成されているために、両配線の間の容量も、単一の配線層の配線とし て形成する場合と比較して、増大させることができる。  According to this integrated circuit, the wiring resistance can be reduced as compared with the case where the first and second wirings are single lines. Further, since the first and second wirings are formed adjacent to each other in each wiring layer, the capacitance between the two wirings is also smaller than that when the wirings are formed as a single wiring layer. And can be increased.
さらに第 1、第 2の配線を構成する配線間には、他の信号伝搬用の配線が敷設され ないことが好ましい。この結果、第 1 ,第 2の配線が、他の信号伝搬用の配線と電気的 に干渉することを好適に回避、抑制することができる。  Further, it is preferable that no other signal transmission wiring is laid between the wirings constituting the first and second wirings. As a result, it is possible to preferably avoid and suppress the first and second wirings from electrically interfering with other signal transmission wirings.
また、第 1および第 2の配線を構成する配線がそれぞれ敷設される配線層は互いに 隣接してもよレ、。配線層を隣接させることにより、中間の層に配線が敷設される場合 に生ずる他の配線との間の電気的な干渉を回避、抑制することができる。  Also, the wiring layers on which the wirings constituting the first and second wirings are respectively laid may be adjacent to each other. By arranging the wiring layers adjacent to each other, it is possible to avoid and suppress electric interference between the wiring and the other wiring which occurs when the wiring is laid in the intermediate layer.
[0033] (9)一の実施の形態である集積回路は、基板上に形成される多層配線構造を有す る集積回路であり、複数の配線層に前記基板への投影がオーバーラップする態様に て敷設された配線をビアホールを介して電気的に直列に接続して構成される第 1の 配線と、第 1の配線を構成する配線が敷設される複数の配線層と同一の配線層に前 記基板への投影がオーバーラップする態様にて敷設された配線をビアホールを介し て電気的に直列に接続して構成される第 2の配線とを備えている。第 1の配線と第 2 の配線それぞれを構成する配線は、互いに対応する同一の配線層で隣接して平行 に設けられてもよい。図 33 (b)はこの態様の一例を示している。  (9) An integrated circuit according to one embodiment is an integrated circuit having a multilayer wiring structure formed on a substrate, and an aspect in which projections on the substrate overlap with a plurality of wiring layers. The first wiring, which is formed by electrically connecting the wiring laid in step 1 via a via hole, and the same wiring layer as a plurality of wiring layers on which the wiring configuring the first wiring is laid And a second wiring configured by electrically connecting wiring laid in a manner that the projection onto the substrate overlaps via a via hole. The wirings constituting each of the first wiring and the second wiring may be provided adjacently in parallel in the same wiring layer corresponding to each other. FIG. 33 (b) shows an example of this mode.
[0034] この集積回路によれば、第 1および第 2の配線の抵抗を、配線の敷設面積を増大 することなく増加することができる。さらに第 1および第 2の配線は、各配線層におい て互いに隣接して形成されているために、両配線間の容量も、両配線を単一の配線 層の配線として形成する場合と比較して、増大させることができる。  According to this integrated circuit, the resistance of the first and second wirings can be increased without increasing the wiring laying area. Furthermore, since the first and second wirings are formed adjacent to each other in each wiring layer, the capacitance between the two wirings is also smaller than when both wirings are formed as wiring of a single wiring layer. And can be increased.
[0035] さらに第 1、第 2の配線を構成する配線間には、他の信号伝搬用の配線が敷設され ないことが好ましい。この結果、第 1 ,第 2の配線が、他の信号伝搬用の配線と電気的 に干渉することを好適に回避、抑制することができる。  Further, it is preferable that no other signal transmission wiring is laid between the wirings constituting the first and second wirings. As a result, it is possible to preferably avoid and suppress the first and second wirings from electrically interfering with other signal transmission wirings.
なお、第 1および第 2の配線を構成する配線は、隣接する配線層に敷設されてもよ レ、。配線層を隣接させることにより、中間の層に配線が敷設される場合に生ずる他の 配線との間の電気的な干渉を回避、抑制することができる。 The wirings constituting the first and second wirings may be laid on adjacent wiring layers. Les ,. By arranging the wiring layers adjacent to each other, it is possible to avoid and suppress electric interference between the wiring and the other wiring which occurs when the wiring is laid in the intermediate layer.
[0036] (10)—の実施の形態である集積回路は、基板上に形成される多層配線構造を有 する集積回路であり、信号伝搬用の配線と互いに異なる電位に固定された複数の電 位固定配線とを備えている。信号伝搬用の配線と電位固定配線のいずれか一の配 線は、その一部を他の配線層へと逃がすようにして敷設される。その際信号伝搬用 の配線と複数の電位固定配線は、基板への投影が互いに隣接することを許して敷設 されている。図 29 32はこの態様の例を示している。  The integrated circuit according to the embodiment (10) is an integrated circuit having a multi-layer wiring structure formed on a substrate, and includes a plurality of terminals fixed at different potentials from signal transmission wiring. Fixed wiring. One of the signal transmission wiring and the potential fixing wiring is laid so that a part of the wiring is released to another wiring layer. At this time, the signal transmission wiring and the plurality of potential fixing wirings are laid to allow the projections on the substrate to be adjacent to each other. FIG. 2932 shows an example of this embodiment.
[0037] 信号伝搬用の配線と複数の電位固定配線は、スペース的には一の配線層に隣接 する態様にて敷設しうるものであるところ、レ、ずれか一の配線の一部を他の配線層へ と逃がすようにして敷設することにより、信号伝搬用の配線と複数の電位固定配線が 、基板への投影が互いに隣接することを許しつつ、一の配線層で隣接する態様にて 敷設した場合と比して、配線同士が同一の配線層において隣接する長さを異ならし めている。  [0037] The signal transmission wiring and the plurality of potential fixing wirings can be laid in a manner adjacent to one wiring layer in terms of space. The wiring for signal propagation and the plurality of potential fixed wirings are adjacent in one wiring layer while allowing the projections on the substrate to be adjacent to each other. Compared with the case of laying, the lengths of adjacent wires in the same wiring layer are different.
[0038] この集積回路によれば、信号伝搬用の配線と電位固定配線とが同一配線層にて隣 接する長さを変化させることで、これらの配線間の容量を調節することができ、信号伝 搬用の配線での信号の伝搬速度を調節することができる。加えて、信号伝搬用の配 線が各異なる電位に固定された電位固定配線とそれぞれ隣接する長さの比によって According to this integrated circuit, the capacitance between the signal transmission wiring and the potential fixing wiring can be adjusted by changing the length of the adjacent wiring in the same wiring layer. It is possible to adjust the signal propagation speed in the transmission wiring. In addition, the ratio of the lengths of adjacent signal transmission lines to the potential fixing lines that are fixed at different potentials is determined by the ratio of the adjacent lengths.
、信号伝搬用の配線を伝搬する信号の波形を変化させることができる。 In addition, it is possible to change the waveform of the signal propagating through the signal transmission wiring.
[0039] (11)一の実施の形態である集積回路は、基板上に形成される多層配線構造を有 する集積回路であり、配線が実質的に同一方向に、かつ予め規定された単位間隔の 整数倍の間隔で敷設される複数の配線層を有する領域を備える。この集積回路にお レ、て前記領域内には、上記(3) (10)に記載の集積回路の少なくとも 1つが形成さ れる。 (11) An integrated circuit according to one embodiment is an integrated circuit having a multilayer wiring structure formed on a substrate, wherein wirings are arranged in substantially the same direction and at a predetermined unit interval. And a region having a plurality of wiring layers laid at integer multiples of. In this integrated circuit, at least one of the integrated circuits described in (3) and (10) is formed in the region.
この集積回路によれば、隣接する配線層の配線の敷設方向および配線の敷設間 隔が統一される領域を設けることにより、上述の各態様の半導体集積回路を容易に 形成すること力 sできる。さらに、上記領域は、配線の敷設間隔が、単位間隔の整数倍 となっているため、 自動配線ツールによって簡易に設計することができる。 [0040] (12)また、この集積回路において、隣接しあう領域間では、少なくとも一の配線層 において配線の敷設方向が互いに異なってもよい。その結果、複数の領域ごとでそ れぞれ適切な配線構造を適用することができる。図 17はこの態様の一例を示してい る。 According to this integrated circuit, by providing a region where the wiring laying directions and the wiring laying intervals of the adjacent wiring layers are unified, it is possible to easily form the semiconductor integrated circuits of the above-described embodiments. Further, since the wiring laying interval is an integral multiple of the unit interval in the above area, it can be easily designed by an automatic wiring tool. (12) In this integrated circuit, wiring laying directions in at least one wiring layer may be different between adjacent regions. As a result, an appropriate wiring structure can be applied to each of the plurality of regions. FIG. 17 shows an example of this embodiment.
[0041] (13)さらに、 P 接する領域において配線の敷設方向が互いに異なる配線層の配 線同士は、基板への投影が一直線上となる態様にて他の配線層に敷設された配線 を利用して結線されてもよい。すなわち、同じ配線層において、配線の敷設方向が互 いに異なる配線敷設領域の境界近傍で他の配線層の配線に乗り換え、他の配線層 を介して直線上の配線を敷設し、配線の敷設方向が互いに異なる配線敷設領域を 有する水平面における領域間を結線する。図 18,図 24はこの態様の一例を示して いる。  (13) Further, as for the wirings of the wiring layers in which the wiring laying directions are different from each other in the P-contact region, the wirings laid on the other wiring layers in such a manner that the projection on the substrate is on a straight line are used And may be connected. That is, in the same wiring layer, the wiring is laid in a different direction in the vicinity of the boundary of the wiring laying area where wiring laying directions are different from each other. A connection is made between regions in a horizontal plane having wiring laying regions in different directions. FIG. 18 and FIG. 24 show an example of this embodiment.
この集積回路によれば、同じ配線層において、配線の敷設方向が互いに異なる配 線敷設領域の境界近傍で、別配線層の配線に乗り換え、他の配線層を介して直線 状に敷設することで、水平面上で迂回させることなく結線でき、水平面上での迂回を 伴う結線経路を定めるための複雑な再探索処理が不要となることにカ卩え、結線に必 要な経路長を好適に抑制することができる。  According to this integrated circuit, in the same wiring layer, in the vicinity of the boundary between the wiring laying areas where the wiring laying directions are different from each other, the wiring is switched to another wiring layer, and the wiring is laid straight through another wiring layer. In addition, it is possible to connect without detouring on the horizontal plane, eliminating the need for complicated re-search processing to determine the connection route involving detouring on the horizontal plane, and appropriately suppressing the path length required for connection can do.
[0042] 本発明の別の実施の形態は集積回路の設計方法に関する。以下、この集積回路 の設計方法の概要について説明する。  [0042] Another embodiment of the present invention relates to a method for designing an integrated circuit. The outline of the design method of this integrated circuit will be described below.
[0043] (14)一の実施の形態である集積回路の設計方法は、レイアウトの実現された集積 回路における配線層を仮物理配線層とし、仮物理配線層のうちの所定の仮物理配 線層の各配線の回路特性を所望の回路特性とすべぐ演算手段により、前記各配線 を複数の配線層からなる実配線層へ略投影した領域の少なくとも 1つの領域を用い て形成される配線に変換する工程を有する。  (14) In an integrated circuit design method according to one embodiment, a wiring layer in an integrated circuit in which a layout is realized is set as a temporary physical wiring layer, and a predetermined temporary physical wiring among the temporary physical wiring layers is used. The arithmetic means for calculating the circuit characteristics of each wiring of the layer to desired circuit characteristics is applied to a wiring formed using at least one of the regions substantially projected onto an actual wiring layer including a plurality of wiring layers. There is a step of converting.
[0044] 上記設計方法によれば、仮物理配線層の各配線を、複数の配線層からなる実配線 層へ略投影した領域の少なくとも 1つの領域を用いて形成される配線に変換する。こ のため、こうした変換による配線の形成手法を取らない従来の配線手法による配線で は実現不可能な回路特性 (配線の特性や配線間の容量等の特性)を実現することが 可能となる。したがって、回路特性の調整を簡易に行うことができる。 更にこの際、仮物理配線層の各配線の配線経路を基本として配線の変換を行うた め、同仮物理配線層の配線による電気的な接続態様を修正することなぐこうした回 路特性の調整を行うことができる。 According to the above design method, each wiring of the temporary physical wiring layer is converted into a wiring formed by using at least one of the regions substantially projected onto the actual wiring layer including a plurality of wiring layers. For this reason, it is possible to realize circuit characteristics (characteristics such as wiring characteristics and capacitance between wirings) that cannot be realized by wiring using a conventional wiring method that does not employ a wiring forming method by such conversion. Therefore, adjustment of circuit characteristics can be easily performed. Further, at this time, since the wiring is converted based on the wiring path of each wiring of the temporary physical wiring layer, such adjustment of the circuit characteristics without correcting the electrical connection mode by the wiring of the temporary physical wiring layer is performed. It can be carried out.
なお、ここで「略投影した領域」とは、必ずしも仮物理配線層に対する法線方向へ 投影した領域そのものに限らず、同領域と接する領域も含む。また、「レイアウトの実 現された集積回路」とは、各箇所の結線がなされたレイアウトデータやマスクデータを 有する集積回路のことである。  Here, the “substantially projected region” is not limited to the region itself projected in the normal direction to the temporary physical wiring layer, but also includes a region in contact with the same region. In addition, the “integrated circuit in which the layout is realized” is an integrated circuit having layout data and mask data in which each part is connected.
[0045] (15)—の実施の形態である集積回路の設計方法は、集積回路の各素子の結線に 係る配線経路を決定する集積回路の設計方法であって、結線を行う配線層を仮物理 配線層とし、所定の仮物理配線層の各配線を、複数の配線層からなる実配線層へ略 投影した領域の少なくとも 1つの領域を用いて形成される配線に変換した場合に得ら れる回路特性を予測しつつ、この予測結果に基づいて前記仮物理配線層上の配線 経路を最適化する。 The integrated circuit design method according to the embodiment (15) is a method for designing an integrated circuit for determining a wiring path for connection of each element of an integrated circuit, and temporarily includes a wiring layer for connection. Obtained when a physical wiring layer is used, and each wiring of a predetermined temporary physical wiring layer is converted into a wiring formed using at least one of the regions substantially projected onto a real wiring layer including a plurality of wiring layers. While estimating the circuit characteristics, the wiring path on the temporary physical wiring layer is optimized based on the result of the estimation.
[0046] この設計方法によれば、 自動配線等による仮配線の敷設に際し、より多い配線層 上への展開を前提としなければ実現不可能な配線の敷設態様にて仮配線を敷設す ること力 Sできる。この結果、配線経路の選択の自由度を向上させることができ、ひいて は自動配線による仮配線の経路の決定に力かる演算負荷を低減することができる。 また、こうして決定された仮配線にもとづいて実配線層上への展開を行うことにより、 所望の回路特性を有する配線を簡易に設計することができ、回路全体の特性の調整 を簡易に行うことができる。さらに、こうして変換された配線は、基板への投影が互い に重なる、若しくは近接するために、上記投影が高密度となる配線を形成することが 可能となる。  According to this design method, when laying temporary wiring by automatic wiring or the like, the temporary wiring is laid in a wiring laying mode that cannot be realized unless it is assumed that the temporary wiring is spread on more wiring layers. Power S can. As a result, the degree of freedom in selecting the wiring route can be improved, and the calculation load for determining the route of the temporary wiring by the automatic wiring can be reduced. In addition, by performing development on the actual wiring layer based on the temporary wiring determined in this way, wiring having desired circuit characteristics can be easily designed, and characteristics of the entire circuit can be easily adjusted. Can be. Further, since the wirings converted in this way have projections on the substrate overlapping or approaching each other, it is possible to form wirings having a high density of the projections.
[0047] (16)一の実施の形態である集積回路の設計方法は、集積回路の各素子を自動配 置する集積回路の設計方法であって、集積回路の各箇所についての結線のなされ る配線層を仮物理配線層とし、結線のなされる集積回路の所定の仮物理配線層の 各配線を、複数の配線層からなる実配線層へ略投影した領域の少なくとも 1つの領 域を用いて形成される配線に変換した場合に得られる回路特性を予測しつつ、この 予測結果に基づレ、て各素子の配置の最適化を行う。 [0048] この設計法によれば、従来の手法による素子配置、すなわち仮物理配線層の配線 のみの配線を前提とした場合では実現不可能な回路特性を実現することが可能とな る。このため、 自動配置による回路素子のレイアウトに際し、仮物理配線層から実配 線層への展開を前提としなレ、配線では実現不可能な回路特性 (配線の特性や配線 間の容量等の特性)に基づく配置を行うことができるため、配置の自由度を向上させ ること力 Sできる。特に、実配線層に展開された配線は、展開前と比較して配置の高密 度化を許容しやすいために、上記設計方法によれば、集積回路の各素子の配置を 高密度にすることができる。このとき仮物理配線層の仮配線の敷設には、例えば配置 を行うために見積もりとして用レ、るスタイナー配線等を許容してもよい。 (16) An integrated circuit design method according to one embodiment is a method of designing an integrated circuit in which each element of the integrated circuit is automatically arranged, wherein connections are made for each part of the integrated circuit. The wiring layer is a temporary physical wiring layer, and each wiring of a predetermined temporary physical wiring layer of the integrated circuit to be connected is formed by using at least one area of a region substantially projected onto a real wiring layer including a plurality of wiring layers. Based on the results of the prediction, the layout of each element is optimized based on the prediction result of the circuit characteristics obtained when the wiring is formed. According to this design method, it is possible to realize a circuit characteristic that cannot be realized by assuming the element arrangement by the conventional method, that is, the wiring of only the wiring of the temporary physical wiring layer. For this reason, when laying out circuit elements by automatic placement, it is not necessary to presuppose the development from the temporary physical wiring layer to the actual wiring layer, and circuit characteristics that cannot be realized by wiring (characteristics such as wiring characteristics and capacitance between wiring, etc.) ), It is possible to improve the degree of freedom of arrangement. In particular, according to the above-described design method, the arrangement of each element of the integrated circuit should be made denser since the wiring developed on the actual wiring layer is more easily allowed to have a higher density than before the development. Can be. At this time, for laying the temporary wiring of the temporary physical wiring layer, for example, a Steiner wiring or the like may be allowed as an estimate for the placement.
[0049] (17)—の実施の形態である集積回路の設計方法は、集積回路の回路構成を決定 する集積回路の設計方法であって、前記回路構成に必要な結線を行う配線層を仮 物理配線層とし、所定の仮物理配線層の各配線を、複数の配線層からなる実配線層 へ略投影した領域の少なくとも 1つの領域を用いて形成される配線に変換した場合 に得られる回路特性を予測しつつ、この予測結果に基づいて回路構成の最適化を 行う。  The integrated circuit designing method according to the embodiment (17) is a method for designing an integrated circuit for determining a circuit configuration of an integrated circuit, in which a wiring layer for performing connection required for the circuit configuration is temporarily provided. A circuit obtained when a physical wiring layer is used and each wiring of a predetermined temporary physical wiring layer is converted into a wiring formed using at least one of the regions substantially projected onto an actual wiring layer including a plurality of wiring layers. The circuit configuration is optimized based on the prediction results while predicting the characteristics.
仮物理配線層をもとにした仮配線により、回路構成の最適化を実施してもよい。こ の場合、回路特性的に多様な自由度を持った配線敷設により、回路構成の自由度も 向上され、性能が優れた回路を効果的かつ容易に実現できるようになる。なお、ここ での回路構成の最適化としては、回路を構成する素子の特性最適化、機能的に等 価な回路への置き換えによる回路アーキテクチャ選択としての最適化なども含まれる  The circuit configuration may be optimized by temporary wiring based on the temporary physical wiring layer. In this case, by laying wiring with various degrees of freedom in terms of circuit characteristics, the degree of freedom in circuit configuration is improved, and a circuit with excellent performance can be realized effectively and easily. The optimization of the circuit configuration here includes optimization of the characteristics of the elements that make up the circuit, and optimization of the circuit architecture by replacing it with a functionally equivalent circuit.
[0050] (18)—の実施の形態である集積回路の設計方法は、レイアウトされた回路素子を 結線して回路ブロックを形成するために、設計時に仮想的に使用される仮配線を仮 物理配線層に敷設する。仮配線の敷設態様により形成される前記回路ブロックの諸 特性が所望の特性を満たしているかを演算手段により判定し、仮物理配線層に敷設 された仮配線を、実際の配線が敷設される実配線層に実配線として展開する。この 態様の一例は図 6に示すフローチャートにより把握することができる。 [0050] The integrated circuit design method according to the embodiment (18) is a method of connecting a laid-out circuit element to form a circuit block, by temporarily arranging tentative wiring used virtually at the time of design. Lay it on the wiring layer. Arithmetic means determines whether the characteristics of the circuit block formed according to the laying mode of the temporary wiring satisfy desired characteristics, and replaces the temporary wiring laid in the temporary physical wiring layer with the actual wiring in which the actual wiring is laid. Deployed as actual wiring on the wiring layer. An example of this mode can be understood from the flowchart shown in FIG.
実配線層への仮配線の展開は、一の仮物理配線層に敷設された仮配線を、対応 する複数の実配線層に転写し、前記判定により所望の特性を満たさないと判定され た回路ブロックが転写された領域内の実配線を、回路ブロックが所望の特性を満た すように複数の実配線層の配線を利用して再度敷設し直してもよい。 The deployment of temporary wiring on the actual wiring layer corresponds to temporary wiring laid on one temporary physical wiring layer. The actual wiring in the area where the circuit block determined to not satisfy the desired characteristics by the above determination is transferred to a plurality of actual wiring layers so that the circuit block satisfies the desired characteristics. The wiring may be laid again using the wiring of the wiring layer.
[0051] 配線を「展開する」とは、仮物理配線層の仮配線を、各仮物理配線層に対応する実 配線層に転写し、転写された仮配線を複数の実配線層を利用して敷設することを意 味する。さらに「転写」とは、仮物理配線層の仮配線を実配線層にその敷設態様を変 更することなく投影することを意味するが、転写されたすベての配線がもとの仮配線 層の仮配線と同一の敷設態様を有する必要はない。すなわち、集積回路を、機能ご とあるいはある区画ごといくつかのブロックに分割している場合には、各ブロックごとに 対応する配線の敷設態様が同一であればよい。  “Expanding” the wiring means transferring the temporary wiring of the temporary physical wiring layer to the real wiring layer corresponding to each temporary physical wiring layer, and using the transferred temporary wiring by a plurality of real wiring layers. Means to lay it. Further, “transfer” means to project the temporary wiring of the temporary physical wiring layer onto the actual wiring layer without changing the laying mode, but all the transferred wiring is the original temporary wiring. It is not necessary to have the same laying mode as the temporary wiring of the layer. In other words, when the integrated circuit is divided into several blocks for each function or for each section, it is only necessary that the wiring laying mode corresponding to each block is the same.
[0052] 仮配線から転写により実現された実配線について、再敷設が必要な場合には、そ の実配線層が敷設された実配線層とその隣接する実配線層を使用する転写を再度 実施し、仮物理配線層での仮配線と略同一の態様にて配線を敷設してもよい。この 場合、隣接する実配線層間で略同一の配線が敷設されることになるため、ビアホー ルによって隣接する配線層の配線を並歹 U、直列など様々な態様にて容易に接続す ること力 S可言 となる。  When it is necessary to relay the actual wiring realized by the transfer from the temporary wiring, the transfer using the actual wiring layer on which the actual wiring layer is laid and the actual wiring layer adjacent thereto is performed again. However, the wiring may be laid in substantially the same manner as the temporary wiring in the temporary physical wiring layer. In this case, almost the same wiring is laid between adjacent actual wiring layers, so that the wiring of the adjacent wiring layers can be easily connected in various forms such as parallel U and series by a via hole. S Speak.
[0053] この設計方法によれば、従来の配線手法による配線、すなわち仮物理配線層の仮 配線のみを利用した場合では実現不可能な回路特性 (配線の特性や配線間の容量 等の特性)を実現することが可能となり、回路特性の調整を簡易に行うことができる。 すなわち、転写された実配線層上の実配線は、複数の実配線を利用した形態として 実現できるため、 1つの実配線層での配線敷設形態よりも回路特性的に多様な自由 度を配線とすることができる。  According to this design method, circuit characteristics (characteristics such as wiring characteristics and capacitance between wires) that cannot be realized by using the wiring by the conventional wiring method, that is, using only the temporary wiring of the temporary physical wiring layer. Can be realized, and adjustment of circuit characteristics can be easily performed. In other words, the actual wiring on the actual wiring layer to which the data is transferred can be realized as a form using a plurality of actual wirings. can do.
更にこの際、仮物理配線層の仮配線の配線経路を基本として配線の再敷設を行う ため、同仮物理配線層の配線による電気的な接続態様を修正することなぐこうした 回路特性の調整を行うことができる。  Further, at this time, since the wiring is re-laid based on the wiring path of the temporary wiring in the temporary physical wiring layer, such circuit characteristics are adjusted without correcting the electrical connection mode by the wiring in the temporary physical wiring layer. be able to.
[0054] (19)この集積回路の設計方法は、集積回路を複数の区画に分割してもよぐ分割 された複数の区画ごとに、仮物理配線層と前記実配線層との対応関係を異ならしめ てもよレ、。この態様の一例は図 6および図 17により把握される。 この設計方法では、各区画毎に仮物理配線層から実配線層への配線の展開を行 うために、所望とする回路特性を効率的に実現することができるようになる。すなわち 、レイアウト設計においては、各配線層の全ての領域に渡って略均一に配線が敷設 されるとは限らず、配線の敷設されない領域が形成されることが多レ、。そこで、この設 計方法においては、区画毎に上記展開を行うことで、配線の敷設されていない仮物 理配線層を多く含む区画ほど展開する実配線層数を多くすることで、集積回路の最 終的な配線層数の増大を好適に抑制することもできる。 (19) According to this integrated circuit design method, the correspondence between the temporary physical wiring layer and the real wiring layer is determined for each of the plurality of divided sections by dividing the integrated circuit into a plurality of sections. You can make a difference. An example of this embodiment is shown in FIGS. According to this design method, wiring is developed from the temporary physical wiring layer to the real wiring layer for each section, so that desired circuit characteristics can be efficiently realized. That is, in the layout design, the wiring is not always laid almost uniformly over all the regions of each wiring layer, and a region where the wiring is not laid is often formed. Therefore, in this design method, by performing the above-described development for each section, the number of actual wiring layers to be developed is increased in a section including more temporary physical wiring layers in which no wiring is laid, thereby increasing the number of integrated circuits. The final increase in the number of wiring layers can also be suitably suppressed.
[0055] (20)また、この設計方法は、区間の分割により仮配線から実配線への展開後の配 線の敷設方向が隣接する区間にて互いに異なることとなった場合において、隣接す る区間を跨いで結線するための配線を、区画境界の付近にて仮物理配線層からの 展開による演算手段を用いて、仮配線時の結線態様を維持しつつ敷設してもよい。 この集積回路の設計方法の一例は図 6および図 17、図 18により把握される。  (20) In addition, this design method is used in the case where the direction of laying out the wiring after the development from the temporary wiring to the actual wiring is different in the adjacent sections due to the division of the sections. Wiring for connecting across sections may be laid near the division boundary while maintaining the connection mode at the time of temporary wiring by using arithmetic means based on development from a temporary physical wiring layer. An example of the design method of this integrated circuit can be understood from FIG. 6, FIG. 17, and FIG.
すなわち、区画の分割を行い、区画ごとに仮物理配線層と実配線層との対応関係 を異ならしめて、仮物理配線層の配線から実配線層の実配線への展開を行つた結 果、配線の敷設方向が隣接する区間にて互いに異なる場合に、前記隣接する区間 を跨レ、で結線するための配線を、演算手段を用いて仮物理配線層での配線時の結 線態様を維持しつつ、仮物理配線層から複数の実配線層への展開により形成する 実配線として敷設するしてもょレヽ。  In other words, as a result of dividing the section and making the correspondence between the temporary physical wiring layer and the actual wiring layer different for each section, and developing the wiring of the temporary physical wiring layer to the actual wiring of the real wiring layer, the wiring When the laying directions are different between adjacent sections, wiring for connecting the adjacent sections is maintained by using arithmetic means while maintaining the connection mode at the time of wiring in the temporary physical wiring layer. On the other hand, it may be laid as actual wiring formed by expanding from the temporary physical wiring layer to a plurality of actual wiring layers.
[0056] 上述した態様にて各区画毎に上記展開を行う場合、隣接する区画同士では必ずし も複数の実配線層の実配線への展開の態様が同一となるとは限らない。例えば、配 線の敷設方向が隣接する区画同士で異なる場合がある。こうした箇所については、こ れらの区画間の配線を互いに直接的に結線することは困難なものとなることがある。 そこで、同一の仮物理配線層の仮配線による結線態様を、実配線への展開がされた 後も維持すベぐこれらの区画間を跨ぐ実配線層の配線を、実配線層の乗り換えを 利用して敷設することで、両区画の結線を好適に行うことができるようになる。  When the above-described development is performed for each section in the above-described manner, the manner in which a plurality of actual wiring layers are developed to the actual wiring is not necessarily the same between adjacent sections. For example, the wiring direction may be different between adjacent sections. At these locations, it may be difficult to connect the wiring between these compartments directly to one another. Therefore, it is necessary to maintain the connection form of the same temporary physical wiring layer with the temporary wiring even after it has been deployed to the actual wiring. By laying in such a manner, the connection between the two sections can be suitably performed.
[0057] 一の実施の形態である集積回路は、基板上に形成される多層配線構造を有する集 積回路に関し、多層配線層に敷設される配線の敷設形態にその特徴を有する。その 特徴は以下の通りである。 [0058] 配線層ごとに、単位間隔を予め規定する。当該配線層への配線の敷設は、配線同 土の間隔が予め規定された単位間隔の整数倍となる態様でおこなう。従って、一の 配線層の配線は互いに平行に、同一敷設方向をもって敷設される。さらに隣接する 配線層同士でも、配線の敷設方向を同一とする領域を設ける。このような条件が設定 された隣接する配線層の一方の配線層に第 1の配線を敷設し、他方の配線には第 2 の配線を敷設する。この集積回路では、これらの配線要素を様々な態様にて敷設す ることにより、配線の電気的特性を調節する。 An integrated circuit according to one embodiment relates to an integrated circuit having a multilayer wiring structure formed on a substrate, and has a feature in a wiring configuration laid in a multilayer wiring layer. The features are as follows. [0058] A unit interval is defined in advance for each wiring layer. The wiring is laid on the wiring layer in such a manner that the spacing between the wirings is an integral multiple of a predetermined unit spacing. Therefore, the wirings of one wiring layer are laid in parallel with each other and in the same laying direction. In addition, adjacent wiring layers are provided with regions in which wiring directions are the same. The first wiring is laid on one of the adjacent wiring layers in which such conditions are set, and the second wiring is laid on the other wiring. In this integrated circuit, the electrical characteristics of the wiring are adjusted by laying these wiring elements in various modes.
以下、このような配線を用いて構成される集積回路およびその設計方法の態様の 例である。  The following is an example of an embodiment of an integrated circuit configured using such wirings and a design method thereof.
[0059] (21)—の実施の形態である集積回路は、基板上に形成される多層配線構造を有 する集積回路であり、隣接する配線の敷設間隔が配線層ごとに予め規定された単位 間隔の整数倍となる態様にて、複数の配線が互いに平行に敷設されている領域を有 する。この集積回路において、前記領域には、互いに配線の敷設方向を同一とする 、少なくとも一対の隣接する配線層が含まれてもよい。図 45はこの態様の一例を示し ている。  [0059] The integrated circuit according to the embodiment (21) is an integrated circuit having a multilayer wiring structure formed on a substrate, and the interval between adjacent wirings is a unit defined in advance for each wiring layer. There is a region in which a plurality of wirings are laid in parallel with each other in a manner of being an integral multiple of the interval. In this integrated circuit, the region may include at least a pair of adjacent wiring layers in which wiring directions are the same. FIG. 45 shows an example of this embodiment.
この集積回路は、配線の敷設方向が同一となる隣接する配線層を有することで、隣 接する配線層の上記単位間隔については、これを近似させやすいものとなっている。 このため、これら隣接する配線層間の電気的な接続を簡易に行うことができる。  Since this integrated circuit has adjacent wiring layers in which the wiring laying directions are the same, the unit spacing between adjacent wiring layers can be easily approximated. Therefore, electrical connection between these adjacent wiring layers can be easily performed.
また、配線層を隣接させることにより、中間の層に配線が敷設される場合に生ずる 他の配線との間の電気的な干渉を回避、抑制することができる。このため、微細化に 伴う諸問題に簡易に対処することができ、ひいては、より効率的な設計を行うことがで きるようになる。  In addition, by providing the wiring layers adjacent to each other, it is possible to avoid or suppress electrical interference with other wirings generated when wiring is laid in an intermediate layer. For this reason, various problems associated with miniaturization can be easily dealt with, and as a result, more efficient design can be performed.
また、上記領域においては、配線の敷設間隔が所定の単位間隔の整数倍に設定 されているために、当該集積回路の設計時における結線を規則的なパターンに従つ て簡易に行うこともできる。したがって、特に上記領域の結線を自動配線ツールにて 行う場合においては、同ツールのプログラミングの簡易化や、同ツールによる結線時 の処理の簡易化を図ることもできる。  Further, in the above-mentioned area, since the wiring laying interval is set to an integral multiple of a predetermined unit interval, the wiring at the time of designing the integrated circuit can be easily performed according to a regular pattern. . Therefore, particularly in the case where the connection in the above-mentioned area is performed by the automatic wiring tool, the programming of the tool can be simplified, and the processing at the time of connection by the tool can be simplified.
なお、この領域には、集積回路のうち論理回路が形成されることが望ましい。これに 対し、集積回路のうち上記領域以外には、メモリやアナログ回路、 I/O (入力/出力It is desirable that a logic circuit of an integrated circuit be formed in this region. to this On the other hand, memory, analog circuits, I / O (input / output)
)回路等を形成してもよい。 ) A circuit or the like may be formed.
[0060] (22)この集積回路において、配線層ごとに予め規定された単位間隔は、前記一対 の隣接する配線層において略同一に設定されてもよい。図 45はこの態様の一例を 示している。  (22) In this integrated circuit, the unit interval predetermined for each wiring layer may be set to be substantially the same in the pair of adjacent wiring layers. FIG. 45 shows an example of this embodiment.
この集積回路によれば、隣接する配線層において、上記単位間隔を略同一とする ために、これら配線層間における配線の電気的な接続等を簡易に行うことができるよ うになる。  According to this integrated circuit, electrical connection of wiring between these wiring layers can be easily performed in order to make the unit intervals substantially the same in adjacent wiring layers.
[0061] (23)この集積回路において、前記一対の隣接する配線層には、複数の配線が前 記単位間隔の 2倍以上の間隔で敷設されてもよぐ一対の隣接する配線層に敷設さ れる複数の配線は、基板への投影が互いに重ならないようにオフセットして敷設され てもよレ、。図 46はこの態様の一例を示している。  [0061] (23) In this integrated circuit, a plurality of wirings may be laid on the pair of adjacent wiring layers on the pair of adjacent wiring layers. The plurality of wirings to be provided may be laid with offset so that the projections on the substrate do not overlap each other. FIG. 46 shows an example of this embodiment.
[0062] これは、例えば配線の敷設間隔が単位間隔の 2倍で敷設されている隣接する 2層 の配線層の配線が、単位間隔分だけオフセットして敷設されているような場合を意味 する。この集積回路によれば、同一配線層内において隣接配線の間隔を大きくとるこ とができるため、隣接配線間の容量が小さくなり、クロストークノイズを抑制することが できる。また、一の配線層上の配線に着目した場合、その上方、もしくは下方の配線 層には配線は存在しないため、ビアホールを介してさらに一層上方、もしくは下層の 配線と直接接続することができる。これによつて自動配線ツールでの接続経路を計算 するのに要する時間や負荷を軽減することができるようになる。  [0062] This means, for example, a case in which the wiring of two adjacent wiring layers, which are laid at twice the unit spacing, are offset by the unit spacing. . According to this integrated circuit, the distance between adjacent wirings can be increased in the same wiring layer, so that the capacitance between adjacent wirings can be reduced, and crosstalk noise can be suppressed. In addition, when attention is paid to the wiring on one wiring layer, since there is no wiring in the wiring layer above or below, the wiring can be directly connected to the wiring further above or below via a via hole. As a result, the time and load required to calculate the connection path in the automatic wiring tool can be reduced.
[0063] (24)この集積回路において、前記一対の隣接する配線層のいずれか一方の配線 層には、互いに隣接する二本の配線が敷設されている。この隣接する二本の配線の うちいずれか一方の配線がビアホールを介して他方の配線層に乗り換えることにより 、隣接する二本の配線間の距離が実質的に遠くなるように敷設してもよい。図 47はこ の態様の一例を示してレ、る。  (24) In this integrated circuit, two adjacent wirings are laid on one of the pair of adjacent wiring layers. One of the two adjacent wirings may be laid so that the distance between the two adjacent wirings is substantially longer by switching to the other wiring layer via the via hole. . FIG. 47 shows an example of this embodiment.
[0064] この集積回路によれば、同一配線層内において一対の配線が互いに隣接する部 分を極力低減することができ、ひいては、これら一対の配線間の容量を好適に削減 すること力 Sできるようになる。また、配線層を隣接させることにより、中間の層に配線が 敷設される場合に生ずる他の配線との間の電気的な干渉を回避、抑制することがで きる。 According to this integrated circuit, it is possible to minimize the portion where a pair of wirings are adjacent to each other in the same wiring layer, and furthermore, it is possible to reduce the capacitance between the pair of wirings appropriately. Become like Also, by placing the wiring layers adjacent to each other, wiring It is possible to avoid and suppress electrical interference with other wiring that occurs when the cable is laid.
[0065] (25)この集積回路において、前記一対の隣接する配線層のいずれか一方の配線 層には、信号伝搬用の配線と電位固定用の第 1の配線とが互いに隣接して敷設され ており、他方の配線層には、電位固定用の第 2の配線力 S、前記信号伝搬用の配線を 他方の配線層へ投影して形成される領域を包含するようにして敷設されており、第 1 および第 2の配線は電気的に接続されて前記信号伝搬用の配線のシールド配線を 構成してもよレ、。図 48はこの態様の一例を示している。  (25) In this integrated circuit, a signal transmission wiring and a potential fixing first wiring are laid adjacent to each other on one of the pair of adjacent wiring layers. The other wiring layer is laid so as to include a second wiring force S for fixing potential and a region formed by projecting the signal transmission wiring onto the other wiring layer. Alternatively, the first and second wirings may be electrically connected to form a shield wiring of the signal transmission wiring. FIG. 48 shows an example of this embodiment.
[0066] この集積回路によれば、信号伝搬用の配線と、シールド配線が隣接し、他の配線が その中間配線層に敷設されないため、配線リソースを大きく損なうことなぐシールド 配線を構成することができ、クロストーク、電磁障害 (EMI)対策を簡易かつ効果的に 行うことができるようになる。  According to this integrated circuit, since the signal transmission wiring and the shield wiring are adjacent to each other and no other wiring is laid in the intermediate wiring layer, it is possible to configure a shield wiring that does not significantly impair wiring resources. This makes it easy and effective to take measures against crosstalk and electromagnetic interference (EMI).
[0067] (26)—の実施の形態である集積回路は、基板上に形成される多層配線構造を有 する集積回路であり、一対の隣接する配線層のうち一方の配線層には、信号伝搬用 の配線と電位固定用の第 1の配線とが互いに平行かつ隣接して敷設される。他方の 配線層には、電位固定用の第 2の配線が、信号伝搬用の配線を他方の配線層へ投 影して形成される領域を包含するようにして敷設されており、これら第 1および第 2の 配線が電気的に接続されて信号伝搬用の配線のシールド配線を構成してレ、る。図 4 8はこの態様の一例を示してレ、る。  The integrated circuit according to the embodiment (26) is an integrated circuit having a multilayer wiring structure formed on a substrate, and one of a pair of adjacent wiring layers has a signal Propagation wiring and first potential fixing wiring are laid in parallel and adjacent to each other. On the other wiring layer, a second wiring for fixing a potential is laid so as to cover a region formed by projecting the wiring for signal propagation to the other wiring layer, and And the second wiring is electrically connected to form a shield wiring of the signal transmission wiring. FIG. 48 shows an example of this embodiment.
[0068] この集積回路によれば、信号伝搬用の配線と、シールド配線が隣接し、他の配線が その中間配線層に敷設されないため、配線リソースを大きく損なうことなぐシールド 配線を構成することができ、クロストーク、電磁障害 (EMI)対策を簡易に行うことがで きるようになる。  According to this integrated circuit, since the signal transmission wiring and the shield wiring are adjacent to each other and no other wiring is laid in the intermediate wiring layer, it is possible to configure the shield wiring without significantly impairing the wiring resources. This makes it easier to take countermeasures against crosstalk and electromagnetic interference (EMI).
[0069] (27)一の実施の形態である集積回路の設計方法は、基板上に形成される多層配 線構造を有する集積回路の設計方法であって、レイアウトされた回路素子を結線す るために複数の配線層に配線を自動配線ツールによって敷設する。この自動配線ッ ールは、隣接する配線層間で配線の敷設方向が同一となる領域を設定し、前記領域 内の隣接する配線層の配線を、予め規定された単位間隔の整数倍の間隔にて敷設 してもょレ、。図 49および図 50はこの態様の一例を示してレ、る。 (27) An integrated circuit designing method according to one embodiment is a method for designing an integrated circuit having a multilayer wiring structure formed on a substrate, and connects circuit elements laid out. For this purpose, wiring is laid on a plurality of wiring layers using an automatic wiring tool. This automatic wiring rule sets an area in which the wiring direction is the same between adjacent wiring layers, and sets the wiring of the adjacent wiring layer in the area to an interval of an integral multiple of a unit interval defined in advance. Laying I'm sorry. FIG. 49 and FIG. 50 show an example of this embodiment.
[0070] この設計方法によれば、配線の敷設方向を同一とする隣接する配線層を設定しつ つ結線を行うために、この結線を互いに平行に敷設される配線の敷設間隔が単位間 隔の整数倍に設定される場合、 P 接する配線層の単位間隔については、これを近似 させやすい。このため、 P 接する配線層間の電気的な接続を容易に行うことができる[0070] According to this design method, in order to perform connection while setting adjacent wiring layers having the same wiring laying direction, the laying interval of the wiring laid in parallel with each other is set to the unit interval. When it is set to an integral multiple of, it is easy to approximate this for the unit spacing of wiring layers that are in P contact. For this reason, electrical connection between the wiring layers in P contact can be easily performed.
。また、隣接する配線層間に中間の配線層が存在せず、隣接する配線層間の電気 的な接続が他の配線と干渉することを回避することができる。このため、微細化に伴う 諸問題に簡易に対処することができ、ひいては、より効率的な設計を行うことができる ようになる。 . In addition, since there is no intermediate wiring layer between adjacent wiring layers, it is possible to prevent the electrical connection between adjacent wiring layers from interfering with other wiring. For this reason, various problems associated with miniaturization can be easily dealt with, and more efficient design can be achieved.
[0071] (28)この集積回路の設計方法において、自動配線ツールは、前記領域内の隣接 する配線層の一方の配線層に敷設される配線を、他方の配線層に敷設される配線 に対して、敷設方向と垂直をなす方向に配線の敷設間隔よりも小さい範囲でオフセッ トする態様にて敷設してもよい。図 46はこの態様の一例を示している。  (28) In this integrated circuit design method, the automatic wiring tool sets the wiring laid on one wiring layer of the adjacent wiring layers in the area to the wiring laid on the other wiring layer. Thus, the wiring may be laid in such a manner that it is offset in a direction perpendicular to the laying direction in a range smaller than the wiring laying interval. FIG. 46 shows an example of this embodiment.
この設計方法によれば、同一配線層内において隣接配線の間隔を大きくとることが できるため、隣接配線間の容量が小さくなり、クロストークノイズを抑制することができ る。また、一の配線層上の配線に着目した場合、その上方、もしくは下方の配線層に は配線は存在しないため、ビアホールを介してさらに一層上方、もしくは下層の配線 と直接接続することができる。これによつて自動配線ツールでの接続経路を計算する のに要する時間や負荷を軽減することができるようになる。  According to this design method, the distance between adjacent wirings can be increased in the same wiring layer, so that the capacitance between adjacent wirings can be reduced and crosstalk noise can be suppressed. In addition, when attention is paid to the wiring on one wiring layer, there is no wiring in the wiring layer above or below the wiring layer, so that the wiring can be directly connected to the wiring in the further upper or lower layer via the via hole. As a result, the time and load required to calculate the connection path in the automatic wiring tool can be reduced.
[0072] (29)この集積回路の設計方法において、自動配線ツールは、電気的特性の調整 が必要とされる配線が敷設される範囲を、互いに平行に配線が敷設される配線層が 設定される領域としてもよレ、。  (29) In this integrated circuit design method, the automatic wiring tool sets a wiring layer in which wiring is to be laid in parallel with each other in a range in which wiring for which electric characteristics need to be adjusted is laid. You can use it as an area.
この設計方法によれば、配線の敷設方向を隣接する配線層間で同一とする領域を 設けることで、隣接する配線層の単位間隔については、これを近似させやすぐ mm する配線層間の電気的な接続を容易に行うことができる。また配線層を隣接させるこ とにより、中間の層に配線が敷設される場合に生ずる他の配線との間の電気的な干 渉を回避、抑制することができる。このため、電気的特性の調整が所望される配線に ついて、同電気的特性の調整を簡易に行うことができ、ひいては、より効率的な設計 を行うことができるようになる。 According to this design method, by providing a region where the wiring laying direction is the same between the adjacent wiring layers, the unit spacing between the adjacent wiring layers can be approximated and the distance between adjacent wiring layers can be quickly reduced by an electrical distance between the wiring layers. Connection can be made easily. In addition, by making the wiring layers adjacent to each other, it is possible to avoid or suppress electrical interference with other wirings that occurs when wiring is laid in an intermediate layer. This makes it possible to easily adjust the electrical characteristics of the wiring for which the adjustment of the electrical characteristics is desired, and thus to achieve a more efficient design. Will be able to do.
[0073] (30)この集積回路の設計方法において、自動配線ツールは、前記領域に電気的 特性として低雑音化が要求される信号伝搬用の配線を敷設する場合、前記領域内 の一の配線層に信号伝搬用の配線を敷設し、一の配線層と隣接しかつ互いに配線 の敷設方向を同一とする配線層に、信号伝搬用の配線の投影を包含する態様にて シールド配線として機能する電位固定用の配線を敷設してもよい。図 48はこの態様 の一例を示している。  [0073] (30) In this integrated circuit design method, when laying wiring for signal propagation for which low noise is required as an electrical characteristic in the area, the automatic wiring tool may include one wiring in the area. A signal propagation wiring is laid on the layer, and functions as a shield wiring in a mode that includes the projection of the signal propagation wiring on a wiring layer adjacent to one wiring layer and having the same wiring laying direction. A wiring for fixing the potential may be laid. FIG. 48 shows an example of this embodiment.
この設計方法によれば、シールド配線を信号伝搬用の配線が隣接する配線層に設 けられるため、他の配線層の配線と干渉を低減することができる。これにより、配線リソ ースを大きく損なうことなぐシールド配線を構成することができ、クロストーク、電磁障 害 (EMI)対策を簡易に行うことができるようになる。  According to this design method, since the shield wiring is provided in the wiring layer adjacent to the signal transmission wiring, interference with the wiring in other wiring layers can be reduced. As a result, it is possible to configure a shield wiring that does not significantly damage the wiring resources, and it is possible to easily perform measures against crosstalk and electromagnetic interference (EMI).
[0074] なお、以上の構成要素の任意の組合せ、本発明の表現を方法、装置、システム、な どの間で変換したものもまた、本発明の態様として有効である。これらの集積回路は 、より具体的には次に説明する実施形態によって実現される。 [0074] Note that any combination of the above-described components and any conversion of the expression of the present invention between a method, an apparatus, a system, and the like are also effective as embodiments of the present invention. These integrated circuits are more specifically realized by the embodiments described below.
(第 1の実施形態)  (First Embodiment)
以下、本発明にかかる集積回路及びその設計方法を半導体集積回路及びその設 計方法に適用した第 1の実施形態について、図面を参照しつつ説明する。  Hereinafter, a first embodiment in which an integrated circuit and a method for designing the same according to the present invention are applied to a semiconductor integrated circuit and a method for designing the same will be described with reference to the drawings.
[0075] 図 1に、本実施形態にかかる半導体集積回路の構成を示す。同図 1 (a)に示す半 導体集積回路 1は、論理回路部 2とアナログ回路部 3とを備えている。そして、本実施 形態では、論理回路部 2は、自動配置配線ツールを用いて設計される部分となって いる。 FIG. 1 shows a configuration of a semiconductor integrated circuit according to the present embodiment. The semiconductor integrated circuit 1 shown in FIG. 1A includes a logic circuit unit 2 and an analog circuit unit 3. In the present embodiment, the logic circuit unit 2 is a part designed using an automatic placement and routing tool.
[0076] はじめに本発明に係る半導体集積回路の配線層の構成について説明する。 自動 配線ツールは所定のグリッド上に配線を敷設していくため、以下の配線の敷設間隔 は、グリッドの間隔に対応すると考えられる。図 1 (b)に、論理回路部 2の第 (n+ 1)層 目の配線層の配線を、また、図 1 (c)に、論理回路部 2の第 n層目の配線層の配線を それぞれ示す。同図 1 (b)に示すように、第 (n+ 1)層目の配線層の各配線は、互い に平行に設けられており、配線の敷設間隔が所定の単位間隔 Paの整数倍に設定さ れている。また、図 1 (c)に示すように、第 n層目の配線層の配線は、互いに平行に設 けられており、配線の敷設間隔が所定の単位間隔 Pbの整数倍に設定されている。 First, the configuration of the wiring layer of the semiconductor integrated circuit according to the present invention will be described. Since the automatic wiring tool lays out wiring on a predetermined grid, the following wiring laying intervals are considered to correspond to grid intervals. FIG. 1 (b) shows the wiring of the (n + 1) th wiring layer of the logic circuit section 2, and FIG. 1 (c) shows the wiring of the nth wiring layer of the logic circuit section 2. Shown respectively. As shown in Fig. 1 (b), each wiring of the (n + 1) th wiring layer is provided in parallel with each other, and the wiring laying interval is set to an integral multiple of a predetermined unit interval Pa. Has been done. Also, as shown in FIG. 1 (c), the wiring of the n-th wiring layer is provided in parallel with each other. The wiring interval is set to an integral multiple of a predetermined unit interval Pb.
[0077] すなわち、例えば第 (n+ 1)層目の配線層において、配線 Lai及び配線 La2間、配 線 La2及び配線 La3間については、配線の敷設間隔が単位間隔 Paの「1」倍となつ ている。また、配線 La3及び配線 La4間については、配線の敷設間隔が単位間隔 Pa の「2」倍となっている。 That is, for example, in the (n + 1) th wiring layer, between the wiring Lai and the wiring La2 and between the wiring La2 and the wiring La3, the wiring laying interval is “1” times the unit interval Pa. ing. Also, between the wiring La3 and the wiring La4, the wiring laying interval is "2" times the unit interval Pa.
[0078] そして、これら隣接する配線層同士である第 (n+ 1)層目及び第 n層目の配線層に おいて、上記配線の敷設方向(信号線にあっては信号の伝搬方向)が互いに同一と されている。また、これら隣接する配線層同士である第 (n+ 1)層目及び第 n層目の 配線層において、上記単位間隔 Pa及び単位間隔 Pbは互いに同一となっている。更 に、これら隣接する配線層同士である第 (n+ 1)層目及び第 n層目の配線層におい て、任意の一方の配線層の配線を他方の配線層に投影したものが同他方の配線層 の配線と同一となっている。すなわち、例えば第 (n+ 1)層目の配線層の配線 La4を 第 n層目の配線層へ垂直投影したものは、配線 Lb4と同一となっている。  In the (n + 1) -th and n-th wiring layers that are adjacent wiring layers, the wiring laying direction (the signal propagation direction in the case of the signal line) is changed. They are the same as each other. In the (n + 1) -th and n-th wiring layers adjacent to each other, the unit spacing Pa and the unit spacing Pb are the same. Further, in the (n + 1) -th and n-th wiring layers, which are adjacent wiring layers, a wiring of any one wiring layer projected on the other wiring layer is the same as the other wiring layer. It is the same as the wiring in the wiring layer. That is, for example, the wiring La4 of the (n + 1) th wiring layer vertically projected onto the nth wiring layer is the same as the wiring Lb4.
[0079] 本実施形態に係る半導体集積回路においては、これら隣接する配線層である第 (n  In the semiconductor integrated circuit according to the present embodiment, the (n)
+ 1)層目及び第 n層目の配線層を利用して、以下に説明する図 2—図 4に示すいず れかの配線を構成することにより、配線の電気的特性を調節する。  +1) The electrical characteristics of the wiring are adjusted by configuring any of the wirings shown in FIGS. 2 to 4 described below using the wiring layers of the nth and nth layers.
[0080] 図 2について説明する。図 2 (a)及び図 2 (b)は、例えば先の図 1に示した配線 La4 及び配線 Lb4を示している。ここで、各配線層の表面は、 X方向及び y方向にて張ら れる平面とするとともに、配線敷設方向を X方向としている。これら各配線 La4及び配 線 Lb4は、上述したように互いの垂直投影と同一の形状となっていることを図 2 (c)は 示している。そして、これら配線 La4及び配線 Lb4は、図 2 (d)に示すように、複数の 箇所 (B1及び B2)でビアホール内に形成されたプラグ pgl、pg2により互いに電気的 に接続されている。  FIG. 2 will be described. FIGS. 2A and 2B show, for example, the wiring La4 and the wiring Lb4 shown in FIG. Here, the surface of each wiring layer is a plane extending in the X direction and the y direction, and the wiring laying direction is the X direction. FIG. 2 (c) shows that each of the wiring La4 and the wiring Lb4 has the same shape as the vertical projection of each other as described above. Then, as shown in FIG. 2D, the wiring La4 and the wiring Lb4 are electrically connected to each other by plugs pgl and pg2 formed in the via holes at a plurality of locations (B1 and B2).
[0081] これにより、第 (n— 1)層目の配線層内に定義される所定の 2力所 Pl、 P2のうちの一 方から他方へと、互いに電気的に並列に接続された配線 La4及び配線 Lb4のそれ ぞれを介して単一の信号が伝搬する。詳しくは、第 (n— 1)層目の配線層の配線 Lcl と配線 Lb4とのプラグ pg3による接続箇所である PIと、同第 (n— 1)層目の配線層の 配線 Lc2と配線 Lb4とのプラグ pg4による接続箇所である P2との間を、配線 La4及び 配線 Lb4のそれぞれを介して信号が伝搬する。 [0081] Accordingly, the wirings electrically connected in parallel to each other from one of the predetermined two places Pl and P2 defined in the (n-1) -th wiring layer A single signal propagates through each of La4 and wiring Lb4. For details, PI which is the connection point of the wiring Lcl and the wiring Lb4 of the (n-1) th wiring layer by the plug pg3, the wiring Lc2 and the wiring Lb4 of the (n-1) th wiring layer Wiring La4 and the connection between P2 which is the connection point by pg4 A signal propagates through each of the lines Lb4.
[0082] このため、実効的な配線幅、すなわち集積回路の基板面に対する当該配線の垂直 投影の配線幅を拡大することなぐ配線の抵抗を削減することができるようになる。特 に、配線の表面積自体は、複数の配線の並列化によって全体として増大することとな るため、表皮効果による抵抗の増大を低減することもできる。そして、こうした抵抗の 削減により、集積回路の高速化や、低消費電力化を図ることができる。なお、こうした 配線を電源配線に適用することで、エレクト口マイグレーション対策や IRドロップ対策 を行うこと力 Sできる。 [0082] For this reason, the resistance of the wiring can be reduced without increasing the effective wiring width, that is, the wiring width of the wiring perpendicularly projected to the substrate surface of the integrated circuit. In particular, since the surface area of the wiring itself is increased as a whole by paralleling a plurality of wirings, the increase in resistance due to the skin effect can be reduced. By reducing such resistance, the speed of the integrated circuit can be increased and the power consumption can be reduced. By applying such wiring to power wiring, it is possible to take measures against electrification port migration and IR drop.
[0083] 次に、図 3について説明する。図 3 (a)及び図 3 (b)は、例えば先の図 1に示した配 線 La3及び配線 Lb3を示している。ここで、各配線層の表面は、 X方向及び y方向に て張られる平面とするとともに、配線敷設方向を X方向としている。これら各配線 La3 及び配線 Lb3は、上述したように互いの垂直投影と同一の形状となっていることを図 3 (c)は示している。  Next, FIG. 3 will be described. FIGS. 3A and 3B show, for example, the wiring La3 and the wiring Lb3 shown in FIG. Here, the surface of each wiring layer is a plane extending in the X direction and the y direction, and the wiring laying direction is the X direction. FIG. 3C shows that each of the wiring La3 and the wiring Lb3 has the same shape as the vertical projection of each other as described above.
[0084] 図 3 (d)に示すように、配線 La3及び配線 Lb3は、互いに信号の伝搬方向を反転さ せる態様にて、ビアホール内のプラグ pg5により直列に接続されている。このように互 いに信号の伝搬方向を反転させる態様にて直列に接続されている配線を信号が伝 搬するために、実効的な配線長 (集積回路の基板面に対する当該配線の垂直投影 の配線長)を拡大することなぐ配線の抵抗を増大させることができる。  As shown in FIG. 3 (d), the wiring La3 and the wiring Lb3 are connected in series by the plug pg5 in the via hole in such a manner that the signal propagation direction is reversed. In order for the signal to propagate through the wires connected in series in such a manner that the signal propagation direction is reversed, the effective wire length (the vertical projection of the wire on the substrate surface of the integrated circuit) is used. The wiring resistance can be increased without increasing the wiring length).
[0085] 次に、図 4について説明する。図 4 (a)及び図 4 (b)は、例えば先の図 1に示した配 線 La2、 Lai及び配線 Lb2、 Lblを示している。ここで、各配線層の表面は、 x方向及 び y方向にて張られる平面とするとともに、配線敷設方向を X方向としている。これら各 配線 La2及び配線 Lb2や、各配線 Lai及び配線 Lblは、上述したように互いの垂直 投影と同一の形状となっていることを図 4 (c)は示している。  Next, FIG. 4 will be described. FIGS. 4A and 4B show, for example, the wirings La2 and Lai and the wirings Lb2 and Lbl shown in FIG. Here, the surface of each wiring layer is a plane extending in the x direction and the y direction, and the wiring laying direction is the X direction. FIG. 4C shows that each of the wiring La2 and the wiring Lb2 and each of the wiring Lai and the wiring Lbl have the same shape as the vertical projection of each other as described above.
[0086] そして、図 4 (d)に示すように、第 (n+ 1)層目の配線層に設けられた配線 La2と第 n 層目の配線層に設けられた配線 Lb2と力 ビアホールに設けられたプラグ pg6によつ て互いに電気的に接続されている。また、図 4 (e)に示すように、第 (n+ 1)層目の配 線層に設けられた配線 Laiと第 n層目の配線層に設けられた配線 Lblとがビアホー ルに設けられたプラグ pg7によって互いに接続されている。 [0087] なお、ここで、配線 La2又は配線 Lb2のいずれか一方と配線 Lai及び配線 Lblの いずれか一方とは片側がオープンとされるダミー配線として機能する。すなわち、こ れらダミー配線は、プラグ Pg6、 Pg7によって一端が他の配線と接続されているもの の、その他端についてはオープンとされている。したがって、上記他の配線が信号伝 搬用の配線であったとしてもダミー配線は、信号の伝搬経路とはならない。また、上 記他の配線が給電用の配線であったとしても、ダミー配線は給電経路にならなレ、。 Then, as shown in FIG. 4D, the wiring La2 provided in the (n + 1) th wiring layer, the wiring Lb2 provided in the nth wiring layer, and the power via hole are provided. Are electrically connected to each other by the plug pg6. Further, as shown in FIG. 4 (e), the wiring Lai provided in the (n + 1) th wiring layer and the wiring Lbl provided in the nth wiring layer are provided in the via hole. Connected to each other by plug pg7. Here, one of the wiring La2 and the wiring Lb2 and one of the wiring Lai and the wiring Lbl function as a dummy wiring in which one side is open. That is, these dummy wirings are connected at one end to other wirings by the plugs Pg6 and Pg7, but are open at the other ends. Therefore, even if the other wiring is a signal transmission wiring, the dummy wiring does not become a signal propagation path. Also, even if the other wiring is a power supply wiring, the dummy wiring does not become a power supply path.
[0088] こうした構成により、第 (n+ 1)層目の配線層の隣接する配線 La2及び配線 Lai間 の容量に、これを投影した領域に設けられた配線 Lb2及び配線 Lbl間の容量が加わ ること力 、隣接する配線間の容量を増大することができる。し力、もこの際、配線の敷 設間隔の低減や配線長の増大をしなくても容量を増大させることができるため、デザ インルールの制約を受けたり抵抗の増大を招いたりすることもない。  With such a configuration, the capacitance between the wiring Lb2 and the wiring Lbl provided in a region where the wiring La2 and the wiring Lai are projected is added to the capacitance between the adjacent wiring La2 and the wiring Lai in the (n + 1) th wiring layer. In addition, the capacitance between adjacent wirings can be increased. In this case, the capacity can be increased without reducing the wiring interval or increasing the wiring length, so that the design rule is restricted and the resistance is increased. Absent.
[0089] なお、これら配線 La2及び配線 Laiが、それぞれ電源電圧に固定された配線及び 接地された配線である場合には、電源の安定化に有効である。すなわち、上記構成 によれば、こうした配線へのノイズの混入を好適に抑制することができるため、電磁障 害を好適に抑制することができるからである。  [0089] If the wiring La2 and the wiring Lai are a wiring fixed to the power supply voltage and a wiring grounded, respectively, it is effective in stabilizing the power supply. That is, according to the above-described configuration, it is possible to appropriately suppress the noise from being mixed into the wiring, so that it is possible to preferably suppress the electromagnetic interference.
[0090] このように本実施形態では、図 2—図 4に示す構成を有する配線を備えることで、配 線の抵抗値の調整や配線間の容量の調整を行うことができる。このため、集積回路 に用いる新規材料の開発を行わなくても、微細化に伴う諸問題に簡易に対処するこ とができるようになる。  As described above, in the present embodiment, by providing the wiring having the configuration shown in FIGS. 2 to 4, it is possible to adjust the resistance value of the wiring and the capacitance between the wirings. For this reason, various problems associated with miniaturization can be easily dealt with without developing new materials used for integrated circuits.
[0091] また、図 2—図 4に示す構成を有する配線は、自動配線ツールを用いて敷設された 配線を用いて簡易に実現することができる。すなわち、自動配線ツールを用いて敷 設された所定の配線層(仮物理配線層)の配線を、複数の配線層(実配線層)の配線 であって且つ互いに同一形状を有する複数の配線に変換することで簡易に実現する こと力 Sできる。特にこうした設計手法を適用するに際しては、上記特徴を備えた配線 構造は、逆に、複数の実配線層の配線を元の 1層からなる仮物理配線層の配線へと 逆変換することが可能な構造ともなつている。すなわち、上記配線構造は、配線経路 の複数の実配線層の配線への変換と、同複数の実配線層の配線のこれよりも少なレ、 配線層の配線への逆変換とが可能な可逆な構造となっている。このため、配線構造 を確定させるベく上記変換や逆変換を行う試行錯誤に際して、変換前後の相互関係 の履歴情報を用いずとも、絶えず元の仮物理配線層の配線の敷設状態に逆変換す ることちでさる。 The wiring having the configuration shown in FIGS. 2 to 4 can be easily realized by using the wiring laid using the automatic wiring tool. That is, the wiring of a predetermined wiring layer (temporary physical wiring layer) laid using the automatic wiring tool is replaced with a plurality of wirings of a plurality of wiring layers (real wiring layers) having the same shape as each other. It can be easily realized by conversion. In particular, when applying such a design method, the wiring structure with the above features can reverse the wiring of multiple real wiring layers to the wiring of the temporary physical wiring layer consisting of one original layer. Structure. In other words, the above-mentioned wiring structure is reversible in which the conversion of the wiring path into the wiring of a plurality of real wiring layers and the conversion of the wiring of the plurality of real wiring layers to a lesser extent and the inverse conversion to the wiring of the wiring layer are possible. It has a simple structure. Therefore, the wiring structure In the trial and error of the above-mentioned conversion and the inverse conversion, it is always necessary to use the history information of the interrelationship before and after the conversion without constantly using the original laying state of the temporary physical wiring layer. .
以下、こうした配線構成を有する集積回路の設計手順について詳細に説明する。  Hereinafter, a procedure for designing an integrated circuit having such a wiring configuration will be described in detail.
[0092] 図 5は、本実施形態にかかる集積回路の設計支援装置の構成を示すブロック図で ある。なお、この支援装置はスタンダードセル方式の設計を支援する装置として構成 されている。 FIG. 5 is a block diagram showing a configuration of an integrated circuit design support apparatus according to the present embodiment. This support device is configured as a device that supports the design of the standard cell system.
はじめに、同支援装置を構成する各部の機能について説明する。  First, the function of each unit constituting the support apparatus will be described.
[0093] ライブラリ 10は、集積回路を構成すべき各種機能セルのセル情報や、それら機能 セルの遅延情報、セットアップ及びホールドタイムに関する制約情報等、それら機能 セルの性能情報が格納される部分である。ここで、各種機能セルは、論理演算素子( 論理積、論理和、排他的論理和、排他的論理積、否定等)やフリップフロップ、 RAM 等のメモリ、 A/D等のアナログ素子等又はそれらを用いて形成される回路である。 更に、ライブラリ 10は、上記各機能セルの面積情報等、同機能セルのレイアウトに関 する情報が格納される部分でもある。 [0093] The library 10 is a part in which performance information of the function cells, such as cell information of various function cells to be included in the integrated circuit, delay information of the function cells, and constraint information on setup and hold time, is stored. . Here, various functional cells include logical operation elements (logical product, logical sum, exclusive logical sum, exclusive logical product, negation, etc.), flip-flops, memories such as RAM, analog devices such as A / D, and the like. This is a circuit formed by using. Further, the library 10 is also a part in which information on the layout of the functional cells, such as the area information of each functional cell, is stored.
[0094] また、設計仕様格納部 12は、例えばハードウェア記述言語 (HDL)で記述された集 積回路の機能及び構造に関する情報が格納される部分である。詳しくは、 RTL ( resistor transfer level)やゲートレベル等で表現された回路情報や、動作周波数等の タイミ [0094] The design specification storage section 12 is a section for storing information on the function and structure of the integrated circuit described in, for example, a hardware description language (HDL). For details, circuit information expressed by RTL (resistance transfer level), gate level, etc., and timing such as operating frequency
ング、電力条件などが格納されている部分である。ここで、例えばゲートレベルの回 路情報は、上記ライブラリ 10で定義されるセルから、使用されるセルの種類や数並び にこれらの論理的な結線情報からなるネットリストである。  This is a part in which information such as power and power conditions are stored. Here, for example, the circuit information at the gate level is a netlist composed of the types and numbers of cells used and the logical connection information from the cells defined in the library 10.
[0095] 更に、プロセスパラメータ 14は、指定されたデザインルール (製造工程における最 小加工精度に関する規定、素子サイズや最小配線間隔等を規定するルール)に応じ た素子特性や、材質毎の配線特性等に関する情報が格納される部分である。  [0095] Further, the process parameters 14 include element characteristics according to designated design rules (rules regarding the minimum processing accuracy in the manufacturing process, rules specifying the element size and the minimum wiring interval, etc.), and the wiring characteristics for each material. This is a part in which information relating to etc. is stored.
[0096] 仮物理配線層ルール 16は、 自動配線ツールによって結線を行う配線層である仮物 理配線層の配線を上記実配線層の配線に変換する際のルールが格納される部分で ある。すなわち、所定の配線を先の図 2や図 3に示した構造の配線に変換するルー ルゃ、隣接する一対の配線を先の図 4に示した構造の配線に変換するルール等が 格納される。 [0096] The temporary physical wiring layer rule 16 is a part in which rules for converting wiring of the temporary physical wiring layer, which is a wiring layer to be connected by the automatic wiring tool, into wiring of the actual wiring layer are stored. That is, a route for converting a predetermined wiring into a wiring having the structure shown in FIGS. 2 and 3 above. A rule for converting a pair of adjacent wires into a wire having the structure shown in FIG. 4 is stored.
なお、これらライブラリ 10,設計仕様格納部 12、プロセスパラメータ 14、仮物理配 線層ルール 16は、ハードディスク装置等の記憶装置を備えて構成されている。  The library 10, the design specification storage unit 12, the process parameters 14, and the provisional physical wiring layer rules 16 are provided with storage devices such as a hard disk device.
[0097] これに対し、回路変数算出部 20は、外部からの入力データに基づき、上記仮物理 配線層ルール 16に格納されるルールによって変換される配線の回路変数を算出す る部分である。 [0097] On the other hand, the circuit variable calculation unit 20 is a part that calculates circuit variables of wiring converted by the rules stored in the tentative physical wiring layer rule 16 based on externally input data.
[0098] また、 自動配置配線ツールとしての自動配置部 22と自動配線部 24とは、レイアウト 設計を行う部分である。すなわち、 自動配置部 22は、上記機能セルの自動配置を行 う部分であり、自動配線部 24は、それら配置された機能セル間の結線を行う部分で ある。これら上記機能セルの自動配置、及びそれら配置された機能セル間の結線は 、上記機能セルに対応する上記ライブラリ 10の有するレイアウトデータを用いて行な われる。  [0098] The automatic placement unit 22 and the automatic routing unit 24 as automatic placement and routing tools are parts for performing layout design. That is, the automatic arranging unit 22 is a unit for automatically arranging the functional cells, and the automatic wiring unit 24 is a unit for connecting the arranged functional cells. The automatic arrangement of the functional cells and the connection between the arranged functional cells are performed using the layout data of the library 10 corresponding to the functional cells.
[0099] この自動配線部 24で配線経路が生成された回路のネットリストは、タイミング解析部 30に供給される。このネットリストは、階層構造を保持しており、各機能セルから構成 される機能ブロック内のネットリストと機能ブロック間のネットリストとからなる。  [0099] The netlist of the circuit for which the wiring route is generated by the automatic wiring unit 24 is supplied to the timing analysis unit 30. This netlist has a hierarchical structure, and is composed of a netlist in a functional block composed of each functional cell and a netlist between functional blocks.
[0100] このタイミング解析部 30は、上記ネットリストや、プロセスパラメータ 14、仮物理配線 層ルール 16に基づき、タイミング解析を行う部分である。回路変数決定部 32は、上 記タイミング解析に基づき、仮物理配線層上の配線の回路変数を決定する部分であ る。また、配線層展開部 34は、上記決定された回路変数に基づき仮物理配線層を 当該集積回路の実際の配線層とする実配線層へと展開する部分である。  [0100] The timing analysis unit 30 is a part that performs timing analysis based on the netlist, the process parameters 14, and the provisional physical wiring layer rules 16. The circuit variable determination unit 32 is a part that determines the circuit variable of the wiring on the temporary physical wiring layer based on the above timing analysis. The wiring layer developing unit 34 is a part that develops a temporary physical wiring layer into an actual wiring layer that is an actual wiring layer of the integrated circuit based on the determined circuit variables.
[0101] 更に、マスク算出部 40は、最終的なレイアウトパターンとなるデータ(レイアウトデー タ)に基づき集積回路の製造時に用いるマスクパターンとなるデータ(マスクデータ) を作成する部分である。  [0101] Further, the mask calculation unit 40 is a unit that creates data (mask data) serving as a mask pattern used in manufacturing an integrated circuit based on data (layout data) serving as a final layout pattern.
[0102] なお、上記自動配置部 22や、 自動配線部 24、タイミング解析部 30、回路変数決定 部 32、配線層展開部 34、マスク算出部 40は、これらの行う処理に関するプログラム を記憶する半導体メモリやハードディスク装置等からなる記憶装置とコンピュータとを 備えて構成されている。 [0103] その他、入力部 50は、タツチペンやキーボード、マウス等の入力装置からなって、レ ィアウト設計のための各種情報や命令を入力する部分である。また、画像表示部 52 は、上記入力情報やレイアウト図等を可視表示する部分である。一方、制御部 54は 、この画像表示部 52をはじめ、上述した自動配置部 22、 自動配線部 24、タイミング 解析部 30、回路変数決定部 32、配線層展開部 34、マスク算出部 40等の動作を統 轄する部分である。 [0102] The automatic placement unit 22, the automatic wiring unit 24, the timing analysis unit 30, the circuit variable determination unit 32, the wiring layer development unit 34, and the mask calculation unit 40 include a semiconductor device that stores a program related to the processing to be performed. It is configured to include a storage device such as a memory and a hard disk device and a computer. [0103] In addition, the input unit 50 is a unit that includes various input devices such as a touch pen, a keyboard, and a mouse, and that inputs various information and commands for layout design. The image display section 52 is a section for visually displaying the input information, the layout diagram, and the like. On the other hand, the control unit 54 includes the image display unit 52, the above-described automatic placement unit 22, automatic wiring unit 24, timing analysis unit 30, circuit variable determination unit 32, wiring layer development unit 34, mask calculation unit 40, and the like. This is the part that controls the operation.
[0104] 次に、こうした構成を有する設計支援装置を用いて行われる本実施形態にかかる 集積回路の設計手順について説明する。図 6に、本実施形態に力かる集積回路の 設計手順を示す。  Next, a procedure for designing an integrated circuit according to the present embodiment, which is performed using the design support apparatus having such a configuration, will be described. FIG. 6 shows a procedure for designing an integrated circuit that is useful in this embodiment.
[0105] この一連の処理においては、まずステップ S100において、セルの自動配置の後に 自動配線ツールを用レ、て結線を行う際に用レ、る配線層として仮物理配線層を定義す る。すなわち、上記入力部 50を通じて、当該集積回路の製造時の制約等から仮物理 配線層として用いることのできる配線層数を設定する。この配線層数は、上記製造時 の制約等から決まる最大の実配線層数以下に設定する。  In this series of processing, first, in step S100, a temporary physical wiring layer is defined as a wiring layer to be used when automatic wiring is used after automatic cell placement and wiring is performed. That is, the number of wiring layers that can be used as temporary physical wiring layers is set through the input unit 50 due to restrictions at the time of manufacturing the integrated circuit. The number of wiring layers is set to be equal to or less than the maximum number of actual wiring layers determined by the above-mentioned restrictions at the time of manufacturing.
続くステップ S110では、上記ステップ S100にて定義された仮物理配線層を用い てレイアウト設計を行う。ここではまず、上記ライブラリ 10に格納されている機能セル に関するレイアウト情報や、上記設計仕様格納部 12に格納されたゲートレベルの回 路情報が自動配置部 22に入力される。そして、 自動配置部 22において、このゲート レベルの回路情報に基づき、機能セルの自動配置が行われる。次に、上記自動配 線部 24において、上記設計仕様格納部 12に格納されている配置の終了した機能セ ルの結線情報を用いて各機能セル間の結線を行う。  In the following step S110, layout design is performed using the temporary physical wiring layer defined in step S100. Here, first, the layout information on the functional cells stored in the library 10 and the gate-level circuit information stored in the design specification storage unit 12 are input to the automatic arrangement unit 22. Then, the automatic placement unit 22 performs automatic placement of functional cells based on the gate-level circuit information. Next, in the automatic wiring unit 24, connection between the function cells is performed using the connection information of the function cells for which arrangement has been completed stored in the design specification storage unit 12.
[0106] 次に、ステップ S120では、回路変数決定部 32により、上記結線の終了した集積回 路について、それら各結線に力、かる配線の回路変数を決定する。ここでは、タイミン グ等の設計制約を満たし、且つ所定の仮物理配線層の各配線を、複数の配線層(実 配線層)の配線であって且つ互いに同一形状を有する複数の配線に変換することで 実現されるもののうち、実配線層の数が最小となる回路変数に決定する。  Next, in step S 120, the circuit variables of the integrated circuits for which the above-mentioned connections have been completed are determined by the circuit-variable determining unit 32. Here, each wiring of a predetermined temporary physical wiring layer that satisfies design constraints such as timing is converted into a plurality of wirings that are wirings of a plurality of wiring layers (real wiring layers) and have the same shape as each other. The circuit variables that minimize the number of actual wiring layers among those realized in this way are determined.
[0107] 具体的には、例えばまず仮物理配線層を実配線層へと展開しない場合の回路変 数にてタイミング解析部 30によってタイミング解析を行レ、、タイミング違反の有無を解 析する。 [0107] Specifically, for example, first, the timing analysis is performed by the timing analysis unit 30 using a circuit variable when the temporary physical wiring layer is not expanded to the actual wiring layer, and the presence or absence of a timing violation is analyzed. Analyze.
[0108] そして、上記回路変数によってはタイミング違反箇所が生じる場合には、所定の仮 物理配線層を実配線層に展開した場合に得られる回路変数を用レ、て再度タイミング 解析を行う。すなわちまず、仮物理配線層に設けられる配線による電気的な接続を、 互いの配線層の投影が自身の配線層の配線と同一となる複数の配線層からなる実 配線層の配線に変換した場合の回路変数を設定する。すなわち、仮物理配線層の 所定の配線による電気的な接続を、例えば先の図 2—図 4に示す 2層に渡る配線に て実現可能とした場合に得られる特性が、上記回路変数として設定される。  When a timing violation part occurs depending on the circuit variable, the timing analysis is performed again by using a circuit variable obtained when a predetermined temporary physical wiring layer is expanded to an actual wiring layer. That is, first, when the electrical connection by the wiring provided in the temporary physical wiring layer is converted into the wiring of the actual wiring layer including a plurality of wiring layers in which the projection of each wiring layer is the same as the wiring of its own wiring layer. Set the circuit variables of That is, the characteristics obtained when the electrical connection by the predetermined wiring of the temporary physical wiring layer can be realized by, for example, the wiring over two layers shown in FIGS. 2 to 4 are set as the above circuit variables. Is done.
[0109] 例えば図 7 (a)に示す回路情報に対応した仮物理配線層でのレイアウトが、図 7 (b) 及び図 7 (c)のようになり、上記プロセスパラメータ 14によりその抵抗値が Rに設定さ れるとする。このとき、図 2に示した構造の配線に変換された場合にはその抵抗値は R/2となり、また、図 3に示した構造の配線に変換された場合にはその抵抗値は 2R になる。したがって、仮物理配線層の配線を図 2、 3に示した構造を有する 2つの配線 層からなる実配線層の配線に変換する場合、回路変数としての抵抗値は、 R/2、 2 Rとなる。なお、図 7 (b)及び図 7 (c)に示す仮物理配線層での配線の全長に対して ではなぐこれら配線の一部の領域に対して図 2又は図 3に示す構造の配線への変 換をすることも可能である。この場合、回路変数としての抵抗値は、 R/2— R、 R— 2 Rの間で設定できることとなる。  For example, the layout in the temporary physical wiring layer corresponding to the circuit information shown in FIG. 7A is as shown in FIG. 7B and FIG. Suppose it is set to R. At this time, when converted to the wiring of the structure shown in Fig. 2, the resistance value becomes R / 2, and when converted to the wiring of the structure shown in Fig. 3, the resistance value becomes 2R. Become. Therefore, when the wiring of the temporary physical wiring layer is converted into the wiring of the real wiring layer having the two wiring layers having the structure shown in FIGS. 2 and 3, the resistance values as circuit variables are R / 2 and 2R. Become. It should be noted that a part of these wirings, which do not correspond to the entire length of the wiring in the provisional physical wiring layer shown in FIGS. It is also possible to convert In this case, the resistance value as a circuit variable can be set between R / 2-R and R-2R.
[0110] また、例えば図 8 (a)に示す回路情報に対応した仮物理配線層でのレイアウトの平 面図が図 8 (b)に示すものとなり、その断面図が図 8 (c)及び図 8 (d)に示すものとなる とする。このとき、図 4に示した構造の配線に変換された場合には、一対の配線間の 容量は略 2倍となる。  [0110] Further, for example, a plan view of a layout in the provisional physical wiring layer corresponding to the circuit information shown in Fig. 8 (a) is shown in Fig. 8 (b), and its cross-sectional views are shown in Figs. It is assumed to be as shown in Fig. 8 (d). At this time, when the wiring is converted into the wiring having the structure shown in FIG. 4, the capacitance between the pair of wirings is approximately doubled.
[0111] こうした展開可能な実配線層の数は、上記製造時の制約等から決まる最大の実配 線層数と上記仮物理配線層の数とに基づき決定される。すなわち、上記最大の実配 線層数が 6層であり、仮物理配線層の数を 5層で実現した場合には、仮物理配線層 のうちいずれか一層の配線層が 2層からなる実配線層に展開可能である。  [0111] The number of such deployable real wiring layers is determined based on the maximum number of real wiring layers and the number of temporary physical wiring layers, which are determined by the restrictions at the time of manufacturing and the like. In other words, if the maximum number of actual wiring layers is six and the number of temporary physical wiring layers is five, then any one of the temporary physical wiring layers will have two real layers. It can be developed in the wiring layer.
[0112] ちなみに、上記回路変数は、上記入力部 50からの最大の配線層数及び仮物理配 線層数の入力に基づいて、上記回路変数算出部 20により算出される。そして、この 仮物理配線層の回路変数は、上記仮物理配線層ルール 16に格納される。なお、上 記回路変数の取りうる範囲を拡大させるためには、仮物理配線層数を少なめに設定 することが望ましい。 The circuit variables are calculated by the circuit variable calculating section 20 based on the maximum number of wiring layers and the number of provisional physical wiring layers input from the input section 50. And this The circuit variables of the temporary physical wiring layer are stored in the temporary physical wiring layer rule 16 described above. In order to expand the range of the above-mentioned circuit variables, it is desirable to set the number of temporary physical wiring layers small.
[0113] こうした実配線層への展開に際しては、展開される実配線層数を、 2層、 3層という 具合に段階的に増大させてレ、くことが望ましい。そして、タイミング違反が無くなった 時点における回路変数を実現する実配線層を最終的に用いる実配線層として決定 する。  [0113] When deploying such actual wiring layers, it is desirable to gradually increase the number of actual wiring layers to be developed, such as two or three layers. Then, the actual wiring layer that realizes the circuit variables at the time when the timing violation is eliminated is determined as the actual wiring layer to be used finally.
[0114] なお、上記のように実配線層数を段階的に増加させてレ、く代わりに、可能な全ての 実配線層数に対応した各回路変数によるタイミング解析を全て行レ、、その結果に基 づき回路変数を決定するようにしてもよい。  [0114] As described above, the number of actual wiring layers is increased stepwise, and instead, all timing analysis is performed by circuit variables corresponding to all possible actual wiring layers. The circuit variable may be determined based on the result.
[0115] 続くステップ S130においては、仮物理配線層の配線を上記ステップ S120にて決 定された回路変数を実現する実配線層の配線へと展開する。すなわち、例えば先の 図 7 (b)及び図 7 (c)に示した配線を、先の図 2又は図 3に示す 2層の配線に変換する 。また例えば先の図 8 (b)—図 8 (d)に示した一対の配線を、先の図 4に示した一対の 配線に変換する。  [0115] In the following step S130, the wiring of the temporary physical wiring layer is developed to the wiring of the actual wiring layer that realizes the circuit variables determined in step S120. That is, for example, the wiring shown in FIG. 7B and FIG. 7C is converted into the two-layer wiring shown in FIG. 2 or FIG. Further, for example, the pair of wires shown in FIGS. 8B to 8D is converted to the pair of wires shown in FIG.
[0116] 次に、ステップ S140では、ステップ S110において仮物理配線層を用いた結線が なされ、且つステップ S130において実配線層へと修正がなされた部分を有する集積 回路のレイアウトの妥当性を確認する。ここでは、例えば上記タイミング制約に加えて 、レ、くつかの製造時における制約等を考慮することが望ましい。こうした制約としては 、例えば、集積回路の製造工程において、トランジスタのゲートに接続される配線か らそのゲートに蓄積される電荷によるゲート絶縁膜の破壊を防止するアンテナルール 力ある。これは、例えば製造工程において、ゲートと接続される配線が所定の配線長 を超えなレ、ようにするとレ、う制約である。  [0116] Next, in step S140, the validity of the layout of the integrated circuit in which the connection using the temporary physical wiring layer is made in step S110 and the portion is modified to the real wiring layer in step S130 is checked. . Here, for example, in addition to the above-described timing constraints, it is desirable to consider constraints and the like at the time of manufacturing a few. Such a restriction is, for example, an antenna rule that prevents a gate insulating film from being destroyed by a charge accumulated in a gate connected to a transistor in a manufacturing process of the integrated circuit. This is a restriction, for example, in the manufacturing process, when the wiring connected to the gate does not exceed a predetermined wiring length.
[0117] なお、このアンテナルールは、ステップ S110やステップ S120において考慮される ようにしてもよい。この場合、アンテナルールに従って、仮物理配線層の配線から実 配線層の配線への変換が行われることとなる。例えば図 7に示した配線が図 2に示し た配線構造の配線に変換される場合において、第 n層目の配線層の配線 Lb4を形 成したときに配線 LC2と接続されるトランジスタのゲート絶縁膜の絶縁破壊が生じると する。この場合、第 n層目の配線層の配線の製造工程において、配線 Lb4の長さを 短縮することで、配線 LC2と配線 Lb4との接続を回避する。そして、第 (n+ 1)層目の 配線層の製造工程におレ、て、配線 Lb4と配線 La4とが、また配線 La4と配線 LC2と が接続されるようにする。これにより、第 (n+ 1)層目の配線層の配線の製造によって 配線 Lb4とトランジスタのドレインやソースとが接続されることとなる場合には、絶縁破 壊を回避することができる。 [0117] Note that this antenna rule may be considered in step S110 or step S120. In this case, the conversion from the wiring in the temporary physical wiring layer to the wiring in the actual wiring layer is performed according to the antenna rule. For example, when the wiring shown in FIG. 7 is converted to the wiring having the wiring structure shown in FIG. 2, when the wiring Lb4 of the n-th wiring layer is formed, the gate insulation of the transistor connected to the wiring LC2 when the wiring Lb4 is formed. When dielectric breakdown of the film occurs To do. In this case, in the manufacturing process of the wiring of the n-th wiring layer, the connection between the wiring LC2 and the wiring Lb4 is avoided by shortening the length of the wiring Lb4. Then, in the manufacturing process of the (n + 1) th wiring layer, the wiring Lb4 and the wiring La4 are connected, and the wiring La4 and the wiring LC2 are connected. Accordingly, in the case where the wiring Lb4 is connected to the drain and the source of the transistor by manufacturing the wiring of the (n + 1) th wiring layer, insulation breakdown can be avoided.
[0118] そして、ステップ S140において集積回路が妥当なものであると判断されると、レイァ ゥトパターンとなるデータ(レイアウトデータ)に基づいて上記マスク算出部 40では、マ スクパターンとなるデータ(マスクデータ)を作成する。  Then, if it is determined in step S140 that the integrated circuit is appropriate, the mask calculation section 40 performs mask pattern data (mask data) based on data (layout data) serving as a layout pattern. ).
[0119] すなわち、先の図 2に示した第 (n+ 1)層目の配線層の配線 La4及び第 n層目の配 線層の配線 Lb4のマスクは、図 9 (a)に示す単一のマスクデータとなる。そして、先の 図 2に示した第 (n+ 1)層目の配線層の配線 La4と第 n層目の配線層の配線 Lb4とを 接続するためのビアホールのマスクは、図 9 (b)に示すものとなる。  That is, the mask of the wiring La4 of the (n + 1) th wiring layer and the wiring Lb4 of the nth wiring layer shown in FIG. 2 are the same as those shown in FIG. 9 (a). Is the mask data. Then, the mask of the via hole for connecting the wiring La4 of the (n + 1) th wiring layer and the wiring Lb4 of the nth wiring layer shown in FIG. 2 is shown in FIG. 9 (b). It will be shown.
[0120] また、先の図 3に示した第 (n+ 1)層目の配線層の配線 La3及び第 n層目の配線層 の配線 Lb3のマスクは、図 9 (c)に示す単一のマスクデータとなる。そして、先の図 3 に示した第 (n+ 1)層目の配線層の配線 La3と第 n層目の配線層の配線 Lb3とを接 続するためのビアホールのマスクは、図 9 (d)に示すものとなる。  Also, the mask of the wiring La3 of the (n + 1) th wiring layer and the wiring Lb3 of the nth wiring layer shown in FIG. 3 is a single mask shown in FIG. 9 (c). It becomes mask data. The via hole mask for connecting the wiring La3 of the (n + 1) th wiring layer and the wiring Lb3 of the nth wiring layer shown in FIG. 3 is shown in FIG. 9 (d). It becomes what is shown in.
[0121] 更に、先の図 4に示した第 (n+ 1)層目の配線層の一対の配線 La2、 Lai及び第 n 層目の配線層の一対の配線 Lb2、 Lblのマスクは、図 9 (e)に示す単一のマスクデー タとなる。そして、先の図 4に示した第 (n+ 1)層目の配線層の一対の配線 La2、 Lai と第 n層目の配線層の一対の配線 Lb2、 Lblとを接続するためのビアホールのマスク は、図 9 (f)に示すものとなる。  Further, the mask of the pair of wirings La2 and Lai of the (n + 1) th wiring layer and the pair of wirings Lb2 and Lbl of the nth wiring layer shown in FIG. This is the single mask data shown in (e). Then, a via hole mask for connecting the pair of wirings La2 and Lai of the (n + 1) th wiring layer and the pair of wirings Lb2 and Lbl of the nth wiring layer shown in FIG. Is as shown in FIG. 9 (f).
[0122] なお、これらマスクデータには、当該集積回路の製造プロセスからの制約が加味さ れることとなる。すなわち、例えば、当該集積回路において所定の配線幅や配線間 隔を有する配線を製造するに際しては、製造プロセスに応じてこれら配線幅や配線 間隔が所定に変換されたマスクを用いることとなる。このため、図 9に示すマスクにお いては、その配線幅や配線間隔等がステップ S140までの設計によるレイアウトデー タのものとは異なることがある。 [0123] 以上のように、本実施形態によれば、第 (n+ 1)層目の配線層のマスクと第 n層目の 配線層のマスクとを共通とすることができる。 Note that these mask data are subject to restrictions from the manufacturing process of the integrated circuit. That is, for example, when manufacturing a wiring having a predetermined wiring width and a wiring interval in the integrated circuit, a mask in which the wiring width and the wiring interval are converted to a predetermined value according to the manufacturing process is used. For this reason, in the mask shown in FIG. 9, the wiring width, the wiring interval, and the like may be different from those of the layout data designed by step S140. As described above, according to the present embodiment, the mask of the (n + 1) th wiring layer and the mask of the nth wiring layer can be made common.
[0124] また、本実施形態では、仮物理配線層を用いた配置配線のなされた後、この仮物 理配線層の配線による電気的な接続を複数の実配線層の配線にて実現するようにし たため、配線の敷設態様の変更を回避しつつも設計制約を満たすように回路変数を 調整すること力 Sできる。したがって、 自動配線部 24によるトライアンドエラーを低減す ること力 Sでき、 自動配線ツールの演算負荷を低減することができる。  Further, in the present embodiment, after the placement and routing using the temporary physical wiring layer is performed, the electrical connection by the wiring of the temporary physical wiring layer is realized by the wiring of the plurality of real wiring layers. Therefore, it is possible to adjust the circuit variables so as to satisfy the design constraints while avoiding changes in the wiring layout. Therefore, it is possible to reduce the trial and error due to the automatic wiring unit 24, and it is possible to reduce the calculation load of the automatic wiring tool.
以上説明した本実施形態によれば、以下の効果が得られるようになる。  According to the embodiment described above, the following effects can be obtained.
[0125] (1)信号の伝搬に用いられる配線であって、複数の配線層に互いに平行に設けら れて且つ複数の箇所でビアホールを介して互いに電気的に接続されている配線 La 4、 Lb4を備えるようにした。これにより、実効的な配線幅、すなわち集積回路の基板 面に占める当該配線の配線幅を拡大することなぐ配線の抵抗を削減することができ るよつになる。  (1) Wirings La 4, which are used for signal propagation and are provided in a plurality of wiring layers in parallel with each other and electrically connected to each other via via holes at a plurality of locations. Added Lb4. As a result, it is possible to reduce the wiring resistance without increasing the effective wiring width, that is, the wiring width of the wiring occupying the substrate surface of the integrated circuit.
[0126] (2)互いに信号の伝搬方向を反転させる態様にて直列に接続されている配線 La3 、 Lb3を備えることで、当該集積回路において信号の遅延量の調整やリファレンス電 位の生成等において、抵抗値を増大させる方向への調整を行うことができる。  (2) By providing the wirings La3 and Lb3 connected in series in such a manner that the signal propagation directions are reversed, the integrated circuit can adjust the signal delay amount and generate the reference potential. , The resistance can be adjusted in the direction of increasing the resistance.
[0127] (3)所定の配線層に設けられた隣接する一対の配線 La2、 Laiと、所定の配線層と は別の共通の配線層に一対の配線 La2、 Laiを投影した領域に設けられた配線 Lb 2、 Lblとをそれぞれビアホールを介して互いに接続した。これにより、隣接する配線 間の容量を増大することができる。  [0127] (3) A pair of adjacent wirings La2 and Lai provided in a predetermined wiring layer and a pair of wirings La2 and Lai are provided in a region where the pair of wirings La2 and Lai are projected on a common wiring layer different from the predetermined wiring layer. The interconnects Lb2 and Lbl were connected to each other via via holes. Thus, the capacitance between adjacent wirings can be increased.
[0128] (4)第 (n+ 1)層と第 n層として例示した隣接する 2つの配線層の配線の敷設方向 を同一とするとともに、任意の一方の配線層の配線の他方の配線層に対する投影が 該他方の配線層に設けられた配線と同一となるようにした。このため、 P 接する 2層で 共通のマスクを用いることができる。  (4) Wiring directions of wirings of two adjacent wiring layers exemplified as the (n + 1) th layer and the nth layer are the same, and the wiring of any one wiring layer with respect to the other wiring layer is The projection was the same as the wiring provided on the other wiring layer. Therefore, a common mask can be used for the two layers in contact with each other.
[0129] (5)仮物理配線層を用いて配置配線のなされた後、この仮物理配線層の配線によ る電気的な接続を複数の実配線層の配線にて実現することを考慮することで、こうし た配線の変換手法を取らない従来の配線手法による配線では実現不可能な回路特 性を実現することが可能となる。このため回路特性の調整を簡易に行うことができる。 [0130] (第 2の実施形態) [0129] (5) After the placement and routing is performed using the temporary physical wiring layer, it is considered that the electrical connection by the wiring of this temporary physical wiring layer is realized by the wiring of a plurality of real wiring layers. As a result, it is possible to realize circuit characteristics that cannot be realized by wiring using a conventional wiring method that does not use such a wiring conversion method. Therefore, adjustment of circuit characteristics can be easily performed. (Second Embodiment)
以下、本発明にかかる集積回路及びその設計方法を半導体集積回路及びその設 計方法に適用した第 2の実施形態について、先の第 1の実施形態との相違点を中心 に図面を参照しつつ説明する。  Hereinafter, a second embodiment in which the integrated circuit and its design method according to the present invention are applied to a semiconductor integrated circuit and its design method will be described with reference to the drawings, focusing on the differences from the first embodiment. explain.
[0131] 本実施形態でも、上記論理回路部 2の第 (n+ 1)層目の配線層と第 n層目の配線 層において、配線の敷設間隔が共通の単位間隔 Paの整数倍に設定されている。そ して、本実施形態でも、第 (n + 1)層目の配線層の所定の配線と第 n層目の配線層 の所定の配線とについては、これらを互いに他方の配線層に投影したものが一致す るようになっている。しかし、本実施形態では、第 (n+ 1)層目の配線層の配線や第 n 層目の配線層の配線を、他方の配線層に投影した領域には必ずしも配線が形成さ れているとは限らない。これは、第 (n+ 1)層目の配線層及び第 n層目の配線層に、 図 10や図 11に示す構成を有する一対の配線を更に備えてレ、るためである。  Also in the present embodiment, in the (n + 1) th wiring layer and the nth wiring layer of the logic circuit section 2, the wiring laying interval is set to an integral multiple of the common unit interval Pa. ing. Also, in the present embodiment, as for the predetermined wiring of the (n + 1) th wiring layer and the predetermined wiring of the nth wiring layer, these are projected onto the other wiring layer. Things match. However, in the present embodiment, the wiring is not necessarily formed in a region where the wiring of the (n + 1) th wiring layer or the wiring of the nth wiring layer is projected on the other wiring layer. Not necessarily. This is because the (n + 1) th wiring layer and the nth wiring layer are further provided with a pair of wirings having the configuration shown in FIG. 10 or FIG.
[0132] ここで、図 10について説明する。図 10 (a)に示す第 (n+ 1)層目の配線層と図 10 ( b)に示す第 n層目の配線層とは、 X方向及び y方向にて張られる平面とするとともに、 配線敷設方向を X方向としている。そして、図 10 (a)に示す第 (n+ 1)層目の配線層 に設けられる配線 Lclと、図 10 (b)に示す第 n層目の配線層に設けられる配線 Lc2と は、互いに平行に設けられているとともに、その水平方向の間隔が上記単位間隔 Pa となっている。図 10 (c)は、配線層の法線方向を z方向としたときの yz平面による配線 Lcl及び配線 Lc2の断面構成を示している。  [0132] Here, Fig. 10 will be described. The (n + 1) th wiring layer shown in FIG. 10 (a) and the nth wiring layer shown in FIG. 10 (b) have a plane extending in the X and y directions, and The laying direction is the X direction. The wiring Lcl provided in the (n + 1) th wiring layer shown in FIG. 10A and the wiring Lc2 provided in the nth wiring layer shown in FIG. And the horizontal interval is the unit interval Pa. FIG. 10C shows a cross-sectional configuration of the wiring Lcl and the wiring Lc2 in the yz plane when the normal direction of the wiring layer is the z direction.
[0133] こうした構成によれば、当該集積回路の基板面への投影が最も近接した配線同士 力 なる一対の配線である配線 Lcl及び配線 Lc2が、互いに異なる配線層となるよう にして設けられるようになる。これにより、これら配線 Lcl及び配線 Lc2の水平方向の 間隔を拡大することなぐ配線 Lcl及び配線 Lc2間の容量を好適に低減することがで きる。  According to such a configuration, the wiring Lcl and the wiring Lc2, which are a pair of wirings that are closest to each other when the integrated circuit is projected onto the substrate surface, are provided in different wiring layers. become. As a result, the capacitance between the wiring Lcl and the wiring Lc2 can be suitably reduced without increasing the horizontal distance between the wiring Lcl and the wiring Lc2.
[0134] 次に、図 11について説明する。図 11 (a)に示す第 (n+ 1)層目の配線層と図 11 (b )に示す第 n層目の配線層とは、 X方向及び y方向にて張られる平面とするとともに、 配線敷設方向を X方向としている。そして、図 11 (a)及び図 11 (b)に示す第 (n+ 1) 層目及び第 n層目の配線層に渡って設けられる配線 Ldlと配線 Ld2とは、互いに平 行に設けられているとともに、その敷設間隔が上記単位間隔 Paとなっている。 Next, FIG. 11 will be described. The (n + 1) th wiring layer shown in FIG. 11 (a) and the nth wiring layer shown in FIG. 11 (b) have a plane extending in the X direction and the y direction. The laying direction is the X direction. The wiring Ldl and the wiring Ld2 provided over the (n + 1) th and nth wiring layers shown in FIGS. 11A and 11B are flat with each other. It is provided in a row, and the laying interval is the unit interval Pa.
[0135] 図 11 (c)は、上記配線 Ldlについて、各配線層の法線方向を z方向としたときの xz 平面による断面構成を示している。すなわち、配線 Ldlは、第 (n+ 1)層目の配線層 及び第 n層目の配線層に渡って形成されているとともに、これら各配線層間のビアホ ールに設けられるプラグ pg8により双方の配線層に形成される部分同士が電気的に 直列に接続されている。  FIG. 11 (c) shows a cross-sectional configuration on the xz plane of the wiring Ldl when the normal direction of each wiring layer is the z direction. That is, the wiring Ldl is formed over the (n + 1) th wiring layer and the nth wiring layer, and both wirings are formed by the plug pg8 provided in the via hole between these wiring layers. The portions formed in the layers are electrically connected in series.
[0136] 図 11 (d)は、上記配線 Ld2について、各配線層の法線方向を z方向としたときの xz 平面による断面構成を示している。すなわち、配線 Ld2は、第 (n+ 1)層目の配線層 及び第 n層目の配線層に渡って形成されているとともに、これら各配線層間のビアホ ールに設けられるプラグ pg9により双方の配線層に形成される部分同士が電気的に 直列に接続されている。  FIG. 11D shows a cross-sectional configuration on the xz plane of the wiring Ld2 when the normal direction of each wiring layer is the z direction. That is, the wiring Ld2 is formed over the (n + 1) th wiring layer and the nth wiring layer, and both wirings are formed by the plug pg9 provided in the via hole between these wiring layers. The portions formed in the layers are electrically connected in series.
[0137] そして、これら配線 Ldl及び配線 Ld2は、第 (n+ 1)層目の配線層及び第 n層目の 配線層をビアホールを介して交互に乗り換えるようにして設けられている。このため、 配線 Ldl及び配線 Ld2が同一配線層内において水平方向に隣接する部分を極力 低減することができ、ひいては、これら配線 Ldl及び配線 Ld2間の容量を好適に削 減すること力できるようになる。更に、配線 Ldlや配線 Ld2は配線層を乗り換えるよう にして設けられているために、これら配線 Ldlや配線 Ld2については、これら以外の 外部との電気的な結合による影響も小さくなる。  The wiring Ldl and the wiring Ld2 are provided so that the (n + 1) th wiring layer and the nth wiring layer are alternately switched via via holes. Therefore, the portion where the wiring Ldl and the wiring Ld2 are horizontally adjacent in the same wiring layer can be reduced as much as possible, so that the capacity between the wiring Ldl and the wiring Ld2 can be appropriately reduced. Become. Further, since the wiring Ldl and the wiring Ld2 are provided so as to change wiring layers, the influence of the electrical coupling to the outside of the wiring Ldl and the wiring Ld2 is reduced.
[0138] なお、図 10や図 11の配線を含む本実施形態では、先の図 6に示したステップ S15 0の処理において、第 (n+ 1)層目の配線層のマスクデータと、第 n層目の配線層の マスクデータとを各別に作成する。  In the present embodiment including the wirings of FIG. 10 and FIG. 11, in the processing of step S150 shown in FIG. 6, the mask data of the (n + 1) th wiring layer and the n-th Create mask data for each wiring layer separately.
[0139] すなわち、先の図 10に示す配線 Lclに対するマスクは図 12 (a)に示すものとなり、 同図 10の配線 Lc2に対するマスクは図 12 (b)となる。そして、これら配線 Lcl、 Lc2 を他の配線と接続する第 (n+ 1)層目の配線層と第 n層目の配線層との間のビアホ ールのマスクの 1例としては、図 12 (c)に例示するようなものとなる。一方、先の図 11 に示す配線 Ldl及び Ld2のうち第(n+ 1)層目の配線層のマスクは図 12 (d)に示す ものとなり、同配線 Ldl及び Ld2のうち第 n層目の配線層のマスクは図 12 (e)に示す ものとなる。そして、これら第 (n+ 1)層目の配線層にある配線 Ldl及び Ld2と第 n層 目の配線層にある配線 Ldl及び Ld2とを接続するビアホールのマスクは、図 12 (f)と なる。 That is, the mask for the wiring Lcl shown in FIG. 10 is as shown in FIG. 12 (a), and the mask for the wiring Lc2 in FIG. 10 is as shown in FIG. 12 (b). An example of a mask of a via hole between the (n + 1) th wiring layer and the nth wiring layer connecting these wirings Lcl and Lc2 to another wiring is shown in FIG. It will be as shown in c). On the other hand, the mask of the (n + 1) -th wiring layer of the wirings Ldl and Ld2 shown in FIG. 11 is as shown in FIG. 12D, and the n-th wiring of the wirings Ldl and Ld2 is shown in FIG. The layer mask is as shown in Fig. 12 (e). The wirings Ldl and Ld2 in the (n + 1) th wiring layer and the n-th layer FIG. 12F shows a mask of a via hole connecting the wirings Ldl and Ld2 in the second wiring layer.
[0140] このように、本実施形態では、先の図 2—図 4に示した構成に加えて、更に図 10及 び図 11に示す構成を有する配線を備えることで、配線間の容量を低減させることが できる。特に配線間の容量を低減させることができることから、当該集積回路の高速 化や、低消費電力化を図ることができるとともに、クロストークノイズを好適に低減する こと力 Sできる。  As described above, in the present embodiment, in addition to the configuration shown in FIGS. 2 to 4, the wiring having the configuration shown in FIG. 10 and FIG. It can be reduced. In particular, since the capacitance between the wirings can be reduced, the speed of the integrated circuit can be reduced, the power consumption can be reduced, and the crosstalk noise can be suitably reduced.
[0141] なお、先の図 1一 4、図 10、図 11では、仮物理配線層が 2層の実配線層に展開さ れる場合を図示したが、これに限らなレ、。すなわち、例えば図 13 (a)に示すように、 4 層の実配線層に展開してもよい。同図 13 (a)では、配線 Lei及び配線 Le5が一層目 の実配線層に形成され、配線 Le3が 2層目の配線層に形成され、配線 Le2及び配線 Le6が 3層目の配線層に形成され、配線 Le4が 4層目の配線層に形成されている。 そして、配線 Lei及び配線 Le2は互いの投影が自身と略同一であって、且つビアホ ールを介して互いに接続されている。また、配線 Le3及び配線 Le4は互いの投影が 自身と略同一であって、且つビアホールを介して互いに接続されている。更に、また 、配線 Le5及び配線 Le6は互いの投影が自身と略同一であって、且つビアホールを 介して互レ、に接続されてレ、る。  [0141] In addition, in Figs. 14, 10, and 11, the case where the temporary physical wiring layer is developed into two real wiring layers is illustrated, but the present invention is not limited to this. That is, for example, as shown in FIG. 13 (a), it may be expanded to four actual wiring layers. In FIG. 13A, the wiring Lei and the wiring Le5 are formed in the first real wiring layer, the wiring Le3 is formed in the second wiring layer, and the wiring Le2 and the wiring Le6 are formed in the third wiring layer. The wiring Le4 is formed in the fourth wiring layer. The wiring Lei and the wiring Le2 have substantially the same projection as themselves, and are connected to each other via a via hole. Further, the wiring Le3 and the wiring Le4 have substantially the same projection as themselves, and are connected to each other via via holes. Further, the wiring Le5 and the wiring Le6 have substantially the same projection as themselves, and are connected to each other via via holes.
[0142] そして、配線 Le4にとつて直下を除いて配線の線幅方向の距離が最も近接した配 線は、一つ下層の配線層に設けられている上記配線 Le2及び配線 Le6となっている 。また、配線 Le3にとつて直下を除いて配線の線幅方向の距離が最も近接した配線 は、一つ下層の配線層に設けられている上記配線 Lei及び配線 Le5となっている。 したがって、配線 Le4及び配線 Le3は、配線の線幅方向の距離が最も近接する配線 である配線 Le2及び配線 Leiや、配線 Le6及び配線 Le5との間の容量を低減する設 定となっている。  [0142] The wiring having the closest distance in the line width direction to the wiring Le4 except for immediately below the wiring Le4 is the wiring Le2 and the wiring Le6 provided in the wiring layer one layer below. . Except for the wiring Le3, the wiring having the closest distance in the line width direction except for the wiring Le3 is the wiring Lei and the wiring Le5 provided in the wiring layer one layer below. Therefore, the wirings Le4 and Le3 are set to reduce the capacitance between the wirings Le2 and Lei, which are the wirings having the closest distance in the line width direction, and the wirings Le6 and Le5.
[0143] また、図 13 (b)には、配線 Lf 1と配線 Lf2とが、また配線 Lf 2と配線 Lf 3とがそれぞ れ互いの信号の伝搬方向を反転させる態様にて直列に接続されている例を示してい る。  Further, in FIG. 13B, the wiring Lf 1 and the wiring Lf 2 are connected in series, and the wiring Lf 2 and the wiring Lf 3 are connected in series in such a manner that the signal propagation directions are inverted. An example is shown.
[0144] 以上説明した本実施形態によれば、先の第 1の実施形態の上記(1)一(3)及び(5 )の効果に加えて、更に以下の効果が得られるようになる。 According to the present embodiment described above, (1)-(3) and (5) of the first embodiment described above. In addition to the effect of), the following effect can be further obtained.
[0145] (6)当該集積回路の基板面への投影が互いに最も近接した配線同士からなる一対 の配線である配線 Lcl及び配線 Lc2が、互いに異なる配線層となるようにした。これ により、これら配線 Lcl及び配線 Lc2の水平方向の間隔を拡大することなぐ配線 Lc 1及び配線 Lc2間の容量を好適に低減することができる。  [0145] (6) The wiring Lcl and the wiring Lc2, which are a pair of wirings composed of wirings that are closest to each other and projected onto the substrate surface of the integrated circuit, are configured to have different wiring layers. Thus, the capacitance between the wiring Lc1 and the wiring Lc2 can be suitably reduced without increasing the horizontal distance between the wiring Lcl and the wiring Lc2.
[0146] (7)配線 Ldl及び配線 Ld2が、第 (n+ 1)層目の配線層及び第 n層目の配線層を ビアホールを介して交互に乗り換えるようにした。このため、配線 Ldl及び配線 Ld2 が同一配線層内におレ、て水平方向に隣接する部分を極力低減することができ、ひレ、 ては、これら配線 Ldl及び配線 Ld2間の容量を好適に削減することができるようにな る。  (7) The wiring Ldl and the wiring Ld2 alternately switch between the (n + 1) th wiring layer and the nth wiring layer via the via hole. For this reason, the portion where the wiring Ldl and the wiring Ld2 are horizontally adjacent to each other can be reduced as much as possible, and the capacitance between the wiring Ldl and the wiring Ld2 can be reduced appropriately. It can be reduced.
[0147] (第 3の実施形態)  (Third Embodiment)
以下、本発明にかかる集積回路及びその設計方法を半導体集積回路及びその設 計方法に適用した第 3の実施形態について、先の第 2の実施形態との相違点を中心 に図面を参照しつつ説明する。  Hereinafter, a third embodiment in which the integrated circuit and its design method according to the present invention are applied to a semiconductor integrated circuit and its design method will be described with reference to the drawings, focusing on the differences from the second embodiment. explain.
[0148] 上記第 2の実施形態では、仮物理配線層を用いて自動配置配線ツールにより配置 配線を行った後、仮物理配線層の配線による電気的な接続を複数の配線層からなる 実配線層の配線にて実現することを考慮してレイアウト設計を行った。  [0148] In the second embodiment, after the placement and routing is performed by the automatic placement and routing tool using the temporary physical wiring layer, the electrical connection by the wiring of the temporary physical wiring layer is performed by the real wiring including a plurality of wiring layers. The layout was designed in consideration of the realization with the layer wiring.
[0149] これに対し、本実施形態では、レイアウトの実現された集積回路(レイアウトデータ やマスクデータ等が既に設計されている集積回路)について、その性能改善を行うた めにレイアウト変更をする。これは、例えば (ィ)既に製造されている集積回路につい て、特性ばらつきが大きく歩留まりが悪いことが判明した場合。 (口)設計の終了した 集積回路や既に製造されている既存の集積回路の動作周波数等、性能の変更が要 求された場合。 (ハ)例えば「0. 35 x m」のデザインルールの既成の集積回路に基づ き「0. 18 z m」のデザインノレールの集積回路を製造する場合等、デザインノレールの 変更された集積回路を設計すベぐ既成の集積回路と相似な縮小された集積回路の タイミング調整をする場合。等々に行われる。  On the other hand, in the present embodiment, the layout is changed in order to improve the performance of the integrated circuit in which the layout has been realized (the integrated circuit in which layout data, mask data, and the like have already been designed). This is the case, for example, when (a) an already manufactured integrated circuit is found to have large characteristic variations and a low yield. (Mouth) When a change in performance, such as the operating frequency of an integrated circuit whose design has been completed or an existing integrated circuit that has already been manufactured, is requested. (C) For example, when designing an integrated circuit with a design rail of “0.18 zm” based on an existing integrated circuit with a design rule of “0.35 xm”, design an integrated circuit with a modified design rail. When adjusting the timing of a downsized integrated circuit that is similar to an existing off-the-shelf integrated circuit. And so on.
[0150] 図 14に、本実施形態に力かる集積回路のレイアウトの変更に力、かる処理の手順を 示す。なお、この図 14に示す処理は、先の図 5に示した設計支援装置を用いて行わ れる。ただし、この際、図 5に示した支援装置のうちの全ての構成を用いる訳ではなく 、また、各機能ブロックについてその使用方法が若干相違している。これについては 、同図 14の処理手順の説明の際に指摘する。 FIG. 14 shows a processing procedure for changing the layout of an integrated circuit according to the present embodiment. The process shown in FIG. 14 is performed using the design support device shown in FIG. It is. However, in this case, not all the configurations of the support device shown in FIG. 5 are used, and the usage of each functional block is slightly different. This will be pointed out when describing the processing procedure in FIG.
[0151] この一連の処理においては、まず、ステップ S200において、単一の配線層(仮物 理配線層)の配線を複数の実配線層の配線に変換するルールを仮物理配線層ルー ルとして定義する。 [0151] In this series of processing, first, in step S200, a rule for converting a wiring of a single wiring layer (temporary physical wiring layer) into a wiring of a plurality of real wiring layers is set as a temporary physical wiring layer rule. Define.
[0152] ここではまず、当該集積回路の製造時の制約等から用いることのできる最大の配線 層数と、上記レイアウト設計の終了した集積回路の配線層数とが先の図 5の入力部 5 0を通じて入力される。そして、これらの差に基づき、先の図 5に示した回路変数算出 部 20では、実配線層へと展開することのできる配線層数を決定する。例えば、上記 最大の配線層数が「6」であり、上記レイアウト設計の終了した集積回路の配線層数 力 5」である場合、 1つの配線層についてこれを 2つの実配線層へと展開することが できる。また例えば、上記最大の配線層数が「6」であり、上記レイアウト設計の終了し た集積回路の配線層数が「4」である場合、 2つの配線層のそれぞれを 2つの実配線 層へと展開したり、或いは 1つの配線層を 3つの実配線層に展開したりすることができ る。こうして展開される実配線層が決定されると、これに応じて、所定の配線を例えば 先の図 2—図 4、図 10、図 11、図 13に例示するような構成の配線へと展開する際の 制約が定義される。  Here, first, the maximum number of wiring layers that can be used due to restrictions at the time of manufacturing the integrated circuit and the number of wiring layers of the integrated circuit for which the layout design has been completed are first determined by the input unit 5 shown in FIG. Entered through 0. Then, based on these differences, the circuit variable calculation unit 20 shown in FIG. 5 determines the number of wiring layers that can be expanded to actual wiring layers. For example, if the maximum number of wiring layers is “6” and the number of wiring layers of the integrated circuit for which the layout design has been completed is 5 ”, this is expanded to two actual wiring layers for one wiring layer. be able to. For example, if the maximum number of wiring layers is “6” and the number of wiring layers of the integrated circuit for which the layout design has been completed is “4”, each of the two wiring layers is changed to two actual wiring layers. Or one wiring layer can be expanded to three real wiring layers. When the actual wiring layer to be expanded is determined in this manner, a predetermined wiring is expanded in accordance with this, for example, to wiring having a configuration as exemplified in FIGS. 2 to 4, 10, 10, and 13. Constraints are defined.
そして、回路変数算出部 20では、展開可能な実配線層数に基づき回路変数を算 出する。  Then, the circuit variable calculation unit 20 calculates a circuit variable based on the number of expandable actual wiring layers.
[0153] 続くステップ S210において、上記レイアウト設計の終了した集積回路の配線層のう ち、配線の敷設態様の変更を許容する配線層を選択するとともに、これを仮物理配 線層とする。なお、この特定の配線層を仮物理配線層とする旨の指示は、先の図 5の 入力部 50を通じて行われる。  [0153] In the following step S210, among the wiring layers of the integrated circuit for which the layout design has been completed, a wiring layer that allows a change in the wiring laying mode is selected, and this is set as a temporary physical wiring layer. The instruction to make this specific wiring layer a temporary physical wiring layer is issued through the input unit 50 in FIG.
[0154] 続くステップ S220においては、先の図 5に示した回路変数決定部 32により、上記 仮物理配線層の配線の回路変数を決定する。ここでは、タイミング等の設計制約を 満たし、且つ仮物理配線層から実配線層への展開数を最小とする回路変数を決定 する。 [0155] 具体的には、例えば展開される実配線層数を、 2層、 3層という具合に段階的に増 大させていきつつ、タイミング解析を行う。そして、タイミング違反が無くなった時点に おける回路変数を実現する実配線層を最終的に用いる実配線層として決定する。 [0154] In the following step S220, the circuit variable of the wiring of the temporary physical wiring layer is determined by the circuit variable determination unit 32 shown in FIG. Here, a circuit variable that satisfies design constraints such as timing and minimizes the number of expansions from the temporary physical wiring layer to the actual wiring layer is determined. [0155] Specifically, for example, the timing analysis is performed while the number of actual wiring layers to be developed is gradually increased to two or three layers. Then, the actual wiring layer that realizes the circuit variables at the time when the timing violation has disappeared is determined as the finally used actual wiring layer.
[0156] なお、上記のように実配線層数を段階的に増加させてレ、く代わりに、可能な全ての 実配線層数に対応した各回路変数によるタイミング解析を全て行レ、、その結果に基 づき回路変数を決定するようにしてもよい。  [0156] As described above, the number of actual wiring layers is increased in a stepwise manner. Instead, timing analysis using each circuit variable corresponding to all possible actual wiring layers is performed. The circuit variable may be determined based on the result.
[0157] 続くステップ S230においては、先の図 5に示した配線層展開部 34により、仮物理 配線層の配線を上記ステップ S220にて決定された回路変数を実現する実配線層の 配線へと展開する。  [0157] In the following step S230, the wiring of the temporary physical wiring layer is changed to the wiring of the actual wiring layer that realizes the circuit variable determined in step S220 by the wiring layer developing unit 34 shown in FIG. expand.
[0158] 次に、ステップ S240では、ステップ S230において修正の施された部分を有する集 積回路のレイアウトの妥当性を確認する。ここでは、例えば上記タイミング制約に加え て、いくつかの製造時における制約等を考慮することが望ましい。  Next, in step S240, the validity of the layout of the integrated circuit having the portion modified in step S230 is confirmed. Here, it is desirable to consider, for example, some restrictions at the time of manufacturing in addition to the above timing restrictions.
[0159] なお、こうした設計制約の考慮は、ステップ S210やステップ S220において考慮さ れるようにしてもよい。  [0159] Note that such design constraints may be considered in step S210 or step S220.
[0160] そして、ステップ S240において集積回路が妥当なものであると判断されると、ステツ プ S250において、レイアウトデータに基づいて上記マスク算出部 40では、マスクデ ータを作成する。  Then, if it is determined in step S240 that the integrated circuit is appropriate, in step S250, the mask calculation section 40 creates mask data based on the layout data.
[0161] なお、仮物理配線層の配線を複数の実配線層の配線に変換することで得られたレ ィアウトデータに対して更にタイミング変更を行う場合には、変換された配線を変換前 の配線に逆変換することによって対処することもできる。したがって、こうしたレイアウト データに対しては、実配線層数の増大のみならず、同実配線層数の低減によるタイミ ング調整も可能となる。  When the layout data obtained by converting the wiring of the temporary physical wiring layer into the wiring of a plurality of real wiring layers is further changed, the converted wiring is It can also be dealt with by inversely converting to the wiring of FIG. Therefore, for such layout data, not only can the number of actual wiring layers be increased, but also the timing can be adjusted by reducing the number of actual wiring layers.
[0162] 以上説明した本実施形態によれば、先の第 2の実施形態における上記(1)一 (3) 及び(6)、(7)に加えて、更に以下の効果が得られるようになる。  According to the present embodiment described above, in addition to the above (1)-(3), (6), and (7) in the second embodiment, the following effects can be obtained. Become.
[0163] (8)レイアウトの終了した集積回路についての配線の敷設態様の変更を許容する 配線層を仮物理配線層とし、この仮物理配線層の配線による電気的な接続を複数の 実配線層の配線にて実現することを考えるようにした。このためレイアウト設計の終了 した集積回路の回路特性の調整を簡易に行うことができる。 [0164] (第 4の実施形態) [0163] (8) A wiring layer that allows a change in the wiring layout of an integrated circuit whose layout has been completed is a temporary physical wiring layer, and the electrical connection by the wiring of the temporary physical wiring layer is performed by a plurality of real wiring layers. I thought about realizing it with the wiring of. Therefore, it is possible to easily adjust the circuit characteristics of the integrated circuit for which the layout design has been completed. (Fourth Embodiment)
以下、本発明にかかる集積回路及びその設計方法を半導体集積回路及びその設 計方法に適用した第 4の実施形態について、先の第 1及び第 2の実施形態との相違 点を中心に図面を参照しつつ説明する。  Hereinafter, a fourth embodiment in which the integrated circuit according to the present invention and its design method are applied to a semiconductor integrated circuit and its design method will be described with reference to the drawings, focusing on differences from the first and second embodiments. It will be described with reference to FIG.
[0165] 上記第 1及び第 2の実施形態では、仮物理配線層を用いたレイアウト設計の後、所 定の仮物理配線層を実配線層へと展開するようにし、且つこの展開は、論理回路部 2の全領域において共通であった。これに対し、本実施形態では、仮物理配線層を 用いた自動配置配線ツールによるレイアウト設計の後、同自動配置配線ツールにて レイアウト設計のなされた領域 (先の図 1の論理回路部 2)を複数に分割し、分割され た各区画毎に各別に実配線層への展開を可能とする。  In the first and second embodiments, after a layout design using a temporary physical wiring layer, a predetermined temporary physical wiring layer is expanded to an actual wiring layer, and this expansion is performed by a logical This was common in all areas of the circuit section 2. On the other hand, in the present embodiment, after the layout is designed by the automatic placement and routing tool using the temporary physical wiring layer, the area where the layout is designed by the automatic placement and routing tool (the logic circuit section 2 in FIG. 1 above) Is divided into a plurality of sections, and each of the divided sections can be separately developed into an actual wiring layer.
[0166] すなわち、上記論理回路部 2の全領域に渡って上記展開を一律に行った際には、 各仮物理配線層の全ての領域に渡って略均一に配線が敷設されるとは限らず、配 線の敷設されない領域が形成される可能性がある。これに対し、本実施形態では、 各区画毎に、仮物理配線層の配線による電気的な接続を複数の実配線層の配線に て実現することを考慮する際、同実配線層への展開として配線の敷設されない領域 を利用することを併せて考慮することができる。したがって、レイアウト設計による冗長 性を緩和しつつ、仮物理配線層を用いたレイアウトの回路特性を改善することが可能 となる。  That is, when the above-described development is performed uniformly over the entire area of the logic circuit unit 2, the wiring is not necessarily laid almost uniformly over the entire area of each temporary physical wiring layer. Therefore, there is a possibility that an area where wiring is not laid will be formed. On the other hand, in the present embodiment, when considering realizing the electrical connection by the wiring of the temporary physical wiring layer by the wiring of a plurality of real wiring layers for each section, the expansion to the same real wiring layer is considered. The use of an area where no wiring is laid can also be considered. Therefore, it is possible to improve the circuit characteristics of the layout using the temporary physical wiring layer while reducing the redundancy due to the layout design.
[0167] 図 15に、本実施形態で用いる設計支援装置の全体構成を示す。図 15においては 、先の図 5に示した設計支援装置と同一の機能を有する部材については便宜上同一 の符号を付している。  FIG. 15 shows the overall configuration of the design support apparatus used in the present embodiment. In FIG. 15, members having the same functions as those of the design support apparatus shown in FIG. 5 are given the same reference numerals for convenience.
[0168] 図 15においては、区画設定部 42が備えられている。この区画設定部 42は、上記 区画の設定のみならず、実配線層への展開態様が異なる隣接する区画間に結合領 域を設定し、両区間の電気的な結線をも行う。この区画設定部 42も、上記各処理に 関するプログラムを記憶する半導体メモリやハードディスク装置等からなる記憶装置と コンピュータとを備えて構成されてレ、る。  In FIG. 15, a section setting section 42 is provided. The section setting unit 42 sets not only the above-described sections but also sets a connection area between adjacent sections having different development modes on the actual wiring layer, and performs an electrical connection between both sections. The partition setting unit 42 also includes a computer and a storage device such as a semiconductor memory or a hard disk device that stores programs related to the above-described processes, and a computer.
[0169] ここで、こうした設計支援装置を用いて行われる本実施形態に力かるレイアウト設計 の処理手順について、図 16を用いて詳細に説明する。 [0170] この一連の処理においては、まず、ステップ S300において、先の図 6に示したステ ップ S100同様、セルの自動配置の後に自動配線ツールを用いて結線を行う際に用 いる配線層として仮物理配線層を定義する。ただし、本実施形態では、展開可能な 実配線層の数は、上記製造時の制約等から決まる最大の配線層数に基づいて決定 するものの、この数は同最大の配線層数と上記仮物理配線層の数との差には必ずし も対応させず、これよりも多い数とすることが望ましい。 [0169] Here, the processing procedure of the layout design that is performed by using the design support apparatus and is focused on the present embodiment will be described in detail with reference to FIG. In this series of processing, first, in step S300, similarly to step S100 shown in FIG. 6, a wiring layer used when wiring is performed using an automatic wiring tool after automatic cell placement. Is defined as a temporary physical wiring layer. However, in the present embodiment, the number of deployable real wiring layers is determined based on the maximum number of wiring layers determined by the above-described restrictions at the time of manufacturing and the like, but this number is the same as the maximum number of wiring layers and the provisional physical layer. The difference from the number of wiring layers is not necessarily dealt with, and it is desirable that the number be larger than this.
[0171] 続くステップ S310では、上記ステップ S300にて定義された仮物理配線層を用い てレイアウト設計を行う。  In subsequent step S310, a layout design is performed using the temporary physical wiring layer defined in step S300.
[0172] こうしてレイアウト設計が終了すると、ステップ S320において、当該集積回路にお レ、て上記自動配置配線ツールによりレイアウト設計のなされた領域を先の図 15に示 した区画設定部 42により小区画に分割する。すなわち、例えば図 17 (a)に例示する ように、論理回路部 2を複数の矩形状の区画(図中、 a— t)に分割する。  When the layout design is completed in this way, in step S320, the area for which layout has been designed by the automatic placement and routing tool is divided into small sections by the section setting section 42 shown in FIG. 15 in the integrated circuit. To divide. That is, as exemplified in FIG. 17A, the logic circuit section 2 is divided into a plurality of rectangular sections (at in the figure).
[0173] 続くステップ S330では、上記結線の終了した集積回路について、上記回路変数決 定部 32により、それら各結線にかかる配線の回路変数を上記各区画毎に決定する。 ここでは、タイミング等の設計制約を満たし、且つ上記仮物理配線層から実配線層へ の展開数を最小とする回路変数を決定する。  In the following step S330, for the integrated circuit on which the connection has been completed, the circuit variable determination unit 32 determines circuit variables of the wirings related to the respective connections for each of the sections. Here, a circuit variable that satisfies design constraints such as timing and minimizes the number of developments from the temporary physical wiring layer to the actual wiring layer is determined.
[0174] この際、本実施形態では、上記回路変数決定部 32において、上記各区画内の配 線の敷設されていない配線層数を検出する。そして、配線の敷設されていない配線 層数の多い区画を優先的に実配線層への展開を行う区画とする。すなわち例えば、 仮物理配線層の数が「5」であり、そのうち先の図 17に示した区画 aでは配線の敷設 されてレ、る配線層数が「3」であり、区画 cでは配線の敷設されてレ、る配線層数が「5」 であるとすると、区画 cよりも区画 aにおいて優先的に実配線層への展開を行うように する。特に、この例の場合、区画 aにおいては「2」つの配線層に配線が敷設されてい ないため、ステップ S310におけるレイアウト設定の終了時には、この区画 aの 2つの 配線層が冗長となっている。このため、この冗長なスペースを有効利用して集積回路 全体としての回路特性を調整する。このようにすることで、集積回路の配線層数の増 大を極力抑制することができる。  At this time, in the present embodiment, the circuit variable determination unit 32 detects the number of wiring layers where no wiring is laid in each section. Then, a section having a large number of wiring layers where no wiring is laid is preferentially set as a section to be developed to an actual wiring layer. That is, for example, the number of temporary physical wiring layers is “5”, of which, in section a shown in FIG. 17 above, the number of wiring layers to be laid is three, and in section c, the number of wiring layers is three. Assuming that the number of wiring layers to be laid is "5", development to the actual wiring layer is performed preferentially in section a over section c. In particular, in the case of this example, since no wiring is laid in the “2” wiring layers in the section a, the two wiring layers in the section a are redundant at the end of the layout setting in step S310. Therefore, the circuit characteristics of the integrated circuit as a whole are adjusted by effectively utilizing the redundant space. By doing so, the increase in the number of wiring layers of the integrated circuit can be suppressed as much as possible.
[0175] 具体的には、例えばまず仮物理配線層を実配線層へと展開しない場合の回路変 数にてタイミング解析部 30によってタイミング解析を行い、タイミング違反の有無を解 析する。そして、上記回路変数によってはタイミング違反箇所が生じる場合には、所 定の区画における所定の仮物理配線層の配線を実配線層の配線に変換した場合に 得られる回路変数を用いて再度タイミング解析を行う。この際、変換を行う区画の数 は、段階的に増加させてレ、くことが望ましい。また、展開される実配線層数は、 2層、 3 層という具合に段階的に増大させてレ、くことが望ましい。 [0175] Specifically, for example, first, a circuit change when the temporary physical wiring layer is not expanded to the actual wiring layer is performed. The timing analysis is performed by the timing analysis unit 30 using the number, and the presence or absence of a timing violation is analyzed. When a timing violation part occurs depending on the circuit variables described above, timing analysis is performed again using the circuit variables obtained when the wiring of the predetermined temporary physical wiring layer in the predetermined section is converted to the wiring of the actual wiring layer. I do. At this time, it is desirable to gradually increase the number of sections to be converted. In addition, it is desirable to increase the number of actual wiring layers to be expanded step by step, such as two or three layers.
[0176] そして、タイミング違反が無くなった時点における回路変数を実現する実配線層を 最終的に用レ、る実配線層として決定する。  Then, the actual wiring layer that realizes the circuit variable at the time when the timing violation is eliminated is finally determined as the actual wiring layer to be used.
[0177] 続くステップ S340では、上記配線層展開部 34により、上記決定された回路変数に 基づき各小区画毎に仮物理配線層の配線を実配線層の配線へと変換する。  [0177] In the following step S340, the wiring of the temporary physical wiring layer is converted into the wiring of the actual wiring layer for each subsection by the wiring layer developing unit 34 based on the determined circuit variables.
[0178] 次に、ステップ S350では、区画設定部 42にて、実配線層への展開態様が異なる 隣接する区画間に結合領域を設定する。すなわち、実配線層への展開がなされると 、実配線層への展開態様が異なる隣接する区画間は、上記ステップ S310のレイァゥ ト設計時の結線状態をそのままでは維持することができないものとなる。そこで、こうし た隣接する区画間に結合領域を設定するようにする。  [0178] Next, in step S350, the section setting unit 42 sets a connection area between adjacent sections having different development modes on the actual wiring layer. That is, when the development to the actual wiring layer is performed, the connection state at the time of the layout design in step S310 cannot be maintained between adjacent sections having different development modes to the actual wiring layer. . Therefore, a connection area is set between these adjacent sections.
[0179] 詳しくは、まず図 17 (b)に示すように、実配線層への展開態様が異なる区画間の境 界を抽出する。これにより、実配線層への展開態様が同一の領域を単位とする新た な区画が定義されることとなる。同図 17 (b)においては、先の図 17 (a)に示した区画 a、 b、 f、 gが新たな区画 Dと、区画 d、 e、 h、 i、 j、 m、 n、 oが新たな区画 Cと、区画 k 、 1、 p、 gが新たな区画 Aと、区画 r、 s、 tが新たな区画 Bとそれぞれ定義されている。  More specifically, first, as shown in FIG. 17 (b), boundaries between sections having different development modes on the actual wiring layer are extracted. As a result, a new section is defined in units of areas having the same development pattern on the actual wiring layer. In FIG. 17 (b), sections a, b, f, and g shown in FIG. 17 (a) are new sections D and sections d, e, h, i, j, m, n, o. Is defined as a new section C, sections k, 1, p, and g are defined as a new section A, and sections r, s, and t are defined as a new section B, respectively.
[0180] こうした新たな区画内においては、図 18 (a)及び図 18 (b)に示すように、上記ステ ップ S340における実配線層への展開が同一となる。具体的には、図 18 (b)におい ては、新たな区画 Aにおいて、仮物理配線層 Kが実配線層 K (l)、 Κ (2)に展開され 、仮物理配線層 K+ 1が実配線層 K+ 1 (1)、 K+ 1 (2)に展開され、仮物理配線層 Κ + 2が実配線層 Κ+ 2 (1)、Κ + 2 (2)に展開されている。また、新たな区画 Βにおい ては、仮物理配線層 Κ + 2が実配線層 Κ + 2 (1)、 Κ + 2 (2)に展開されている。ちな みに、図 18 (a)—図 18 (d)において、図中、横方向が X方向であり、縦方向が Z方向 である。そして、図中、力、つこ内の X及び Yは、それぞれ配線の敷設方向が X方向及 び Y方向であることを示す。また、図中、実配線層のハッチングは、展開前の仮物理 配線層のハッチングに一致させている。 In such a new section, as shown in FIG. 18 (a) and FIG. 18 (b), the development to the actual wiring layer in step S340 is the same. Specifically, in FIG. 18 (b), in the new section A, the temporary physical wiring layer K is expanded to the actual wiring layers K (l) and Κ (2), and the temporary physical wiring layer K + 1 is actually realized. The wiring layers K + 1 (1) and K + 1 (2) are expanded, and the temporary physical wiring layer Κ + 2 is expanded to the real wiring layers Κ + 2 (1) and Κ + 2 (2). In the new section Β, the provisional physical wiring layer Κ + 2 is expanded to the actual wiring layers Κ + 2 (1) and Κ + 2 (2). Incidentally, in FIGS. 18 (a) to 18 (d), the horizontal direction is the X direction and the vertical direction is the Z direction. In the figure, the force and the X and Y And Y direction. In the figure, the hatching of the actual wiring layer matches the hatching of the temporary physical wiring layer before development.
[0181] そして、ステップ S350においては、図 18 (c)に示すように、隣接する新たな区画の 境界近傍を結合領域として定義する。この結合領域においては、上記ステップ S310 のレイアウト設計における結線状態を維持するように、配線を形成する。なお、この図 18 (c)においては、結合領域における各配線層のハッチングは、その配線層によつ て結線状態が維持される仮物理配線層のハッチングと一致させている。  [0181] Then, in step S350, as shown in Fig. 18 (c), the vicinity of the boundary between adjacent new sections is defined as a connection area. In this connection area, wiring is formed so as to maintain the connection state in the layout design in step S310. In FIG. 18 (c), the hatching of each wiring layer in the coupling region matches the hatching of the temporary physical wiring layer in which the connection state is maintained by the wiring layer.
[0182] 図 18 (a)における仮物理配線層 Kと仮物理配線層(K + 2)とにおいては、上記新 たな区画 A及び新たな区画 B間の境界を跨ぐようにしてこれら区画 A及び区画 Bを結 線する配線が敷設されている。これに対して、仮物理配線層 K+ 1では、配線の敷設 方向が Y方向であり、上記新たな区画 A及び新たな区画 B間の境界線と平行となつ ている。したがって、結合領域においては、これら仮物理配線層 Kと仮物理配線層( K + 2)に対し新たな区画 Aと新たな区画 Bとの結線状態を維持するように配線を敷 設する。換言すれば、新たな区画 Aにおける実配線層 K (l)及び実配線層 K (2)の 配線と、新たな区画 Βにおける実配線層 Κの配線とによって、新たな区画 Αと新たな 区画 Bとを結線する。また、新たな区画 Aにおける実配線層 K+ 2 (1)及び実配線層 K + 2 (2)の配線と、新たな区画 Bにおける実配線層 K + 2 (1)及び実配線層 K + 2 ( 1)の配線とによって、新たな区画 Aと新たな区画 Bとを結線する。  [0182] In the temporary physical wiring layer K and the temporary physical wiring layer (K + 2) in Fig. 18 (a), the partition A is set so as to straddle the boundary between the new partition A and the new partition B. And wiring to connect Section B is laid. On the other hand, in the temporary physical wiring layer K + 1, the wiring laying direction is the Y direction, which is parallel to the boundary between the new section A and the new section B. Therefore, in the connection area, wiring is laid on the temporary physical wiring layer K and the temporary physical wiring layer (K + 2) so as to maintain the connection state between the new section A and the new section B. In other words, the wiring of the real wiring layer K (l) and the wiring of the real wiring layer K (2) in the new section A and the wiring of the real wiring layer Β in the new section Β form a new section Α and a new section. Connect to B. The wiring of the real wiring layer K + 2 (1) and the real wiring layer K + 2 (2) in the new section A and the real wiring layer K + 2 (1) and the real wiring layer K + 2 in the new section B The new section A and the new section B are connected by the wiring of (1).
[0183] このように仮物理配線層における配線の結線状態を維持する結合領域の構造とし て、本実施形態では、隣接する区画間で同一の仮物理配線層から展開された実配 線層が連続的につながるような構造を採用する。すなわち、図 18 (c)に示すように、 新たな区画 Aの実配線層 K (l)、 Κ (2)と新たな区画 Βの実配線層 Κとを連続的につ なげるベぐ結合領域に X方向の配線層(図中、 1層目)を設けている。また、新たな 区画 Αの実配線層 Κ+ 1 (1)、 Κ+ 1 (2)と新たな区画 Βの実配線層 Κ+ 1とを連続的 につなげるベぐ結合領域に Y方向の配線層(図中、 2層目、 3層目)を設けている。 更に、新たな区画 Aの実配線層 K + 2 (1)、 K + 2 (2)と新たな区画 Bの実配線層 K + 2 (1)、 K + 2 (2)とを連続的につなげるベぐ結合領域に X方向の配線層(図中、 4層 目、 5層目)を設けている。 [0184] なお、図 18 (c)においては、結合領域と同結合領域に隣接する区画との結線を許 可しない領域に X印を付した。これは、結合領域を設定する際に、結線を許可しない 領域として区画設定部 42により設定されるものである。 [0183] As described above, in the present embodiment, as the structure of the connection region that maintains the wiring connection state in the temporary physical wiring layer, in the present embodiment, the actual wiring layer developed from the same temporary physical wiring layer between adjacent sections is Adopt a structure that connects continuously. In other words, as shown in FIG. 18 (c), the connection area that connects the real wiring layers K (l) and Κ (2) of the new section A and the real wiring layer Β of the new section 連 続 continuously A wiring layer in the X direction (first layer in the figure) is provided. In addition, the wiring in the Y direction is connected to the connection area where the real wiring layer Κ + 1 (1) and Κ + 1 (2) of the new section と and the real wiring layer Κ + 1 of the new section 連 続 are continuously connected. Layers (second and third layers in the figure) are provided. Furthermore, the real wiring layers K + 2 (1) and K + 2 (2) of the new section A and the real wiring layers K + 2 (1) and K + 2 (2) of the new section B are continuously connected. Wiring layers in the X direction (fourth and fifth layers in the figure) are provided in the connection area to be connected. [0184] In Fig. 18 (c), an area where connection between the connection area and a section adjacent to the connection area is not permitted is marked with an X. This is set by the section setting unit 42 as an area where connection is not permitted when setting the connection area.
[0185] また、結合領域の設定態様としては、例えば区画領域を跨ぐ配線を有する仮物理 配線層についてのみ、これを実配線層へ展開したものが連続的につながるようにし てもよレ、。すなわち、例えば先の図 18において、新たな区画 Aの実配線層 K+ 1 (1) 、 K+ 1 (2)と新たな区画 Bの実配線層 K+ 1とを連続的につなげるような結合領域の 設定にっレ、ては、仮物理配線層 K + 1で区画間を跨ぐ X方向の配線敷設を持たなレ、 ものとしてこれを設けなくてもよい。このように隣接する区画間を跨ぐ配線の有無を考 慮して結合領域の配線構造を定めることで、結合領域において許容される配線構造 の自由度を増大させることができる。このため、配線の敷設に関しての制約のうち結 合領域の構造からの制約を低減することができ、要求される配線の回路特性を容易 に実現することができるようになる。  [0185] Further, as a setting mode of the connection area, for example, only a temporary physical wiring layer having a wiring that straddles the partitioned area may be connected to the actual physical wiring layer, and may be continuously connected. That is, for example, in FIG. 18 described above, a connection region that continuously connects the real wiring layers K + 1 (1) and K + 1 (2) of the new section A and the real wiring layer K + 1 of the new section B is continuously connected. In setting, it is not necessary to provide an X-direction wiring laying across the sections in the provisional physical wiring layer K + 1. By determining the wiring structure of the coupling region in consideration of the presence or absence of the wiring straddling between adjacent sections in this manner, the degree of freedom of the wiring structure allowed in the coupling region can be increased. For this reason, among the restrictions on the laying of the wiring, the restriction from the structure of the coupling region can be reduced, and the required circuit characteristics of the wiring can be easily realized.
[0186] 続くステップ S360においては、ステップ S310のレイアウト設計によって得られた電 気的な接続を維持するようにして上記新たな区画間を電気的に接続する。この結合 領域を用いた上記新たな区画間の電気的な接続態様は、図 18 (d)に例示されるよう なものとなる。この図 18 (d)に示すように、新たな区画 Aにおいて 2層に展開された実 配線層 K (l)、 Κ (2)の配線が結合領域を介して新たな区画 Βにおける実配線層 の 配線と接続される。また、新たな区画 Αにおいて 2層に展開された実配線層 K + 2 (l )、 Κ + 2 (2)の配線が結合領域を介して新たな区画 Βにおいて 2層に展開された実 配線層 Κ + 2 (1)、 Κ+ 2 (2)の配線と接続される。  [0186] In the following step S360, the new sections are electrically connected so as to maintain the electrical connection obtained by the layout design in step S310. The electrical connection between the new sections using this coupling region is as illustrated in FIG. 18 (d). As shown in Fig. 18 (d), the real wiring layers K (l) and Κ (2) expanded into two layers in the new section A are connected to the real wiring layer in the new section し て via the coupling region. Connected to the wiring. In addition, the real wiring layer K + 2 (l) and the wiring of Κ + 2 (2) expanded to two layers in the new section Α are expanded to two layers in the new section 介 through the coupling region. Connected to the wiring of layers Κ + 2 (1) and Κ + 2 (2).
[0187] なお、図 18では、結合領域における配線の展開構造を 1つとしている力 こうした構 造では、 Ρ 接する区画間の仮物理配線層の配線の結線状態を満たすことができな い場合や回路特性の観点から、同展開構造を複数としてもよい。すなわち、例えば 図 19 (a)に示す仮物理配線層の配線を、図 19 (b)に示す実配線層の配線に変換す る場合、図 19 (c)に示すような結合領域を設定してもよい。これにより、図 19 (d)に示 すように、新たな区画ひの実配線層 K (l)、 Κ (2)、 Κ (3)の配線と新たな区画 βの実 配線層 Κの配線とが接続される。また、新たな区画ひの実配線層 Κ+ 2の配線と新た な区画 βの実配線層 Κ+ 2 (1)、 Κ + 2 (2)の配線とが接続される。 [0187] In Fig. 18, the force of one expansion structure of the wiring in the coupling region is used. In such a structure, the connection state of the wiring of the temporary physical wiring layer between adjacent sections cannot be satisfied. From the viewpoint of circuit characteristics, a plurality of the same expanded structures may be provided. That is, for example, when the wiring of the provisional physical wiring layer shown in FIG. 19A is converted to the wiring of the actual wiring layer shown in FIG. 19B, a connection region as shown in FIG. 19C is set. You may. As a result, as shown in FIG. 19 (d), the wiring of the real wiring layer K (l), Κ (2), Κ (3) of the new section and the wiring of the real wiring layer Κ of the new section β And are connected. In addition, a new real wiring layer 区 画 +2 The real wiring layers Κ + 2 (1) and Κ + 2 (2) in the section β are connected.
[0188] いずれにせよ、こうした結合領域を用いることで、隣接する区画間の結線に際して、 当該集積回路の基板面への投影が直線上にあって且つ配線層を乗り換えるようにし て設けられる配線を用いることができる。したがって、これら隣接する区画間の結線を 好適に行うことができる。  [0188] In any case, by using such a coupling region, when connecting the adjacent sections, the wiring provided so that the projection of the integrated circuit onto the substrate surface is on a straight line and the wiring layer is switched is provided. Can be used. Therefore, connection between these adjacent sections can be suitably performed.
[0189] こうして結合領域を用いた区画間の結線の後、先の図 16に示すステップ S370に おいて、先の図 6のステップ S140と同様、集積回路の妥当性の確認をする。更に、 ステップ S380では、先の図 16に示すステップ S150と同様、マスクデータを作成し、 この一連処理を終了する。  [0189] After the connection between the sections using the connection areas in this way, in step S370 shown in Fig. 16 above, the validity of the integrated circuit is checked in the same manner as in step S140 in Fig. 6 above. Further, in step S380, similar to step S150 shown in FIG. 16, mask data is created, and this series of processing ends.
[0190] 以上説明した本実施形態によれば、先の第 1及び第 2の実施形態における上記(1 )一 (3)及び、(5)— (7)の効果に加えて以下の効果が得られるようになる。  According to the present embodiment described above, the following effects are obtained in addition to the effects (1)-(3) and (5)-(7) in the first and second embodiments. You will be able to obtain.
[0191] (9)仮物理配線層を用いた配置配線のなされた後、各区画単位で配線の敷設され ていない仮物理配線層を削除することで、冗長なスペースを縮小することができる。 そして、各区画毎に、仮物理配線層の配線による電気的な接続を複数の実配線層 の配線にて実現することを考慮することで、論理回路部 2の全領域に渡って展開態 様を同一とした場合と比較してより冗長性の緩和された適切な配線を実現することが 可能となる。  (9) After the placement and routing using the temporary physical wiring layer is performed, the redundant space in which the wiring is not laid in each partition unit is deleted, so that the redundant space can be reduced. Then, by taking into account that the electrical connection by the wiring of the temporary physical wiring layer is realized by the wiring of a plurality of real wiring layers for each section, the development state over the entire area of the logic circuit unit 2 is considered. As a result, it is possible to realize appropriate wiring with reduced redundancy as compared with the case where the same is used.
[0192] (10)当該集積回路の基板面への投影が直線上にあって且つ配線層を乗り換える ようにして設けられる配線を有する結合領域を隣接する区画の境界近傍に設定した 。これにより、隣接する区画間の結線を好適に行うことができるようになる。  [0192] (10) The coupling region having the wiring provided such that the integrated circuit is projected on the substrate surface in a straight line and provided so as to change wiring layers is set near the boundary between adjacent sections. As a result, the connection between the adjacent sections can be preferably performed.
[0193] (第5の実施形態) ( Fifth Embodiment)
以下、本発明にかかる集積回路及びその設計方法を半導体集積回路及びその設 計方法に適用した第 5の実施形態について、先の第 4の実施形態との相違点を中心 に図面を参照しつつ説明する。  Hereinafter, a fifth embodiment in which the integrated circuit and the design method thereof according to the present invention are applied to a semiconductor integrated circuit and a design method thereof will be described with reference to the drawings, focusing on the differences from the fourth embodiment. explain.
[0194] 本実施形態では、仮物理配線層の配線を実配線層の配線へと展開する際には、 先の図 2 図 4、図 10及び図 11に示したものに限らず、例えば図 20に例示するよう な構造を有する配線を更に用いる。  In the present embodiment, when the wiring of the temporary physical wiring layer is developed into the wiring of the actual wiring layer, the wiring is not limited to those shown in FIG. 2, FIG. 4, FIG. 10, and FIG. A wiring having a structure as illustrated in FIG. 20 is further used.
[0195] 図 20 (a)は、 1層の仮物理配線層を 3層の実配線層へと展開した際に、同実配線 層のうち、第 1層目及び第 3層目にそれぞれ配線 Lgl及び配線 Lg2を設けると共に、 中間の第 2層目には配線を設けない例を示す。更に、図 20 (a)は、実配線層間のコ ンタクトをとるビアホール及び該ビアホール内に形成されるプラグの形状が任意でよ い旨を例示すベぐ配線 Lgl及び配線 Lg2間を接続するプラグ pgl0、プラグ pgl l が例示されている。 [0195] FIG. 20 (a) shows the same physical wiring when one temporary physical wiring layer is expanded into three real wiring layers. In this example, wiring Lgl and wiring Lg2 are provided on the first and third layers, respectively, and no wiring is provided on the intermediate second layer. Further, FIG. 20 (a) shows a via hole which takes a contact between the actual wiring layers and a plug Lg2 and a plug connecting between the wiring Lg2, which show that the shape of the plug formed in the via hole may be arbitrary. pgl0 and a plug pgl l are illustrated.
[0196] また、図 20 (b)には、互いに隣接した実配線層の配線 Lhl Lh3が、互いに平行 に設けられて且つ複数の箇所でビアホール内のプラグ pgl 2— pgl6により互いに電 気的に接続されている配線について、同ビアホールの配置態様を例示している。こ の図 20 (b)において、配線 Lhlの端部 Lから端部 Rへと信号を伝搬させる際には、プ ラグ pgl4による配線 Lhl及び配線 Lh2の接続箇所からプラグ pgl 2による配線 Lhl 及び配線 Lh2の接続箇所までは、上記信号が配線 Lhl及び配線 Lh2のそれぞれを 介して伝搬する。更に、プラグ pgl6による配線 Lh2及び配線 Lh3の接続箇所からプ ラグ pgl 5による配線 Lh2及び配線 Lh3の接続箇所までは、上記信号が更に配線 Lh 3を介して伝搬する。したがって、これらプラグによる配線 Lhl— Lh3の接続箇所によ つて端部 Lから端部 Rへと信号が伝搬する際の伝搬経路の抵抗値を調整することが できる。  In FIG. 20 (b), wirings Lhl Lh3 of real wiring layers adjacent to each other are provided in parallel with each other and electrically connected to each other by plugs pgl 2 -pgl 6 in via holes at a plurality of locations. The layout of the via holes is illustrated for the connected wiring. In FIG. 20 (b), when the signal is propagated from the end L to the end R of the wiring Lhl, the wiring Lhl and the wiring with the plug pgl2 are connected from the connection point of the wiring Lhl and the wiring Lh2 with the plug pgl4. The signal propagates to the connection point of Lh2 via each of the wiring Lhl and the wiring Lh2. Further, from the connection point of the wiring Lh2 and the wiring Lh3 by the plug pgl6 to the connection point of the wiring Lh2 and the wiring Lh3 by the plug pgl5, the signal further propagates through the wiring Lh3. Therefore, the resistance of the propagation path when a signal propagates from the end L to the end R can be adjusted by the connection point of the wirings Lhl-Lh3 by these plugs.
[0197] また、図 20 (c)には、互いに隣接した実配線層に設けられる配線 Lil一 Li3が、配 線 Lilの端部 Lから配線 Li3の端部 Rへ信号を伝搬させる際に、互いに電気的に直 歹 IJとなるようにして接続されている。ここでは、仮物理配線層を用いたレイアウト時に 敷設される配線長が配線 Li3の配線長であった場合を想定しており、実配線層へと 展開されたときの配線 Lil及び配線 Li2の配線長は、仮物理配線層の配線の配線長 と異なっている。そして、この配線長によって端部 Lから端部 Rへと信号が伝搬する際 の信号の伝搬経路の抵抗値を調整することができる。  [0197] FIG. 20 (c) shows that the wiring Lil-Li3 provided in the real wiring layers adjacent to each other propagates a signal from the end L of the wiring Lil to the end R of the wiring Li3. They are electrically connected to each other so as to form a system IJ. Here, it is assumed that the wiring length laid in the layout using the temporary physical wiring layer is the wiring length of the wiring Li3, and the wiring Lil and the wiring Li2 when the wiring is expanded to the actual wiring layer. The length is different from the wiring length of the wiring of the temporary physical wiring layer. The resistance of the signal propagation path when the signal propagates from the end L to the end R can be adjusted by the wiring length.
[0198] 更に、図 20 (d)には、先の図 4に示す場合において、電位固定される配線 Lj lとコ ンタクトホールを介して接続される配線 Lj2の配線長力 同配線 Lj lの配線長と異な つている場合を示している。こうした構成を有する配線を先の図 4に例示するように隣 接させることで、これら隣接する配線間の容量を調整することができる。  Further, FIG. 20 (d) shows, in the case shown in FIG. 4 above, the wiring length of the wiring Lj1 fixed to the potential and the wiring Lj2 connected via the contact hole. The case where the wiring length is different is shown. By arranging the wirings having such a configuration adjacent to each other as illustrated in FIG. 4, the capacitance between these adjacent wirings can be adjusted.
[0199] カロえて、図 20 (e)には、複数の配線層へと展開された各実配線層の配線 Lkl、 Lk 2がそれぞれ異なる配線幅を有する場合を示している。なお、こうした配線 Lkl、 Lk2 は、例えば先の図 2—図 4や、先の図 10、図 11、図 13に示す第(n+ 1)層目の配線 と第 n層目の配線としてもよい。 [0199] As shown in FIG. 20 (e), the wirings Lkl, Lk of the actual wiring layers expanded to a plurality of wiring layers are shown. 2 shows a case where each has a different wiring width. Note that these wirings Lkl and Lk2 may be, for example, the (n + 1) th layer wiring and the nth layer wiring shown in FIGS. 2 to 4 and FIGS. 10, 11, and 13 described above. .
[0200] 更に、本実施形態では、仮物理配線層に基づいて、結線を全て完了させる詳細配 線よりもその演算負荷の小さな結線処理である仮の結線を行レ、、同仮の結線に基づ き配置の最適化や配線経路の最適化を図る。そして、その後、仮物理配線層から実 配線層への展開を行う。なお、本実施形態では、上記仮の結線についても、所定の 仮物理配線層の各配線を、複数の配線層からなる実配線層へ略投影した領域の少 なくとも 1つの領域を用レ、て形成される配線に変換することを前提とした回路特性に 基づいて行う。 [0200] Further, in the present embodiment, based on the temporary physical wiring layer, temporary connection, which is a connection process with a smaller computational load than detailed wiring for completing all connections, is performed. We will try to optimize the layout based on the layout and the wiring route. After that, the development from the temporary physical wiring layer to the actual wiring layer is performed. In this embodiment, at least one area of the area where the wiring of the predetermined temporary physical wiring layer is substantially projected onto the actual wiring layer including a plurality of wiring layers is used for the temporary connection. This is performed based on the circuit characteristics on the assumption that the wiring is converted into a wiring formed.
以下、図 21を用いて、本実施形態に力かる集積回路の設計手順について詳述す る。  Hereinafter, with reference to FIG. 21, the procedure for designing an integrated circuit that is effective in this embodiment will be described in detail.
[0201] この一連の処理においては、まずステップ S400において、先の図 16に示したステ ップ S300と同様、仮物理配線層を定義する。  In this series of processing, first, in step S400, a temporary physical wiring layer is defined as in step S300 shown in FIG.
[0202] 続いて、ステップ S410では、仮物理配線層に基づいて、上記自動配置部 22により 機能セルの自動配置を行うとともに自動配線部 24により仮の結線を行う。この仮の結 線としては、結線を所望する 2点間を直線で結ぶスタイナー配線や、例えば予め設け られた制限時間内のトライアンドエラーにより行うなどする上記詳細配線よりも演算負 荷の少ないグローバル配線等がある。なお、これらにおいては、例えば配線のショー ト等を許容するようにしてもよい。また、これらの処理における回路変数の見積もりは、 所定の仮物理  Subsequently, in step S410, based on the provisional physical wiring layer, the automatic placement unit 22 performs the automatic placement of the function cells and the automatic wiring unit 24 performs the temporary connection. The temporary connection may be a Steiner wiring connecting a desired two points with a straight line, or a global connection that requires less computational load than the detailed wiring described above, for example, by performing a trial and error within a predetermined time limit. There are wiring etc. In these cases, for example, a short-circuit of wiring may be allowed. In addition, estimation of circuit variables in these processes is performed by
配線層の各配線を、複数の配線層からなる実配線層へ略投影した領域の少なくとも 1つの領域を用いて形成される配線に変換した場合に実現されるものを考慮して行う  This is performed in consideration of what is realized when each wiring of the wiring layer is converted to a wiring formed using at least one region of a region substantially projected onto an actual wiring layer including a plurality of wiring layers.
[0203] 続くステップ S420におレ、ては、先の図 16のステップ S320と同様、当該集積回路 において上記自動配置配線ツールによりレイアウト設計のなされた領域を先の図 15 に示した区画設定部 42により小区画に分割する。 [0203] In the following step S420, similarly to step S320 in Fig. 16 described above, the area for which layout has been designed by the automatic placement and routing tool in the integrated circuit is defined by the partition setting unit shown in Fig. 15 above. Divide into small sections by 42.
[0204] 続くステップ S430では、上記結線の終了した集積回路について、上記回路変数決 定部 32により、それら各結線に力かる配線の回路変数の上限値及び下限値を上記 各区画毎に決定する。すなわち、本実施形態では、先の図 20に例示するような配線 構造を可能とするために、実配線層の数が決定されたとしても、回路変数は一義的 に定まらず、その上限値及び下限値が定まる。ここでは、タイミング等の設計制約を 満たし、且つ上記仮物理配線層から実配線層への展開数を最小とする回路変数を 決定する。 [0204] In the following step S430, for the integrated circuit on which the connection has been completed, the circuit variable determination is performed. The setting unit 32 determines the upper limit value and the lower limit value of the circuit variable of the wiring that works on each connection for each section. That is, in the present embodiment, even if the number of actual wiring layers is determined, the circuit variables are not uniquely determined to enable the wiring structure as exemplified in FIG. The lower limit is determined. Here, a circuit variable that satisfies design constraints such as timing and minimizes the number of developments from the temporary physical wiring layer to the actual wiring layer is determined.
[0205] なお、上記タイミングの設計制約を満たすか否かの検証については、仮の結線の 行われたレイアウトデータに基づき上記タイミング解析部 30によりタイミング解析を行 う際、次のことに注意する。  When verifying whether or not the above timing design constraint is satisfied, note the following when performing the timing analysis by the timing analysis unit 30 based on the layout data on which the temporary connection has been performed. .
[0206] すなわち、上記グローバル配線においては、例えば上述したように配線のショート 等が許容されている。このため、例えば隣接配線間のカップリング容量を考慮しつつ タイミング解析を行う際には、例えば、これらショートしている配線間の間隔力 配線 の敷設間隔として許容される最小の間隔(単位間隔)であるとしてタイミング解析を行 うようにする。  That is, in the global wiring, for example, a short circuit of the wiring is allowed as described above. For this reason, for example, when performing timing analysis while taking into account the coupling capacitance between adjacent wirings, for example, the minimum distance (unit spacing) allowed as the spacing force between these short-circuited wirings And perform timing analysis.
[0207] また、スタイナー配線の場合、所望する 2力所間を直線で結線するため、実際の配 線の引き回しと直接対応したものとなっていなレ、。このため、例えばスタイナー配線の 終了後の結線態様から、例えば配線混雑度が大きいほど隣接配線間のカップリング 容量を大きく設定するなどしてタイミング解析を行う。  [0207] In the case of the Steiner wiring, since a desired two places are connected by a straight line, it does not directly correspond to the actual wiring layout. For this reason, for example, from the connection mode after the end of the Steiner wiring, the timing analysis is performed by, for example, setting a larger coupling capacitance between adjacent wirings as the wiring congestion degree becomes higher.
[0208] こうしてステップ S430において回路変数が決定されると、ステップ S440に移行す る。このステップ S440では、上記区画設定部 42により、各区画毎に仮物理配線層の 配線を実配線層へ展開する際に、展開態様の異なる区画間の境界を抽出し、これら 区画間を結合する結合領域を設定する。  [0208] After the circuit variables are determined in step S430, the process proceeds to step S440. In step S440, when the wiring of the temporary physical wiring layer is expanded to the actual wiring layer for each of the sections by the above-described section setting section 42, boundaries between the sections having different development modes are extracted, and these sections are connected. Set the connection area.
[0209] 続くステップ S450では、上記ステップ S430、ステップ S440に示す処理による見積 もりから取得される情報に基づき自動配置部 22により、配置の微調整を行う。すなわ ち、ステップ S430において、各小区画において配線の敷設に使用できる仮物理配 線層数が決定されている。また、ステップ S440においては、実配線層への展開が異 なる隣接する区画間の結合態様が設定されている。このため、これらの情報に基づき 、ステップ S410によって得られた配置を更に微調整する。なお、この際には、ステツ プ S430にて決定された回路変数の上限値及び下限値の範囲で最適な配置となるよ うな微調整を行う。 [0209] In the following step S450, the automatic placement unit 22 performs fine adjustment of the placement based on the information obtained from the estimation by the processing shown in steps S430 and S440. That is, in step S430, the number of temporary physical wiring layers that can be used for wiring in each subsection is determined. Further, in step S440, a connection mode between adjacent sections having different developments on the actual wiring layer is set. Therefore, based on these information, the arrangement obtained in step S410 is further finely adjusted. In this case, Step S430: Make fine adjustments to obtain an optimal arrangement within the range of the upper and lower limits of the circuit variables determined in S430.
[0210] 続くステップ S460では、仮物理配線層に基づいて集積回路の全ての箇所を結線 する詳細配線を行い、更に、この詳細配線によって得られた配線を実配線層の配線 へと展開する。ここで、詳細配線は、所定の仮物理配線層の各配線を、複数の配線 層からなる実配線層へ略投影した領域の少なくとも 1つの領域を用いて形成される配 線に変換することを前提とした回路特性に基づいて行われる。この詳細配線は、上 記ステップ S410によってなされた仮の結線がグローバル配線である場合には、これ を利用するようにすることが望ましい。また、これに代えて、再度仮の結線による見積 もりを行いつつ回路変数の調整を  [0210] In the following step S460, detailed wiring for connecting all parts of the integrated circuit is performed based on the provisional physical wiring layer, and the wiring obtained by the detailed wiring is expanded to wiring in the actual wiring layer. Here, the detailed wiring refers to converting each wiring of a predetermined temporary physical wiring layer into a wiring formed by using at least one region of a region substantially projected onto a real wiring layer including a plurality of wiring layers. This is performed based on the assumed circuit characteristics. It is desirable to use this detailed wiring if the temporary connection made in step S410 is a global wiring. Instead of this, adjustment of circuit variables is performed while estimating by temporary connection again.
行うようにしてもよレ、。ただし、この場合、回路変数の調整は、上記ステップ S430で決 定された回路変数の上限値及び下限値の範囲内とすることが望ましい。そして、この 詳細配線の後、上記タイミング解析部 30によりタイミング解析を行うことで、最終的な 回路変数を決定する。  You can do it. However, in this case, it is preferable that the adjustment of the circuit variable be within the range of the upper limit value and the lower limit value of the circuit variable determined in step S430. After the detailed wiring, the timing analysis is performed by the timing analysis unit 30 to determine a final circuit variable.
[0211] そして、最終的な回路変数が決定されると、上記配線層展開部 34では、これに基 づいて仮物理配線層の配線を実配線層の配線へと展開する。換言すれば、仮物理 配線層の配線を、複数の配線層からなる実配線層へ略投影した領域の少なくとも 1 つの領域を用いて形成される配線に変換する。ただし、この際、図 20に例示する配 線構造を許容するために、実配線層上の配線は、仮物理配線層の配線を実配線層 へ単に投影した領域と必ずしも一致しなレ、。  [0211] When the final circuit variables are determined, the wiring layer developing unit 34 expands the wiring of the temporary physical wiring layer into the wiring of the actual wiring layer based on the final circuit variable. In other words, the wiring of the temporary physical wiring layer is converted into a wiring formed using at least one of the regions substantially projected onto the real wiring layer including a plurality of wiring layers. However, at this time, in order to allow the wiring structure illustrated in FIG. 20, the wiring on the actual wiring layer does not necessarily match the region where the wiring of the temporary physical wiring layer is simply projected onto the real wiring layer.
[0212] そして、ステップ S470においては、上記ステップ S460の詳細配線による仮物理配 線層の電気的な接続を維持するように結合領域を用いて区画間を電気的に接続す る。  [0212] Then, in step S470, the sections are electrically connected to each other using the coupling region so as to maintain the electrical connection of the temporary physical wiring layer by the detailed wiring in step S460.
[0213] 具体的には、上記ステップ S440の結合領域の設定やこれに基づくステップ S470 の処理は、図 22に示すようにして行うことが望ましい。  [0213] Specifically, the setting of the connection area in step S440 and the processing in step S470 based on the setting are desirably performed as shown in FIG.
[0214] 図 22 (a)は隣接する区画 Aと区画 Bとで配線の敷設されている仮物理配線層数が 異なる場合について、その仮物理配線層における配線の敷設態様を、また図 22 (b) は実配線層への展開態様を示している。そして、先の図 18に示した区画間の結合手 法に加え、図 22 (c)では、隣接する区画 A及び区画 Bの同一の実配線層における配 線の敷設方向が区画 A及び区画 Bで互いに同一である場合に、直接結合可能として 区画境界部にて結合可能な仮物理配線層同士を新たに定義する。また、上記ステツ プ S470における処理においては、上記ステップ S460により隣接する区画間を跨つ て結線のなされてレ、る配線層につレ、ては、展開した実配線層におレ、ても結線関係を 維持するようにする。こうして、ステップ S470における処理により、図 22 (d)に例示す るように、上記結合領域を用いて隣接する区画 A及び区画 B間の結線が行われる。 [0214] Fig. 22 (a) shows the layout of wiring in the temporary physical wiring layers when the number of temporary physical wiring layers in which wiring is laid differs between the adjacent sections A and B. b) shows a mode of development to an actual wiring layer. Then, the joint between the sections shown in Fig. 18 In addition to the method shown in Fig. 22 (c), in the case where the wiring laying directions in the same real wiring layer of the adjacent sections A and B are The provisional physical wiring layers that can be combined in the section are newly defined. Further, in the processing in the above step S470, the connection is made across the adjacent sections in the above step S460, the wiring layer is formed, and the actual wiring layer is expanded. Maintain the connection relationship. Thus, by the processing in step S470, as shown in FIG. 22 (d), the connection between the adjacent sections A and B is performed using the connection area.
[0215] このように、同一の実配線層における配線の敷設方向が同一である場合に、新た に結合領域において結合可能とすることで、異なる実配線層を経由した接続を低減 すること力 Sできる。すなわち、例えば図 22 (a)において、区画 Aには配線の敷設され ている仮物理配線層は、仮物理配線層 K一 K + 2のみであり、これは区画 Bについて の配線の敷設されている仮物理配線層である仮物理配線層 K一 K + 4とは異なる。こ のため、例えば区画 Aの仮物理配線層 K + 2と区画 Bの仮物理配線層 K + 4とが電 気的に接続されるべき関係にある配線を有してレ、た場合には、仮物理配線層を用レヽ たレイアウトにおいては、他の配線層や層間絶縁膜を経由して接続することとなる。し かし、実配線層においては、図 22 (d)に示すように、これら区画 Aの仮物理配線層 K + 2に対応する実配線層 K + 2 (2)と区画 Bの仮物理配線層 K + 4に対応する実配線 層 K + 4とは互いに同一の層で接続することとなる。  [0215] As described above, when the wiring directions in the same actual wiring layer are the same, the connection can be newly established in the coupling region, thereby reducing the connection via different actual wiring layers. it can. That is, for example, in FIG. 22 (a), the temporary physical wiring layer in which wiring is laid in the section A is only the temporary physical wiring layer K-K + 2, which is the wiring in the section B where the wiring is laid. This is different from the temporary physical wiring layer K-K + 4, which is a temporary physical wiring layer. For this reason, for example, if the provisional physical wiring layer K + 2 of the section A and the provisional physical wiring layer K + 4 of the section B have wiring that is to be electrically connected, In a layout using a temporary physical wiring layer, connections are made via another wiring layer or an interlayer insulating film. However, in the real wiring layer, as shown in FIG. 22 (d), the real wiring layer K + 2 (2) corresponding to the provisional physical wiring layer K + 2 of these sections A and the temporary physical wiring of the section B The actual wiring layer K + 4 corresponding to the layer K + 4 is connected to the same layer.
[0216] ただし、こうした結合領域を用いた区画 A及び区画 B間の結線においては、隣接す る区画間を跨って結線のなされている仮物理配線層の配線については、展開した実 配線層の配線においても結線関係を維持するとの条件をはずしてもよい。以下、これ について、図 23に例示する。  [0216] However, in the connection between the section A and the section B using such a connection area, the wiring of the temporary physical wiring layer that is connected across the adjacent sections is the same as that of the expanded real wiring layer. The condition for maintaining the connection relation in the wiring may be removed. Hereinafter, this is illustrated in FIG.
[0217] すなわち、図 23 (a)及び図 23 (b)は、先の図 22 (a)及び図 22 (b)と同一の状況を 示している。そして、図 23 (c)においては、隣接する区画 A及び区画 Bの同一の実配 線層であって、且つ配線の敷設方向が同一であるとともに区画 A及び区画 Bの境界 に直交する配線層でのみ区画 A及び区画 B間の結線を許可する。そして、上記ステ ップ S470における処理によって、図 23 (d)に例示するように、上記結合領域を用い て隣接する区画 A及び区画 B間の結線が行われる。ただし、ここでは、図 23 (a)に示 した区画 Aの仮物理配線層 K + 2と区画 Bの仮物理配線層 K + 2との接続は維持さ れていない。 That is, FIGS. 23 (a) and 23 (b) show the same situation as FIGS. 22 (a) and 22 (b). Then, in FIG. 23 (c), the wiring layer is the same real wiring layer of the adjacent sections A and B, and the wiring laying direction is the same, and the wiring layer is orthogonal to the boundary between the sections A and B. Only allow connections between Block A and Block B at. Then, by the processing in the above step S470, as shown in FIG. 23 (d), the connection between the adjacent sections A and B is performed using the connection area. However, in this case, The connection between the provisional physical wiring layer K + 2 of the section A and the provisional physical wiring layer K + 2 of the section B is not maintained.
[0218] こうして隣接する区画間の結線が行われると、ステップ S480及びステップ S490で は、先の図 16のステップ S370及びステップ S380と同様の処理を行レ、、この一連の 処理を終了する。  [0218] When the connection between the adjacent sections is performed in this way, in steps S480 and S490, the same processing as in steps S370 and S380 in Fig. 16 is performed, and this series of processing ends.
[0219] 以上説明した本実施形態によれば、先の第 4の実施形態の上記各効果に加えて、 以下の効果が得られるようになる。  According to the present embodiment described above, the following effects can be obtained in addition to the above-described effects of the fourth embodiment.
[0220] (11)各実配線層の配線 Lhl— Lh3が、互いに平行に設けられて且つ複数の箇所 でビアホール内のプラグ pgl 2— pgl6により互いに電気的に接続されている配線に ついて、同ビアホールの配置態様を任意に設定可能とした。これにより、端部しから 端部 Rへと信号が伝搬する際の伝搬経路の抵抗値を調整することができる。  [0220] (11) The wirings Lhl-Lh3 of each real wiring layer are provided in parallel with each other and are electrically connected to each other at a plurality of locations by plugs pgl2-pgl6 in via holes. The arrangement of via holes can be set arbitrarily. This makes it possible to adjust the resistance value of the propagation path when the signal propagates from the end to the end R.
[0221] (12)仮物理配線層の配線の配線長と実配線層へと展開した配線の配線長とを異 ならしめることを許容した。これにより、配線長によって抵抗値や配線間容量を調整 すること力 Sできる。  [0221] (12) The wiring length of the wiring of the temporary physical wiring layer and the wiring length of the wiring expanded to the actual wiring layer are allowed to be different. As a result, it is possible to adjust the resistance value and the capacitance between the wirings according to the wiring length.
[0222] (13) 1つの仮物理配線層から展開された実配線層間で配線幅が異なることを許容 した。これにより、抵抗値や配線間容量を調整することができる。  [0222] (13) The wiring width is allowed to differ between real wiring layers developed from one temporary physical wiring layer. Thereby, the resistance value and the capacitance between wirings can be adjusted.
[0223] (14)仮物理配線層の各配線を、複数の配線層からなる実配線層へ略投影した領 域の少なくとも 1つの領域を用いて形成される配線に変換することを前提としつつ仮 物理配線層に基づいて配置配線を行うことにより、配置及び配線経路をより適切とす るレイアウト設計を行うことができる。  [0223] (14) While assuming that each wiring of the temporary physical wiring layer is converted into a wiring formed using at least one region of a region substantially projected onto a real wiring layer including a plurality of wiring layers, By performing the placement and routing based on the temporary physical wiring layer, it is possible to perform a layout design that makes the placement and the wiring route more appropriate.
[0224] (第 6の実施形態)  [0224] (Sixth embodiment)
以下、本発明にかかる集積回路及びその設計方法を半導体集積回路及びその設 計方法に適用した第 6の実施形態について、先の第 4の実施形態との相違点を中心 に図面を参照しつつ説明する。  Hereinafter, a sixth embodiment in which the integrated circuit and its design method according to the present invention are applied to a semiconductor integrated circuit and its design method will be described with reference to the drawings, focusing on the differences from the fourth embodiment. explain.
[0225] 上記第 4の実施形態では、仮物理配線層を用いて配置及び結線を行った後、集積 回路の基板面に対する投影が複数に分割された各区画毎に、仮物理配線層から実 配線層への展開を行った。すなわち、集積回路を平面的な区画に分割し、これを単 位として実配線層への展開を行つた。 [0226] これに対し、本実施形態では、集積回路を平面的な区画に分割するのみならず、 更に、いくつかの仮物理配線層を単位とする垂直方向に対する分割をも行う。 図 2 4に本実施形態にかかる集積回路の区画への分割態様を例示する。この図 24にお いては、仮物理配線層 K一仮物理配線層 K+ 7を有する上記論理回路部 2の分割態 様を例示している。 In the fourth embodiment, after the arrangement and the connection are performed using the temporary physical wiring layer, the projection of the integrated circuit onto the substrate surface is performed from the temporary physical wiring layer for each of the plurality of divided sections. Development to the wiring layer was performed. That is, the integrated circuit was divided into two-dimensional sections, which were developed into actual wiring layers as a unit. On the other hand, in the present embodiment, not only is the integrated circuit divided into planar partitions, but also division in the vertical direction is performed using several temporary physical wiring layers as a unit. FIG. 24 exemplifies how the integrated circuit is divided into sections according to the present embodiment. FIG. 24 illustrates a division state of the logic circuit unit 2 having the temporary physical wiring layer K and the temporary physical wiring layer K + 7.
[0227] ここで、図 24 (a)は、論理回路部 2のうち仮物理配線層 K一 K + 4の分割態様を示 す。そして、論理回路部 2のうち残りの仮物理配線層 K + 5 K+ 7は、図 24 (b)に示 す区画 uと、図 24 (c)に示す区画 Vと、図 24 (d)に示す区画 wとにそれぞれ分割され る。このように、本実施形態では、仮物理配線層 K + 5と仮物理配線層 K + 6と仮物 理配線層 K + 7と力 それぞれ分割された 1つの区画を構成している。  Here, FIG. 24 (a) shows a division mode of the provisional physical wiring layer K−K + 4 in the logic circuit unit 2. The remaining temporary physical wiring layer K + 5K + 7 in the logic circuit section 2 is divided into a section u shown in FIG. 24 (b), a section V shown in FIG. 24 (c), and a section V shown in FIG. 24 (d). And is divided into the indicated sections w. As described above, in the present embodiment, the temporary physical wiring layer K + 5, the temporary physical wiring layer K + 6, the temporary physical wiring layer K + 7, and the force are respectively divided into one section.
[0228] こうした構成において、仮物理配線層 K一 K + 4については、先の図 14に示した一 連の処理において、先の図 17及び図 18に示した態様にて各処理が行われることと なる。  In such a configuration, for the provisional physical wiring layer K-K + 4, in the series of processes shown in FIG. 14, each process is performed in the mode shown in FIGS. 17 and 18. That would be.
[0229] 一方、仮物理配線層 K+ 5— K+ 7については、先の図 14のステップ S220の処理 によって決定された回路変数に基づき、ステップ S230の処理において図 24 (e)及 び図 24 (f)に例示するように仮物理配線層から実配線層への展開を行う。なお、この 仮物理配線層から実配線層への展開により生成される配線は、先の図 2—図 4等に 例示したように、展開される各実配線層上の配線形成のマスクが同一となるものとす ることが望ましい。  [0229] On the other hand, for the provisional physical wiring layer K + 5—K + 7, based on the circuit variables determined by the processing of step S220 in FIG. 14 above, in the processing of step S230, FIG. 24 (e) and FIG. As shown in f), development from the temporary physical wiring layer to the actual wiring layer is performed. The wiring generated by expanding from the temporary physical wiring layer to the real wiring layer has the same wiring formation mask on each of the developed real wiring layers as illustrated in FIGS. It is desirable that
[0230] このように本実施形態によれば、レ、くつかの仮物理配線層を単位として区画を構成 し、同区画毎に回路特性の調整を行うようにするために、より適切や回路調整を行う ことができるようになる。すなわち、例えば集積回路の最上層の配線は、その配線長 が長くなる傾向にあるため配線抵抗の増大が顕著となるが、この仮物理配線層の配 線を例えば先の図 2に示す配線に変換することで、その抵抗値を好適に抑制するこ とができる。  [0230] As described above, according to the present embodiment, a partition is configured in units of several temporary physical wiring layers, and circuit characteristics are adjusted for each partition. You will be able to make adjustments. That is, for example, the wiring in the uppermost layer of the integrated circuit tends to have a longer wiring length, so that the wiring resistance increases remarkably. However, the wiring of this temporary physical wiring layer is replaced with, for example, the wiring shown in FIG. By performing the conversion, the resistance value can be suitably suppressed.
[0231] 以上説明した本実施形態によれば、先の第 4の実施形態の各効果に加えて更に以 下の効果が得られるようになる。  According to the present embodiment described above, the following effects can be further obtained in addition to the effects of the fourth embodiment.
[0232] (15)仮物理配線層から実配線層への展開の単位となる区画を、集積回路の基板 面と平行な面における 2次元的な分割として構成するのみならず、いくつかの仮物理 配線層を単位とする分割としても構成した。これにより、集積回路の回路特性を一層 好適に調整することができる。 (15) A section which is a unit of development from a temporary physical wiring layer to an actual wiring layer is defined as an integrated circuit board. Not only was it configured as a two-dimensional division in a plane parallel to the plane, but also as a division in units of several temporary physical wiring layers. Thereby, the circuit characteristics of the integrated circuit can be adjusted more suitably.
[0233] (第 7の実施形態)  (Seventh Embodiment)
以下、本発明にかかる集積回路及びその設計方法を半導体集積回路及びその設 計方法に適用した第 7の実施形態について、上記第 1の実施形態との相違点を中心 に図面を参照しつつ説明する。  Hereinafter, a seventh embodiment in which the integrated circuit and its design method according to the present invention are applied to a semiconductor integrated circuit and its design method will be described with reference to the drawings, focusing on differences from the first embodiment. I do.
[0234] 上記第 1の実施形態では、一旦仮物理配線層を用いて結線を行った後、これを実 配線層へ展開するようにした。これに対し、本実施形態では、仮物理配線層及びこれ を展開した実配線層を予め定めておいて、 自動配線ツールによる結線を行う。すな わち、電気的特性の調整が所望される配線の敷設領域の上層又は下層に対応して 自動配線ツールによる結線を禁止する禁止領域を設けて結線を行う。そして、その後 、禁止領域を用いて上記所定の配線を、先の図 2— 4、図 10、図 11、図 20等に例示 した配線と同様の構造を有する配線に変換する。尚、配線禁止領域は、ビア形成の 許可 ·禁止などの特徴を持たせることで、配線経路と、配線構造の形成の自由度を制 御することが可能である。  In the first embodiment, the connection is once performed using the temporary physical wiring layer, and then the connection is developed to the actual wiring layer. On the other hand, in the present embodiment, a temporary physical wiring layer and an actual wiring layer obtained by expanding the temporary physical wiring layer are determined in advance, and connection is performed by an automatic wiring tool. In other words, the connection is performed by providing a prohibited area for which connection by the automatic wiring tool is prohibited corresponding to the upper layer or the lower layer of the wiring laying area where the adjustment of the electrical characteristics is desired. After that, the predetermined wiring is converted into a wiring having the same structure as the wiring illustrated in FIGS. 2-4, 10, 10, 11, and 20 by using the prohibited area. The wiring prohibition area has features such as permission / prohibition of via formation, so that it is possible to control a wiring path and a degree of freedom in forming a wiring structure.
以下、これについて、図 25—図 28を用いて説明する。  Hereinafter, this will be described with reference to FIGS.
[0235] 図 25は、本実施形態にかかる集積回路の設計手順を示すフローチャートである。  FIG. 25 is a flowchart showing a procedure for designing an integrated circuit according to the present embodiment.
なお、この設計手順は、先の図 5に示した設計支援装置を用いて行われる。  This design procedure is performed using the design support device shown in FIG.
[0236] この一連の処理においては、まずステップ S500において、上記自動配置部 22に おいて、回路設計の終了した機能セルの自動配置が行われる。  [0236] In this series of processing, first, in step S500, the automatic placement unit 22 performs automatic placement of a functional cell for which circuit design has been completed.
[0237] 続くステップ S510においては、配線抵抗や配線間の容量等、配線の電気的な特 性の調整を所望する所定の配線の敷設領域の上層又は下層に自動配線ツールによ る結線を禁止する禁止領域を設ける。ここで、所定の配線は、例えばバス配線やクロ ック配線とすることが望ましい。また、上記所定の配線の敷設領域の上層又は下層の 配線層としては、所定の配線の敷設される配線層に隣接する配線層であることが望 ましい。  [0237] In the following step S510, connection using an automatic wiring tool is prohibited in an upper layer or a lower layer of a predetermined wiring laying area where adjustment of electric characteristics of the wiring, such as wiring resistance and capacitance between the wirings, is desired. A prohibited area is provided. Here, it is desirable that the predetermined wiring is, for example, a bus wiring or a clock wiring. Further, it is preferable that the upper or lower wiring layer of the predetermined wiring laying area is a wiring layer adjacent to the wiring layer on which the predetermined wiring is laid.
[0238] 具体的には、この禁止領域は、例えば、上記設計仕様格納部 12の回路情報等を 用いてバス配線やクロック配線等、上記所定の配線を特定し、これに基づき、上記入 力部 50を通じて上記自動配線部 24に禁止領域を通知するなどして行えばよい。 [0238] Specifically, this prohibited area stores, for example, circuit information and the like in the design specification storage unit 12 described above. The predetermined wiring such as a bus wiring or a clock wiring may be used to specify the predetermined wiring and, based on the specified wiring, notify the automatic wiring unit 24 of the prohibited area through the input unit 50.
[0239] 続くステップ S520においては、 自動配線部 24において、上記禁止領域を回避す るかたちで各機能セル間の結線を行う。 [0239] In the following step S520, in the automatic wiring unit 24, connection between the functional cells is performed in such a manner as to avoid the prohibited area.
[0240] こうして各機能セル間の結線が行われると、ステップ S530において、回路変数決 定部 32により、回路変数の決定が行われる。これは、例えば次のようにして行えばよ レ、。すなわちまず、上記所定の配線を、先の図 2— 4、図 10、図 11、図 20等に例示 した構造を有する 2層の配線層に跨る配線に変換した場合の回路変数の取り得る値 を上記回路変数算出部 20により算出する。そして、同回路変数算出部 20にて算出 された回路変数に基づき、タイミング解析部 30によりタイミング解析を行う。そして、こ のタイミング解析部 30の解析結果に基づき、設計制約を満たす回路変数を決定する [0240] After the connection between the functional cells is thus performed, the circuit variables are determined by the circuit variable determining unit 32 in step S530. This can be done, for example, as follows. That is, first, the values that can be taken by the circuit variables when the above-mentioned predetermined wiring is converted into the wiring extending over the two wiring layers having the structure illustrated in FIGS. 2-4, 10, 10, and 20, etc. Is calculated by the circuit variable calculator 20. Then, based on the circuit variables calculated by the circuit variable calculator 20, the timing analyzer 30 performs timing analysis. Then, a circuit variable that satisfies the design constraint is determined based on the analysis result of the timing analysis unit 30.
[0241] 続くステップ S540では、決定された回路変数に基づき、上記配線層展開部 34によ り、禁止領域へ配線を展開する。そして、ステップ S550、 S560において、先の図 6 に示したステップ S 140、 S 150の処理と同様の処理を行う。 [0241] In the following step S540, based on the determined circuit variables, the wiring layer developing unit 34 expands the wiring to the prohibited area. Then, in steps S550 and S560, the same processing as the processing in steps S140 and S150 shown in FIG. 6 is performed.
[0242] 例えば、図 26 (a)—図 26 (c)は、仮物理配線層 Kを展開する複数の実配線層 K (3 )ー (1)を示す。  For example, FIGS. 26 (a) to 26 (c) show a plurality of actual wiring layers K (3)-(1) in which the provisional physical wiring layer K is developed.
[0243] これら実配線層 K (3)— K (l)には、 自動配線ツールによる配線に先立ち、仮物理 配線層を展開する領域として禁止領域が設定されている。すなわち、図 26 (a)に示 す実配線層 K (3)には配線禁止領域 DAIが設定され、図 26 (a)に示す実配線層 K ( 2)には禁止領域 DA2, DA3, DA4が設定され、図 26 (a)に示す実配線層 Κ (1)に は禁止領域 DA5が設定されている。なお、図 26 (b)に示す禁止領域 DA4は、配線 及び貫通ビア(当該配線層を貫通して当該配線層の上層と下層の配線を接続するビ ァホール)の形成(自動配置)を禁止する領域であり、他の禁止領域 DAI , DA2, D A3, DA5は配線を禁止するが貫通ビアの形成は許可する領域である。また、図 26 ( a)に示すように、実配線層 K (3)には所定の配線 Ll l , L12が、図 26 (c)に示すよう に、実配線層 K (l)には所定の配線 L13, L14が敷設されている。  [0243] In these real wiring layers K (3)-K (l), a prohibited area is set as an area where the temporary physical wiring layer is developed before wiring by the automatic wiring tool. That is, the wiring prohibited area DAI is set in the real wiring layer K (3) shown in FIG. 26A, and the prohibited areas DA2, DA3, and DA4 are set in the real wiring layer K (2) shown in FIG. 26A. Is set, and the prohibited area DA5 is set in the actual wiring layer Κ (1) shown in FIG. 26 (a). The prohibited area DA4 shown in FIG. 26B prohibits the formation (automatic placement) of wiring and through vias (via holes that penetrate the wiring layer and connect the upper and lower wirings of the wiring layer). The other prohibited areas DAI, DA2, DA3, and DA5 are areas where wiring is prohibited but formation of through vias is permitted. Also, as shown in FIG. 26 (a), predetermined wirings Ll l and L12 are provided in the actual wiring layer K (3), and as shown in FIG. 26 (c), predetermined wirings Ll l and L12 are provided in the actual wiring layer K (l). Wiring L13 and L14 are laid.
[0244] 図 27 (a)一 (c)及び図 28 (a)— (c)は、結線後に仮物理配線層 Kを展開した実配 線層 K (3)— K (l)を示す。ここでは、仮物理配線層 Κの配線 L11が、決定された回 路変数に基づき実配線層 Κ (2)及び実配線層 Κ (3)の配線 LI la, LI lb及びプラグ Pl la, PI lbに展開されている。また、仮物理配線層 Kの配線 L14力 決定された回 路変数に基づき実配線層 K (l)及び実配線層 K (2)の配線 L14a, L14b, L14c及 びプラグ P12a, P12bに展開されている。 [0244] Figs. 27 (a) -1 (c) and 28 (a)-(c) show the actual distribution in which the temporary physical wiring layer K is expanded after connection. Line layer K (3) —shows K (l). Here, the wiring L11 of the provisional physical wiring layer Κ is changed to the wiring LI la, LI lb and the plug Pl la, PI lb of the real wiring layer Κ (2) and the real wiring layer Κ (3) based on the determined circuit variables. Has been expanded to. In addition, the wiring L14 of the provisional physical wiring layer K is expanded to the wirings L14a, L14b, L14c and the plugs P12a, P12b of the actual wiring layer K (l) and the actual wiring layer K (2) based on the determined circuit variables. ing.
[0245] 以上説明した本実施形態によっても先の第 1の実施形態の上記(1)一(3)の効果 や、先の第 2の実施形態の上記(6)、(7)の効果、先の第 5の実施形態の上記(11) 一(13)の効果と同様の効果を得ることができる。  According to the present embodiment described above, the effects (1) and (3) of the first embodiment, the effects (6) and (7) of the second embodiment, The same effects as the effects (11) and (13) of the fifth embodiment can be obtained.
[0246] (第 8の実施形態)  (Eighth Embodiment)
以下、本発明にかかる集積回路及びその設計方法を半導体集積回路及びその設 計方法に適用した第 8の実施形態について、上記第 2の実施形態との相違点を中心 に図面を参照しつつ説明する。  Hereinafter, an eighth embodiment in which the integrated circuit and the design method thereof according to the present invention are applied to a semiconductor integrated circuit and a design method thereof will be described with reference to the drawings, focusing on differences from the second embodiment. I do.
[0247] 本実施形態にかかる半導体集積回路では、上記論理回路部 2に、更に図 29に示 す配線を備える。図 29 (a)は、上記論理回路部 2の備える配線の回路図を示す。同 図 29 (a)では、信号伝搬用の配線 Lmlが、電源電位及び接地電位にて電位の固定 された配線 Lm2、 Lm3にてシールドされている。  [0247] In the semiconductor integrated circuit according to the present embodiment, the logic circuit section 2 further includes the wiring shown in FIG. FIG. 29 (a) shows a circuit diagram of the wiring included in the logic circuit unit 2. In FIG. 29 (a), a wiring Lml for signal propagation is shielded by wirings Lm2 and Lm3 whose potentials are fixed at a power supply potential and a ground potential.
[0248] この図 29 (a)の回路図に対応する配線構造を、図 29 (b)—図 29 (e)に示す。図 29  The wiring structure corresponding to the circuit diagram of FIG. 29 (a) is shown in FIG. 29 (b) —FIG. 29 (e). Fig. 29
(b)及び図 29 (c)は、それぞれ第 (n + 1)層目の配線層及び第 n層目の配線層にお ける上記配線 Lml— Lm3の平面図である。ここでは、各配線層の表面を x方向及び y方向にて規定される平面とするとともに、配線の敷設方向を X方向としている。また、 図 29 (d)及び図 29 (e)は、それぞれ配線 Lm2及び配線 Lm3の断面図である。ここ では、 xy平面の法線方向を z方向としている。図 29 (d)及び図 29 (e)に示されるよう に、配線 Lm2はプラグ pgl7を介して配線層の乗り換えを行っており、また、配線 Lm 3はプラグ pgl8を介して配線層の乗り換えを行っている。  (b) and FIG. 29 (c) are plan views of the wirings Lml-Lm3 in the (n + 1) th wiring layer and the nth wiring layer, respectively. Here, the surface of each wiring layer is a plane defined by the x direction and the y direction, and the wiring laying direction is the X direction. FIGS. 29D and 29E are cross-sectional views of the wiring Lm2 and the wiring Lm3, respectively. Here, the normal direction of the xy plane is the z direction. As shown in FIGS. 29 (d) and 29 (e), the wiring Lm2 switches the wiring layer via the plug pgl7, and the wiring Lm3 switches the wiring layer via the plug pgl8. Is going.
[0249] このように、本実施形態では、第 n層目の配線層における信号伝搬用の配線 Lml をシールドする配線 Lm2、 Lm3が第 (n+ 1)層目の配線層に乗り換えることで、信号 伝搬用配線 Lm 1と配線 Lm2との間の容量や、信号伝搬用配線 Lmlと配線 Lm3と の間の容量を調整している。すなわち、図 29 (c)に示すように、配線 Lm2、 Lm3は、 いずれも第 n層目において信号伝搬用の配線 Lmlに隣接して敷設されており、且つ 図 29 (b)に示されるように、途中で第 (n+ 1)層目の配線層に乗り換えている。このた め、これら配線 Lm2、 Lm3と配線 Lmlとの容量が低減されている。したがって、第 n 層目に信号伝搬用の配線 Lmlが敷設されている領域全てにおいて配線 Lm2、 Lm 3を隣接させる場合と比較して、十分なシールド効果を得られる範囲で信号伝搬速度 を高めることができる。 As described above, in the present embodiment, the wirings Lm2 and Lm3 for shielding the signal transmission wiring Lml in the n-th wiring layer are switched to the (n + 1) -th wiring layer, and the signal The capacitance between the transmission wiring Lm1 and the wiring Lm2 and the capacitance between the signal transmission wiring Lml and the wiring Lm3 are adjusted. That is, as shown in FIG. 29 (c), the wirings Lm2 and Lm3 are All of them are laid in the n-th layer adjacent to the signal propagation wiring Lml, and are switched to the (n + 1) -th wiring layer in the middle as shown in FIG. 29 (b). . For this reason, the capacitance between these lines Lm2 and Lm3 and the line Lml is reduced. Therefore, as compared with the case where the wiring Lm2 and Lm3 are adjacent to each other in the entire area where the wiring Lml for signal propagation is laid on the nth layer, it is necessary to increase the signal propagation speed in a range where a sufficient shielding effect can be obtained. Can be.
[0250] しかも、これら各配線 Lm2、 Lm3の乗り換え態様が互いに異なるため、第 n層目の 配線層において、信号伝搬用の配線 Lmlと電位の固定された配線 Lm2、 Lm3とが 隣接する長さが配線 Lm2と配線 Lm3とで相違する構成となる。そして、図 29に示す 例では、電源電位に固定された配線 Lm2よりも接地電位に固定された配線 Lm3の 方が信号伝搬用の配線 Lmlと隣接する長さが短くなつている。このため、信号伝搬 用の配線 Lmlを伝搬する信号は、信号の立ち上がり時間が立ち下がり時間と比較し て相対的に高速化されることとなる。  [0250] Furthermore, since the switching manners of these wirings Lm2 and Lm3 are different from each other, in the n-th wiring layer, the signal transmission wiring Lml and the wiring Lm2 and Lm3 having a fixed potential are adjacent to each other. Is different between the wiring Lm2 and the wiring Lm3. Then, in the example shown in FIG. 29, the length of the wiring Lm3 fixed to the ground potential is shorter than the length of the wiring Lml for signal propagation adjacent to the wiring Lm2 fixed to the power supply potential. Therefore, the signal propagating through the signal transmission wiring Lml has a relatively high rise time compared to the fall time of the signal.
[0251] このように、信号伝搬用の配線 Lmlと電位の固定された配線 Lm2、 Lm3とが互い に隣接する領域を調整することで、信号伝搬用の配線 Lmlの信号伝搬速度や信号 波形を調整することができるようになる。  As described above, by adjusting the region where the signal propagation wiring Lml and the fixed potential wirings Lm2 and Lm3 are adjacent to each other, the signal propagation speed and signal waveform of the signal propagation wiring Lml are reduced. Be able to adjust.
[0252] こうした配線構造を有する本実施形態にかかる集積回路も先の図 6に示した設計手 順によつて設計することができる。すなわち、上記ステップ S110において仮物理配 線層を用いたレイアウト設計を行うことで、先の図 29 (a)に示す回路から図 30 (a)に 示す配線のレイアウトデータを実現する。更に、上記ステップ S120、 S130において 仮物理配線層の配線を実配線層の配線に変換することで、図 29 (b)—図 29 (e)に 示した配線のレイアウトデータを実現する。そして、上記ステップ S150において、図 3 0 (b) 図 30 (d)に示すマスクデータを生成する。ちなみに、図 30 (b)に示すマスク データは第 (n+ 1)層目の配線層のものであり、図 30 (c)に示すマスクデータは第 (n + 1)層目及び第 n層目間のビアホールのものであり、図 30 (d)に示すマスクデータ は、第 n層目の配線層のものである。  [0252] The integrated circuit according to the present embodiment having such a wiring structure can also be designed by the design procedure shown in FIG. That is, by performing the layout design using the temporary physical wiring layer in step S110, the layout data of the wiring shown in FIG. 30A is realized from the circuit shown in FIG. 29A. Further, by converting the wiring of the temporary physical wiring layer into the wiring of the actual wiring layer in the above steps S120 and S130, the layout data of the wiring shown in FIGS. 29B to 29E is realized. Then, in the above step S150, the mask data shown in FIG. 30 (b) and FIG. 30 (d) is generated. The mask data shown in FIG. 30 (b) is for the (n + 1) th wiring layer, and the mask data shown in FIG. 30 (c) is for the (n + 1) th and nth layers. The mask data shown in FIG. 30 (d) is for the nth wiring layer.
以上説明した本実施形態によれば、先の第 1の実施形態の上記(1)一 (3)及び(5 )の効果や、先の第 2の実施形態の上記(6)及び(7)の効果に加えて、更に以下の 果を得ること力 Sできる。 According to the present embodiment described above, the effects (1)-(1) (3) and (5) of the first embodiment and the (6) and (7) of the second embodiment described above are obtained. In addition to the effects of Ability to gain fruit
[0253] (16)第 n層目の配線層における信号伝搬用の配線 Lmlをシールドする配線 Lm2 、 Lm3が第 (n+ 1)層目の配線層に乗り換えることで、信号伝搬用配線 Lmlと配線 L m2との間の容量や、信号伝搬用配線 Lmlと配線 Lm3との間の容量を調整すること ができる。  (16) The wiring Lm2 and Lm3 for shielding the signal transmission wiring Lml in the n-th wiring layer are switched to the (n + 1) -th wiring layer to connect with the signal transmission wiring Lml. The capacitance between Lm2 and the capacitance between the signal propagation wiring Lml and the wiring Lm3 can be adjusted.
[0254] (第 9の実施形態)  (Ninth Embodiment)
以下、本発明にかかる集積回路及びその設計方法を半導体集積回路及びその設 計方法に適用した第 9の実施形態について、上記第 8の実施形態との相違点を中心 に図面を参照しつつ説明する。  Hereinafter, a ninth embodiment in which the integrated circuit according to the present invention and its design method are applied to a semiconductor integrated circuit and its design method will be described with reference to the drawings, focusing on differences from the above-described eighth embodiment. I do.
[0255] 本実施形態にかかる半導体集積回路では、上記論理回路部 2に、更に図 31に示 す配線を備える。図 31 (a)は、上記論理回路部 2の備える配線の回路図を示す。同 図 31 (a)では、信号伝搬用の配線 Lmlが、電源電位にて電位の固定された配線 Ln 2、 Ln3と、接地電位にて電位の固定された配線 Ln4、 Ln5とによってシールドされて いる。  In the semiconductor integrated circuit according to the present embodiment, the logic circuit unit 2 further includes the wiring shown in FIG. FIG. 31 (a) shows a circuit diagram of the wiring included in the logic circuit unit 2. In FIG. 31 (a), the wiring Lml for signal propagation is shielded by wirings Ln2 and Ln3 whose potentials are fixed at the power supply potential and wirings Ln4 and Ln5 whose potentials are fixed at the ground potential. I have.
[0256] この図 31 (a)の回路に対応する配線構造を、図 31 (b)一図 31 (e)に示す。図 31 (b )及び図 31 (c)は、それぞれ第 (n+ 1)層目の配線層及び第 n層目の配線層におけ る上記配線 Lnl— Ln5の平面図である。ここでは、各配線層の表面を x方向及び y方 向にて規定される平面とするとともに、配線の敷設方向を X方向としている。また、図 3 1 (d)及び図 31 (e)は、それぞれ配線 Lnl及び配線 Ln2及び配線 Ln5の断面図であ る。ここでは、 xy平面の法線方向を z方向としている。図 31 (d)に示されるように、配 線 Lnlはプラグ pgl 9を介して配線層の乗り換えを行っている。また、図 31 (e)に示 すように、配線 Ln2はプラグ pg20を介して隣接する 2つの配線層に渡って形成され ており、また配線 Ln5はプラグ pg21を介して隣接する 2つの配線層に渡って形成さ れている。  FIG. 31 (b) and FIG. 31 (e) show a wiring structure corresponding to the circuit of FIG. 31 (a). FIGS. 31B and 31C are plan views of the wirings Lnl to Ln5 in the (n + 1) th wiring layer and the nth wiring layer, respectively. Here, the surface of each wiring layer is a plane defined by the x direction and the y direction, and the wiring laying direction is the X direction. FIGS. 31D and 31E are cross-sectional views of the wiring Lnl, the wiring Ln2, and the wiring Ln5, respectively. Here, the normal direction of the xy plane is the z direction. As shown in FIG. 31 (d), the wiring Lnl switches wiring layers via the plug pgl9. As shown in FIG. 31 (e), the wiring Ln2 is formed over two adjacent wiring layers via the plug pg20, and the wiring Ln5 is formed on the two adjacent wiring layers via the plug pg21. It is formed over.
[0257] ここで、第 n層目の配線層においては、各配線 Ln2— Ln5は、同一の長さになって おり、配線 Ln2、 Ln5と配線 Ln3、 Ln4との間の配線敷設領域に、信号伝搬用の配 線 Lnlが敷設されている。一方、第 (n+ 1)層目の配線層においては、電源電位に て電位の固定された配線 Ln2、 Ln3の長さと、接地電位にて電位の固定された配線 Ln4、 Ln5の長さとが互いに異なっている。したがって、信号伝搬用の配線 Lnlが第 (n+ 1)層目の配線層において、これら配線 Ln2、 Ln3や、配線 Ln4、 Ln5と隣接す る長さは、互いに異なるものとなっている。 [0257] Here, in the n-th wiring layer, each of the wirings Ln2 to Ln5 has the same length, and in the wiring laying area between the wirings Ln2 and Ln5 and the wirings Ln3 and Ln4, A wiring Lnl for signal propagation is laid. On the other hand, in the (n + 1) th wiring layer, the lengths of the wirings Ln2 and Ln3 whose potentials are fixed at the power supply potential and the wirings whose potentials are fixed at the ground potential The lengths of Ln4 and Ln5 are different from each other. Therefore, in the (n + 1) -th wiring layer, the length of the signal transmission wiring Lnl adjacent to these wirings Ln2 and Ln3 and the wirings Ln4 and Ln5 is different from each other.
[0258] 特に、図 31に示す例では、電源電位に固定された配線 Ln2、 Ln3よりも接地電位 に固定された配線 Ln4、 Ln5の方が信号伝搬用の配線 Ln 1と隣接する長さが短くな つている。このため、信号伝搬用の配線 Lnlを伝搬する信号は、信号の立ち上がり 時間が立ち下がり時間と比較して相対的に高速化されることとなる。このように、信号 伝搬用の配線 Lnlと電位の固定された配線 Ln2— Ln5とが互いに隣接する領域を 調整することで、信号伝搬用の配線 Lnlの信号波形を調整することができるようにな る。 In particular, in the example shown in FIG. 31, the lengths of the lines Ln4 and Ln5 fixed to the ground potential are closer to the wiring Ln1 for signal propagation than the lines Ln2 and Ln3 fixed to the power supply potential. It is getting shorter. Therefore, the signal propagating through the signal transmission line Lnl has a relatively high rise time compared to the fall time of the signal. As described above, the signal waveform of the signal transmission line Lnl can be adjusted by adjusting the region where the signal transmission line Lnl and the fixed potential lines Ln2 to Ln5 are adjacent to each other. You.
[0259] こうした配線構造を有する本実施形態にかかる集積回路も先の図 6に示した設計手 順によつて設計することができる。すなわち、上記ステップ S110において仮物理配 線層を用いたレイアウト設計を行うことで、先の図 31 (a)に示す回路から図 32 (a)に 示す配線のレイアウトデータを実現する。更に、上記ステップ S120、 S130において 仮物理配線層の配線を実配線層の配線に変換することで、図 31 (b)—図 31 (e)に 示した配線のレイアウトデータを実現する。そして、上記ステップ S150において、図 3 2 (b)—図 32 (d)に示すマスクデータを生成する。ちなみに、図 32 (b)に示すマスク データは第 (n+ 1)層目の配線層のものであり、図 32 (c)に示すマスクデータは第 (n + 1)層目及び第 n層目間のビアホールのものであり、図 32 (d)に示すマスクデータ は、第 n層目の配線層のものである。  [0259] The integrated circuit according to the present embodiment having such a wiring structure can also be designed by the design procedure shown in FIG. That is, by performing the layout design using the temporary physical wiring layer in step S110, the layout data of the wiring shown in FIG. 32 (a) is realized from the circuit shown in FIG. 31 (a). Further, by converting the wiring of the temporary physical wiring layer into the wiring of the real wiring layer in the above steps S120 and S130, the layout data of the wiring shown in FIGS. 31 (b) to 31 (e) is realized. Then, in step S150, the mask data shown in FIGS. 32 (b) to 32 (d) is generated. The mask data shown in FIG. 32 (b) is for the (n + 1) th wiring layer, and the mask data shown in FIG. 32 (c) is for the (n + 1) th and nth layers. The mask data shown in FIG. 32 (d) is for the nth wiring layer.
[0260] 以上説明した本実施形態によっても、先の第 1の実施形態の上記(1)一(3)及び( 5)の効果や、先の第 2の実施形態の上記(6)及び(7)の効果、先の第 8の実施形態 の上記(16)の効果を得ることができる。  [0260] According to the present embodiment described above, the effects (1), (1), (3), and (5) of the first embodiment and the effects (6) and (5) of the second embodiment described above are also obtained. The effect of 7) and the effect of (16) of the eighth embodiment can be obtained.
(第 10の実施形態)  (Tenth embodiment)
なお、上記各実施形態は、以下のように変更して実施してもよい。  The above embodiments may be modified and implemented as follows.
[0261] ·また、上記ステップ S140、 S240、 S370、 S480等 (こおレヽて、タイミンク、、等 (こ十分 な余裕があると判断された場合には、仮物理配線層から実配線層への展開とは、逆 に、展開されている実配線層の配線層数を低減させる圧縮に力かる処理を行っても よい。すなわち、例えば先の図 2に示した実配線層の配線を、先の図 7に示した配線 へと圧縮変換するようにしてもょレ、。 [0261] In addition, the above steps S140, S240, S370, S480, etc. (when it is determined that there is enough room, etc. Conversely, even if processing is performed to reduce the number of wiring layers in the expanded real wiring layers, Good. That is, for example, the wires in the actual wiring layer shown in FIG. 2 may be compressed and converted into the wires shown in FIG.
[0262] ·隣接する一対の配線について、その容量を増大させる構造としては、先の図 4に 例示したものに限らなレ、。例えば、図 33に示すようなものであってもよい。  [0262] The structure for increasing the capacitance of a pair of adjacent wires is not limited to the structure illustrated in FIG. For example, it may be as shown in FIG.
[0263] 図 33 (a)には、隣接する一対の配線(配線 Lol及び配線 Lo2と配線 Lo3及び配線 Lo4)が、それぞれ複数の配線層の配線の並列接続にて構成されるとともに、これら 複数の配線層は、一対の配線同士で共通となっている。ちなみに、配線 Lol及び配 線 Lo2間は、プラグ pg22, pg23によって互いに並列接続されている。また、配線し。  [0263] FIG. 33 (a) shows that a pair of adjacent wirings (wiring Lol and wiring Lo2 and wiring Lo3 and wiring Lo4) are respectively formed by parallel connection of wirings of a plurality of wiring layers. Is common to a pair of wirings. Incidentally, the wiring Lol and the wiring Lo2 are connected in parallel to each other by plugs pg22 and pg23. Also wiring.
3及び配線 Lo4間は、プラグ pg24、 pg25によって互いに並列接続されている。そし て、配線 Lol及び配線 Lo3、配線 Lo2及び配線 Lo4は、互いに同一の配線層に形 成されている。  3 and wiring Lo4 are connected in parallel with each other by plugs pg24 and pg25. The wiring Lol and the wiring Lo3, the wiring Lo2 and the wiring Lo4 are formed on the same wiring layer.
[0264] 図 33 (b)には、隣接する一対の配線(配線 Lpl及び配線 Lp2と配線 Lp3及び配線 Lp4)のそれぞれが、互いに平行に敷設されて且つ一端から他端への経路の当該集 積回路の基板面への投影がオーバーラップするようにして互いに直列に接続される 複数の配線層の配線力 構成されている。ちなみに、配線 Lpl及び配線 Lp2間は、 プラグ Pg26によって互いに直列に接続されている。また、配線 Lp3及び配線 Lp4間 は、プラグ Pg27によって互いに直列に接続されている。そして、配線 Lpl及び配線 L p3、配線 Lp2及び配線 Lp4は、互いに同一の配線層に形成されている。  [0264] FIG. 33 (b) shows that a pair of adjacent wirings (wiring Lpl and wiring Lp2 and wiring Lp3 and wiring Lp4) are laid in parallel with each other and the collection of paths from one end to the other end. The wiring power of a plurality of wiring layers connected in series with each other so that the projection of the integrated circuit onto the substrate surface is configured. Incidentally, the wiring Lpl and the wiring Lp2 are connected in series with each other by a plug Pg26. The wiring Lp3 and the wiring Lp4 are connected in series to each other by a plug Pg27. The wiring Lpl and the wiring Lp3, the wiring Lp2 and the wiring Lp4 are formed in the same wiring layer.
[0265] 更に、例えば先の図 4において、配線 Lbl又は配線 Lb2のいずれか一方が配線 La 1又は配線 La2と接続されない構成でもよい。この場合であれ、配線 Lbl又は配線 L b2のうち、第 (n+ 1)層目の配線層の配線 Lbl、 Lb2と接続された方の配線につい ては、片側がオープンとされたダミー配線とすることができる。そして、このダミー配線 と接続された配線については、ダミー配線と隣接する配線との間の容量が付与される こととなる。このため、このダミー配線と接続される配線については、 P 接する配線と の間の容量を増大させることができるようになる。  Further, for example, in FIG. 4, one of the wiring Lbl and the wiring Lb2 may not be connected to the wiring La1 or the wiring La2. In this case, of the wiring Lbl or the wiring Lb2, the wiring connected to the wirings Lbl and Lb2 of the (n + 1) th wiring layer is a dummy wiring having one open side. be able to. Then, a capacitance between the dummy wiring and the adjacent wiring is given to the wiring connected to the dummy wiring. Therefore, the capacitance between the wiring connected to the dummy wiring and the wiring connected to the P wiring can be increased.
なお、図 33における一対の配線は、  Note that the pair of wirings in FIG.
a.図 34 (a)に示すように、集積回路の任意の 2つの素子 Ea、 Ebの各特定の端子 ta 、 tb間を結線する配線 Ll。 b.図 34 (b)に示すように、集積回路の任意の 1つの素子 Ecの特定の端子 tcの電 位を固定する配線 L2。 a. As shown in FIG. 34 (a), a wiring Ll connecting between specific terminals ta and tb of any two elements Ea and Eb of the integrated circuit. b. As shown in FIG. 34 (b), a wiring L2 for fixing the potential of a specific terminal tc of any one element Ec of the integrated circuit.
の少なくとも一方からなるようにすることが望ましレ、。  Desirably, at least one of the following.
[0266] なお、先の図 2に示した配線も、図 34 (a)に示すように、集積回路の任意の 2つの 素子 Ea、 Ebの各特定の端子 ta、 tb間等、特定の 2つの端子間を結線する配線であ ることが望ましい。 As shown in FIG. 34 (a), the wiring shown in FIG. 2 also has a specific wiring such as between specific terminals ta and tb of any two elements Ea and Eb of the integrated circuit. It is desirable that the wiring be used to connect two terminals.
[0267] ·更に、先の図 2、図 3、図 4、図 10、図 11、図 13、図 20、図 29、図 31、図 33等に 例示した配線構造についても、これら各図において例示したものに限らなレ、。要は、 互いに同一の経路方向を有するとともに該経路についての当該集積回路の基板面 への投影が互いにオーバーラップする態様にてビアホールにて互いに接続された複 数の配線層の配線によって構成される範囲で適宜変更してよい。  [0267] Further, the wiring structures illustrated in FIGS. 2, 3, 4, 10, 10, 11, 13, 20, 29, 31, and 33, etc., are also described in these figures. Re, limited to those illustrated. The point is that it is composed of wirings of a plurality of wiring layers connected to each other by via holes in such a manner that they have the same route direction as each other and that the projection of the integrated circuit onto the substrate surface overlaps each other. It may be changed appropriately within the range.
なお、こうした配線構造を有する配線は、  In addition, the wiring having such a wiring structure,
a.図 34 (a)に示すように、集積回路の任意の 2つの素子 Ea、 Ebの各特定の端子 ta 、 tb間を結線する配線 Ll。  a. As shown in FIG. 34 (a), a wiring Ll connecting between specific terminals ta and tb of any two elements Ea and Eb of the integrated circuit.
b.図 34 (b)に示すように、集積回路の任意の 1つの素子 Ecの特定の端子 tcの電位 を固定する配線 L2。  b. As shown in FIG. 34 (b), a wiring L2 for fixing the potential of a specific terminal tc of any one element Ec of the integrated circuit.
c.図 34 (c)に示すように、電位が固定されて且つ片側がオープンとされる配線 L3。 d.図 34 (d)に示すように、特定の素子 Edの端子に接続されて且つ片側がオープン とされる配線 L4。  c. As shown in FIG. 34 (c), the wiring L3 whose potential is fixed and whose one side is open. d. As shown in FIG. 34 (d), a wiring L4 connected to the terminal of the specific element Ed and having one side open.
の少なくとも一つの配線とすることが望ましい。  It is desirable to use at least one wiring.
[0268] ·上記各実施形態では、レイアウトデータに基づいてレイアウト設計が終了した後、 これからマスクデータを作成するようにしたがこれに限らなレ、。例えば、自動配置配線 ツールによる配置や結線、実配線層への展開等をマスクデータを用いて行うようにし てもよい。 In the above embodiments, after the layout design is completed based on the layout data, the mask data is created from now on. However, the present invention is not limited to this. For example, the placement and connection by an automatic placement and routing tool, the development to an actual wiring layer, and the like may be performed using mask data.
•上記第 6の実施形態に例示する区画の分割を第 5の実施形態にて用いてもよい。 •上記第 2—第 4、第 6の実施形態において、図 20に示す配線構造を採用してもよ レ、。  • The division of the section exemplified in the sixth embodiment may be used in the fifth embodiment. • In the second to fourth and sixth embodiments, the wiring structure shown in FIG. 20 may be adopted.
[0269] ·区画設定部 42による区画の設定態様は、先の図 17、図 24に例示したものに限ら なレ、。この区画は、矩形状に限らない。 [0269] The mode of setting the sections by the section setting unit 42 is not limited to the examples illustrated in Figs. What? This section is not limited to a rectangular shape.
•自動配置配線ツールを用いて設計される領域としては、論理回路部に限らない。  • The area designed using the automatic placement and routing tool is not limited to the logic circuit section.
[0270] ·上記第 7の実施形態において、禁止領域は単一の配線層に設けるものに限らず、 電気的特性の調整が所望される配線の敷設領域の上層及び下層の少なくとも一方 力 なる複数の層に自動配線ツールによる結線を禁止する禁止領域を設けて結線を 行う。 In the seventh embodiment, the prohibition region is not limited to the one provided on a single wiring layer, but may be at least one of an upper layer and a lower layer of a wiring laying region where electric characteristics are desired to be adjusted. A connection prohibited area where connection by the automatic wiring tool is prohibited is provided in the layer of.
[0271] ·集積回路の設計に力、かる手順としては、上記各実施形態で例示したものに限らな レ、。例えば、以下のものでもよい。  [0271] The procedures for designing an integrated circuit are not limited to those exemplified in the above embodiments. For example, the following may be used.
[0272] 手順 1: (ァ)仮物理配線層を用いて従来手法で自動配置を行った後、仮物理配線 層上で最終的な結線よりも演算負荷の少ない仮の結線を行うことで該仮物理配線層 上での配線経路の見積もりを行う。ここでは、所定の仮物理配線層の配線を、複数の 配線層からなる実配線層へ略投影した領域の少なくとも 1つの領域を用いて形成さ れる配線に変換することを前提とした回路特性に基づいて仮の結線を行う。 (ィ)該配 線経路の見積もりに基づいて最終的な結線を行う。 (ゥ)上記配線経路の見積もりに 力かる回路特性に基づき、前記前提とした変換を行う。  [0272] Step 1: (a) After performing automatic placement using the temporary physical wiring layer by the conventional method, perform temporary connection on the temporary physical wiring layer with less computational load than the final connection, and Estimate the wiring route on the temporary physical wiring layer. Here, the circuit characteristics based on the assumption that the wiring of a predetermined temporary physical wiring layer is converted into a wiring formed using at least one region of a region substantially projected onto a real wiring layer including a plurality of wiring layers are described. Provisional connection is performed based on this. (B) Final connection is performed based on the estimation of the wiring route. (Iii) Perform the conversion based on the above premise based on the circuit characteristics that help estimate the wiring route.
[0273] 手順 2: (ァ)所定の仮物理配線層の配線を、複数の配線層からなる実配線層へ略 投影した領域の少なくとも 1つの領域を用いて形成される配線に変換することを前提 とした回路特性に基づレ、て配置を行う。 (ィ)仮物理配線層を用いて従来の配線手法 で集積回路の各箇所の結線を行う。 (ゥ)前記仮物理配線層のうちの所定の配線層 の配線を、複数の配線層からなる実配線層へ略投影した領域の少なくとも 1つの領 域を用いて形成される配線に変換する。  [0273] Step 2: (a) Convert the wiring of the predetermined temporary physical wiring layer into a wiring formed using at least one of the regions substantially projected onto the real wiring layer including a plurality of wiring layers. Arrange them based on the assumed circuit characteristics. (A) Connect each part of the integrated circuit by the conventional wiring method using the temporary physical wiring layer. (Iii) Converting a wiring of a predetermined wiring layer of the temporary physical wiring layer into a wiring formed by using at least one region of a region substantially projected onto a real wiring layer including a plurality of wiring layers.
[0274] '先の図 16に示したステップ S310のレイアウト設計に際しては、配置の終了後、当 該集積回路が複数の領域に分割されて且つ該領域毎に配線の敷設についてのコス トが定義された条件下、同コストを低減するようにして集積回路の各箇所の結線を行 うものとしてもよい。  'In the layout design of step S310 shown in FIG. 16 above, after the placement is completed, the integrated circuit is divided into a plurality of regions, and the cost for laying wiring is defined for each region. Under the specified conditions, the connection of each part of the integrated circuit may be performed so as to reduce the cost.
[0275] これにより、例えば高速性が要求される領域等、実配線層への展開を行うことで回 路変数の調整が特に所望される領域については、コストを大きくするような定義をす ることで、同領域において配線の敷設に使用される仮物理配線層数を低減させること ができる。このため、この領域において実配線層への展開を優先的に行うことができ る。すなわち、配線の敷設に使用される仮物理配線層数が少なくなるような制約の付 与された領域を設けることで、高速化等の特定の回路特性の所望される領域におい て優先的に多数の実配線層への展開を行うことができ、ひいては、回路特性の大幅 な改善を図ることが可能となる。 [0275] Thus, for example, a region where high-speed performance is required, such as a region in which it is particularly desired to adjust circuit variables by expanding to an actual wiring layer, is defined so as to increase the cost. By reducing the number of temporary physical wiring layers used for laying wiring in the same area Can do. For this reason, in this area, the development to the actual wiring layer can be preferentially performed. In other words, by providing a constrained area that reduces the number of temporary physical wiring layers used for laying wiring, priority can be increased in areas where specific circuit characteristics such as high speed are desired. This can be applied to actual wiring layers, and the circuit characteristics can be greatly improved.
[0276] ·先の図 2、図 3、図 4、図 10、図 11、図 13、図 20、図 29、図 31、図 33等に例示し た配線構造を有する集積回路は、上記各実施形態及びその変形例に例示した設計 により行うものに限らない。更に例えば、配線の敷設方向が隣接する配線層間で直 交する従来の自動配線ツールによるレイアウトを前提として、先の図 2、図 3、図 4、図 10、図 11、図 13、図 20、図 29、図 31、図 33等に例示した配線構造を有する集積回 路を設計してもよい。すなわち、例えば高速動作が要求される等、低抵抗を所望する 配線を、 3層目と 5層目というように 1層の配線層を隔てた 2層の配線層を用いることで 、図 20 (a)に例示するような配線として構成してもよい。この際、配線 Lglと配線 Lg2 との間には、配線 Lgl及び配線 Lg2の敷設方向と直交する方向の配線が同配線 Lg 1及び配線 Lgと交差する態様で形成されていてもよい。  The integrated circuits having the wiring structures illustrated in FIGS. 2, 3, 4, 10, 10, 11, 13, 20, 29, 31, 33, etc. The present invention is not limited to the one performed by the design illustrated in the embodiment and its modification. Further, for example, assuming the layout by the conventional automatic wiring tool in which the wiring laying direction is orthogonal between the adjacent wiring layers, the previous FIGS. 2, 3, 4, 4, 10, 11, 13, and 20 are used. An integrated circuit having the wiring structure illustrated in FIG. 29, FIG. 31, and FIG. 33 may be designed. That is, for example, by using two wiring layers that separate one wiring layer, such as a third layer and a fifth layer, wiring that requires a low resistance, for example, when high-speed operation is required, as shown in FIG. The wiring may be configured as exemplified in a). At this time, between the wiring Lgl and the wiring Lg2, a wiring in a direction orthogonal to the laying direction of the wiring Lgl and the wiring Lg2 may be formed so as to intersect with the wiring Lg1 and the wiring Lg.
[0277] ·スタンダードセル方式に限らず、ゲートアレイへ本発明の設計手法を適用してもよ ぐ更にその他の多層配線を用いた集積回路の配線設計に適用してもよい。  The present invention is not limited to the standard cell method, and may be applied to the wiring design of an integrated circuit using a multilayer wiring, in addition to applying the design method of the present invention to a gate array.
[0278] ·所定の仮物理配線層の各配線の回路特性を所望とする回路特性とすべぐ前記 各配線を、複数の配線層からなる実配線層へ略投影した領域の少なくとも 1つの領 域を用いて形成される配線に変換する演算手段としては、上記各実施形態で例示し たものに限らない。例えばソフトウェア及びプログラムにて構成する代わりに、専用の ハードウェア手段にて構成してもよい。  [0278] At least one region of a region where each of the wirings in the predetermined temporary physical wiring layer is substantially projected onto a real wiring layer made up of a plurality of wiring layers in order to achieve the desired circuit characteristics with the desired circuit characteristics The arithmetic means for converting into wiring formed by using is not limited to those exemplified in the above embodiments. For example, instead of using software and a program, a dedicated hardware unit may be used.
[0279] ·実配線層への展開態様の異なる隣接する区画間の結合に用いられる配線層を設 定する演算手段としては、上記区画設定部 42に限らない。例えばソフトウェア及びプ ログラムにて構成する代わりに、専用のハードウェア手段にて構成してもよい。  [0279] The arithmetic means for setting a wiring layer used for coupling between adjacent sections having different development modes on the actual wiring layer is not limited to the above section setting section 42. For example, instead of using software and a program, a dedicated hardware unit may be used.
[0280] ·上記第 1一第 6実施形態及びそれらの変形例では、 自動配置配線ツールを用い て設計される領域について、仮物理配線層を実配線層へと展開する設計手法を用 いたが、これに 限らない。例えば、自動配線ツールを使うことなく設計する場合にあっても、一旦結線 の終了した後、所定の配線層の配線を各配線を、複数の配線層からなる実配線層へ 略投影した領域の少なくとも 1つの領域を用いて形成される配線に変換することで、 設計変更をすることなぐ回路特性の調整を行うことができる。なお、こうした自動配線 ツールによらなレ、設計の対象としては、例えば DZAコンバータや AZDコンバータ 等、アナログ素子を含んで構成されるアナログマクロ等がある。 In the first to sixth embodiments and their modifications, the design method of expanding the temporary physical wiring layer into the actual wiring layer is used for the area designed using the automatic placement and routing tool. ,to this Not exclusively. For example, even in the case of designing without using an automatic wiring tool, once the wiring is completed, the wiring of a predetermined wiring layer is drawn in the area where each wiring is roughly projected onto the actual wiring layer consisting of multiple wiring layers. By converting to wiring formed using at least one region, it is possible to adjust circuit characteristics without changing the design. The target of the design using such an automatic wiring tool is, for example, an analog macro including analog elements, such as a DZA converter and an AZD converter.
[0281] ·上記実施形態の仮物理配線層を展開した実配線層において、プラグの位置を適 宜変更してもよい。例えば、図 35 (a)に示す仮物理配線層における配線構造を、図 3 5 (b)に示すように複数の物理配線層からなる配線構造に展開する。尚、図 35 (c)は 、実配線層に展開した構造の配線を X方向からみた断面図である。このとき、矢印で 示す隣接するプラグ (ビア) P21 , P22が X方向にぉレ、て重ならなレ、ように位置をずら して形成する。尚、配線が延びる方向によっては、プラグを Y方向に重ならないように 位置をずらすこともある。  In the actual wiring layer obtained by expanding the temporary physical wiring layer of the above embodiment, the position of the plug may be changed as appropriate. For example, the wiring structure in the temporary physical wiring layer shown in FIG. 35 (a) is developed into a wiring structure including a plurality of physical wiring layers as shown in FIG. 35 (b). FIG. 35 (c) is a cross-sectional view of the wiring having the structure developed on the actual wiring layer as viewed from the X direction. At this time, the adjacent plugs (vias) P21 and P22 indicated by the arrows are formed so as to be displaced in the X direction so that they are not overlapped. Depending on the direction in which the wiring extends, the position may be shifted so that the plug does not overlap in the Y direction.
[0282] 仮物理配線層を実配線層へと展開する際に、該実配線層間の実ビア層におレ、て 任意の位置にプラグを形成することができる。従って、図 13 (a)に示すように、プラグ を X方向又は Y方向に重なるように形成することでプラグ間における容量を増加させ ること力 Sできる。逆に、図 35 (b)に示すように、プラグが重ならないように形成すること で、プラグ間の距離を離すことでプラグ間における容量を低減し、クロストークノイズを 低減すること力 Sできる。これらのように、プラグ (ビア)の形成位置を適宜変更すること で、配線経路を変更することなく配線間容量の増加又は減少を行うことができ、所望 の電気的特性を持つ回路を得ることができる。  When the temporary physical wiring layer is expanded to the actual wiring layer, a plug can be formed at an arbitrary position on the actual via layer between the actual wiring layers. Therefore, as shown in FIG. 13A, by forming the plugs so as to overlap in the X direction or the Y direction, it is possible to increase the capacity S between the plugs. Conversely, as shown in Fig. 35 (b), by forming the plugs so that they do not overlap, it is possible to reduce the capacitance between the plugs by increasing the distance between the plugs, and to reduce the crosstalk noise. . By appropriately changing the position of the plug (via) as described above, the capacitance between wirings can be increased or decreased without changing the wiring path, and a circuit having desired electrical characteristics can be obtained. Can be.
[0283] ·上記各実施形態において、仮物理配線層にて定めた配線を実配線層の配線に 展開する際に、展開した配線領域において配線分離層(水平方向、垂直方向の絶 縁層)を適宜設定することで、その展開時に所望の電気的特性を生成するように、配 線分離層の高さ又は幅の調整、若しくは高誘電率材料や低誘電率材料を用いる構 成に展開してもよい。  In each of the above embodiments, when the wiring determined by the provisional physical wiring layer is expanded to the wiring of the actual wiring layer, the wiring separation layer (horizontal and vertical insulating layers) in the expanded wiring region In order to generate the desired electrical characteristics at the time of development, adjust the height or width of the wiring separation layer, or develop a configuration using a high-permittivity material or a low-permittivity material. May be.
[0284] 例えば、図 36 (a)— (c)に示すように、仮物理配線層に平行に敷設された配線 L21  For example, as shown in FIGS. 36 (a)-(c), wiring L21 laid in parallel with the temporary physical wiring layer
( (端子 A1— A2間)及び配線 L22 (端子 B1— B2間)を、図 37 (a) (b)に示すように、 複数層(図において 6層)の実配線層に展開するとともに、垂直方向(Z方向)の配線 を X方向に幅を調整したプラグにて接続する。これによつて、 X— Z平面にいて対向し て所定の面積を有し、 Y方向の配線間隔にて離間した 2つの配線 L21a, L22aが構 成される。この 2つの配線 L21a, L22aは、配線の多重化によって抵抗値を 1つの配 線層に敷設した配線の抵抗値に比べて小さくし、両配線 L21a, L22a間の容量値を 増大させることができる。 (Between terminals A1 and A2) and wiring L22 (between terminals B1 and B2) as shown in Fig. 37 (a) and (b). Expand to multiple layers (six layers in the figure) of actual wiring layers, and connect the wiring in the vertical direction (Z direction) with a plug whose width is adjusted in the X direction. As a result, two wirings L21a and L22a having a predetermined area facing each other on the XZ plane and separated by a wiring interval in the Y direction are configured. The resistance of the two wirings L21a and L22a can be made smaller than the resistance of the wiring laid on one wiring layer by multiplexing the wirings, and the capacitance between the two wirings L21a and L22a can be increased. .
[0285] 更に、図 37 (c)に示すように、 Y方向に隣接する配線 L21a, L22a及びプラグ間の 絶縁膜 Mlを、高誘電率 (high-k )材料により形成することで、容量値を増大させること ができるとともに、使用する材料によって容量値を容易に制御することができる。  Further, as shown in FIG. 37 (c), by forming the insulating film Ml between the wirings L21a and L22a adjacent to each other in the Y direction and the plug with a high dielectric constant (high-k) material, Can be increased, and the capacitance value can be easily controlled depending on the material used.
[0286] ·上記各実施形態にぉレ、て、仮物理配線層で定めた配線を実配線層の配線に展 開する際に、プラグ(ビア)の形成位置を適宜設定することで、実配線層においてコィ ルを形成し、該コイルにてインダクタンス成分を調整する構造としてもよい。そのコィ ノレには、様々な構造が考えられる。  [0286] In the above embodiments, when the wiring defined by the temporary physical wiring layer is expanded to the wiring of the actual wiring layer, the position where the plug (via) is formed is appropriately set, so that the actual A structure in which a coil is formed in the wiring layer and the inductance component is adjusted by the coil may be employed. Various structures are conceivable for the coin.
[0287] 例えば、図 38 (a) , (b)に示す仮物理配線層に定めた配線 L31を実配線層に展開 し、図 38 (c) , (d)に示すコイル 61を形成する。このコイル 61は、 X-Z平面に沿って 形成した平面スパイラル形状のコイルである。  For example, the wiring L31 defined in the provisional physical wiring layer shown in FIGS. 38 (a) and (b) is developed in the actual wiring layer to form the coil 61 shown in FIGS. 38 (c) and (d). The coil 61 is a planar spiral coil formed along the X-Z plane.
[0288] また、図 39 (a)一 (c)に示す仮物理配線層に定めた枠状の配線 L41を実配線層に 展開し、図 40 (a)—(d)に示すコイル 62を形成する。このコイル 62は、 Z方向に沿つ て延びるスパイラル形状のコイルである。尚、図 40 (a)は図 39 (c)の配線 L41a (端子 B1—B2間)を展開した配線及びプラグ、図 40 (b)は図 39 (c)の配線 L41b (端子 A1 一 A2間)を展開した配線及びプラグを示す。更に、図 40 (c)は図 39 (c)の配線 L41c (端子 A2—B2間)を展開した配線及びプラグ、図 40 (d)は図 39 (c)の配線 L41d (端 子 A1— B1間)を展開した配線及びプラグを示す。  [0288] Further, the frame-shaped wiring L41 defined in the temporary physical wiring layer shown in Fig. 39 (a)-(c) is developed in the actual wiring layer, and the coil 62 shown in Figs. Form. The coil 62 is a spiral coil extending along the Z direction. In addition, Fig. 40 (a) shows the wiring and plug developed from the wiring L41a (between terminals B1 and B2) in Fig. 39 (c), and Fig. 40 (b) shows the wiring L41b (between terminals A1 and A2 in Fig. 39 (c)). The wires and plugs with () are shown. Further, FIG. 40 (c) shows the wiring and plug obtained by expanding the wiring L41c (between terminals A2 and B2) of FIG. 39 (c), and FIG. 40 (d) shows the wiring L41d (terminals A1-B1) of FIG. 39 (c). The wiring and the plug are shown in the expanded state.
[0289] また、図 41 (a), (b)に示す仮物理配線層に定めた配線 L51を実配線層に展開し 、図 41 (c), (d)に示すコイル 63を形成する。このコイル 63は、 X— Z平面に沿って形 成したジグザグ形状 (ミアンダ形状)のコイルである。  The wiring L51 defined in the temporary physical wiring layer shown in FIGS. 41 (a) and 41 (b) is developed in the actual wiring layer to form the coil 63 shown in FIGS. 41 (c) and 41 (d). The coil 63 is a zigzag (meander) coil formed along the XZ plane.
[0290] また、図 42 (a)一 (c)に示す仮物理配線層に定めた枠状の配線 L61 , L62を実配 線層に展開し、図 43 (a)—(d)に示すコイル 64を形成する。このコイル 64は、 X方向 に沿って延びるスパイラル形状のコイルである。尚、図 43 (a)は図 42 (a)の配線 L62 を展開した配線及びプラグを示し、図 43 (b)は図 42 (b)の配線 L61を展開した配線 及びプラグを示す。そして、図 43 (c)は実配線層 K (l)と実配線層 K (3)と実配線層 Κ (6)の配線をそれぞれ示す。 [0290] Also, the frame-shaped wirings L61 and L62 defined in the temporary physical wiring layer shown in Figs. 42 (a) and 1 (c) are developed in the actual wiring layer, and are shown in Figs. 43 (a) to (d). The coil 64 is formed. This coil 64 is Is a spiral-shaped coil extending along. FIG. 43 (a) shows a wiring and a plug obtained by expanding the wiring L62 of FIG. 42 (a), and FIG. 43 (b) shows a wiring and a plug obtained by developing the wiring L61 of FIG. 42 (b). FIG. 43 (c) shows the wirings of the actual wiring layer K (l), the actual wiring layer K (3) and the actual wiring layer Κ (6), respectively.
[0291] ·上記第 1の実施形態において、アンテナルールに対応するために、例えば、図 44 に示すように、実配線層において配線をブリッジ構造に変更して配線長を短くする。 例えば、図 44 (a)及び (b)に示す仮物理配線層に定めた配線 L71を実配線層に展 開し、図 44 (c)に示す配線 L71を形成する。この配線 L71は、端子 A2においてトラ ンジスタのゲート端子に接続される。そして、この端子 A2に接続される実配線層 K (l )に形成される配線 L71aの長さがアンテナルール違反となる。この配線 L71aを図 4 4 (d)に示すように 2つの配線 L71b, L71cに分割することで、トランジスタのゲート端 子に接続される配線の長さを短くし、製造時において配線に蓄積される電荷の量を 低減する。このように、アンテナルール違反となる配線をブリッジ構造に展開すること で、容易にルール違反を解消することができる。  In the first embodiment, in order to comply with the antenna rule, for example, as shown in FIG. 44, the wiring is changed to a bridge structure in the actual wiring layer to shorten the wiring length. For example, the wiring L71 defined in the temporary physical wiring layer shown in FIGS. 44 (a) and (b) is extended to the actual wiring layer to form the wiring L71 shown in FIG. 44 (c). This wiring L71 is connected to the gate terminal of the transistor at the terminal A2. Then, the length of the wiring L71a formed on the actual wiring layer K (l) connected to the terminal A2 violates the antenna rule. By dividing this wiring L71a into two wirings L71b and L71c as shown in Fig. 44 (d), the length of the wiring connected to the gate terminal of the transistor is shortened, and the wiring stored in the wiring during manufacturing is shortened. Reduce the amount of electric charge. In this way, by deploying the wiring that violates the antenna rule into a bridge structure, the violation of the rule can be easily eliminated.
[0292] ·各実施例では、複数の実配線層の配線として展開することは、実配線層の厚さが 原則的に、固定的に定められたとする製造の場合に対しての実現方法を示している 。ここで製造的に、実配線層の厚さを任意 (多段階)に設定することを行なう場合には 、複数の実配線層の配線として展開する構造を、配線の厚さを複数持つ実配線層の 構造として扱うことで、実現される。  [0292] In each embodiment, the development as the wiring of a plurality of actual wiring layers means that the thickness of the actual wiring layer is, in principle, a method of realizing the case of manufacturing in which the thickness is fixedly determined. Shows. Here, when the thickness of the actual wiring layer is set arbitrarily (multi-step) in manufacturing, a structure that is developed as a wiring of a plurality of actual wiring layers is used as an actual wiring having a plurality of wiring thicknesses. This is realized by treating it as a layer structure.
[0293] ·また、仮物理配線層を実配線層へ展開する手法にて設計される集積回路以外に 、先の図 2、図 3、図 4、図 10、図 11、図 13、図 20、図 29、図 31、図 33、図 35—図 4 4等に例示した配線構造を適用することも有効である。  [0293] In addition to the integrated circuit designed by the method of expanding the temporary physical wiring layer to the real wiring layer, other than the integrated circuit designed in FIG. 2, FIG. 3, FIG. 4, FIG. 10, FIG. 11, FIG. , FIG. 29, FIG. 31, FIG. 33, FIG. 35—FIG.
[0294] (第 11の実施形態)  (Eleventh Embodiment)
以下、本発明にかかる集積回路及びその設計方法を半導体集積回路及びその設 計方法に適用した第 11の実施形態について、図面を参照しつつ説明する。  Hereinafter, an eleventh embodiment in which the integrated circuit and the design method thereof according to the present invention are applied to a semiconductor integrated circuit and a design method thereof will be described with reference to the drawings.
[0295] 図 45に、本実施形態にかかる多層配線構造を有する半導体集積回路の構成を示 す。同図 45 (a)に示す半導体集積回路 1は、論理回路部 2とアナログ回路部 3とを備 えている。本実施形態では、論理回路部 2が、 自動配置配線ツールを用いて設計さ れる部分となっている。 FIG. 45 shows a configuration of a semiconductor integrated circuit having a multilayer wiring structure according to the present embodiment. The semiconductor integrated circuit 1 shown in FIG. 45A includes a logic circuit unit 2 and an analog circuit unit 3. In the present embodiment, the logic circuit unit 2 is designed using an automatic placement and routing tool. Part.
[0296] 図 45 (b)—図 45 (e)に、同論理回路部 2の第 (n + 3)層目の配線層から第 n層目の 配線層の各配線の敷設規則を示す。同図 45 (b)に示すように、第 (n + 3)層目の配 線層には、配線が互いに実質的に平行に設けられている。そして、これら各配線に ついて、その敷設間隔は、単位間隔 Pdの整数倍となっている。すなわち、 P 接する 配線について、その敷設間隔は、 Pd、 2Pd、 3Pd、…となっている。そして、第(n + 3 )層目に敷設される配線の敷設方向は Y方向となっている。  [0296] Fig. 45 (b)-Fig. 45 (e) show the laying rules for each wiring from the (n + 3) th wiring layer to the nth wiring layer of the same logic circuit unit 2. As shown in FIG. 45 (b), in the (n + 3) th wiring layer, wirings are provided substantially in parallel with each other. The laying interval of each of these wirings is an integral multiple of the unit interval Pd. That is, the wiring intervals of P-connected wiring are Pd, 2Pd, 3Pd, and so on. The laying direction of the wiring laid on the (n + 3) th layer is the Y direction.
[0297] また、同図 45 (c)に示すように、第 (n + 2)層目の配線層には、配線が互いに実質 的に平行に設けられている。そして、これら各配線について、その敷設間隔は、単位 間隔 Pcの整数倍となっている。そして、第 (n + 2)層目に敷設される配線の敷設方向 は X方向となっている。  Further, as shown in FIG. 45 (c), the wiring is provided substantially parallel to the (n + 2) -th wiring layer. The laying interval of each of these wirings is an integral multiple of the unit interval Pc. The laying direction of the wiring laid on the (n + 2) th layer is the X direction.
[0298] また、同図 45 (d)に示すように、第 (n+ 1)層目の配線層には、配線が互いに実質 的に平行に設けられている。そして、これら各配線について、その敷設間隔は、上記 第 (n+ 2)層目の配線層における単位間隔と等しい単位間隔 Pcの整数倍となってい る。そして、第 (n+ 1)層目に敷設される配線の敷設方向は X方向となっている。  As shown in FIG. 45 (d), the (n + 1) th wiring layer is provided with wirings substantially parallel to each other. The laying interval of each of these wirings is an integral multiple of the unit spacing Pc equal to the unit spacing in the (n + 2) th wiring layer. The wiring direction of the wiring laid on the (n + 1) th layer is the X direction.
[0299] また、同図 45 (e)に示すように、第 n層目の配線層には、配線が互いに実質的に平 行に設けられている。そして、これら各配線について、その敷設間隔は、単位間隔 Pa の整数倍となっている。そして、第 n層目に敷設される配線の敷設方向は Y方向とな つている。  As shown in FIG. 45 (e), in the n-th wiring layer, wirings are provided substantially in parallel with each other. The laying interval of each of these wirings is an integral multiple of the unit interval Pa. The wiring direction of the wiring laid on the nth layer is in the Y direction.
[0300] このように本実施形態においては、基本的には、上層の配線層ほど配線の敷設間 隔が大きくなる逆スケーリング則が適用されている。この逆スケーリング則によれば、 上層の配線層ほど、配線幅や配線間隔を拡大することができるため、配線抵抗の低 減や隣接する配線間の容量の低減を図ることができる。このため、上層の配線層ほど 配線長の長い配線を敷設しやすい構成となっている。なお、こうした逆スケーリング則 は、上層の配線層ほど配線長の長い配線を敷設しやすくする等の目的によって意図 的に適用される場合の他、半導体集積回路の製造工程からの要請として適用される こともある。すなわち、例えば上層の配線層ほど平坦性が低下する場合などには、上 層の配線層ほど、配線の敷設間隔を大きくすることが望ましい。 [0301] そして、本実施形態では、隣接する配線層である第 (n + 2)層目の配線層と第 (n + 1)層目の配線層とにおいて、配線の敷設方向を同一とした。ちなみに、一般的な配 置配線においては、隣接する配線層での配線の敷設方向は異なる方向を持たせて おり、特に中間の配線層における P 接する配線層では必ず別な敷設方向となってい る。これに対し、本実施形態のように、各配線層の配線の敷設方向の並び方の規則 を変え、 P 接する配線層間で配線の敷設方向を同一とした場合、これらの配線の敷 設にカかる上記単位間隔を簡易に等しくすることができる。すなわち、逆スケーリング 則が上層の配線層ほど配線長の長い配線を敷設しやすくする等の目的によって意 図的に適用される場合においては、その目的をほとんど損なうことなく上記単位間隔 を等しくすることができる。また、逆スケーリング則が製造工程からの制約によって適 用される場合においても、 P 接する配線層間では互いに離間した配線層間よりも上 記単位間隔の差異が小さいために、やはり上記単位間隔を等しくしゃすい。 As described above, in the present embodiment, basically, the inverse scaling rule is applied, in which an upper wiring layer has a larger wiring laying interval. According to the inverse scaling rule, the wiring width and the wiring interval can be increased in the upper wiring layer, so that the wiring resistance can be reduced and the capacitance between adjacent wirings can be reduced. For this reason, the upper wiring layer has a configuration in which a wiring having a longer wiring length can be easily laid. Note that such an inverse scaling rule is applied intentionally for the purpose of making it easier to lay wiring having a longer wiring length in an upper wiring layer, and is also applied as a request from a semiconductor integrated circuit manufacturing process. Sometimes. That is, for example, when the flatness of the upper wiring layer is lower, it is desirable to increase the interval between the wiring layers in the upper wiring layer. [0301] In the present embodiment, the wiring direction is the same in the (n + 2) th wiring layer and the (n + 1) th wiring layer that are adjacent wiring layers. . By the way, in the general placement and wiring, the wiring laying directions in adjacent wiring layers have different directions, and especially in the middle wiring layer, the wiring laying in P contact always has a different laying direction. . On the other hand, as in the present embodiment, when the rules for arranging the wiring directions of the respective wiring layers are changed so that the wiring laying directions are the same between the wiring layers adjacent to each other, the laying of these wirings is considered. The unit intervals can be easily made equal. In other words, if the inverse scaling rule is intentionally applied for the purpose of making it easier to lay wiring with a longer wiring length in the upper wiring layer, the above-mentioned unit intervals should be equal without substantially impairing the purpose. Can be. Further, even when the inverse scaling rule is applied due to restrictions from the manufacturing process, the difference in the unit spacing is smaller between the wiring layers adjacent to each other than in the wiring layers separated from each other. I'm sorry.
[0302] そして、このように隣接した配線層間で配線の敷設方向を同一に設定することで、 図 46—図 48に示すように、配線の電気的な特性の調整がしゃすいものとなっている  By setting the same wiring laying direction between adjacent wiring layers in this manner, the adjustment of the electrical characteristics of the wiring is smooth as shown in FIGS. 46 to 48. Is
[0303] 図 46 (a)に、第 (n+ 1)層目の配線層に敷設される配線 Lel、 Le3、 Le5と、第 (n [0303] FIG. 46 (a) shows the wirings Lel, Le3, Le5 laid in the (n + 1) th wiring layer and the (n)
+ 2)層目の配線層に敷設される配線 Le2、 Le4を、当該集積回路の基板面 (XY平 面)に投影した図を示す。また、図 46 (b)には、配線 Lei— Le5の YZ断面図を示す 。これらに示されるように、第 (n+ 1)層目の配線層の配線 Lel、 Le3、 Le5は、それ ぞれの敷設間隔 Peが単位間隔 Pcの 2倍となるように敷設され、第 (n + 2)層目の配 線層の配線 Le2、 Le4についても同様に、それぞれの敷設間隔が単位間隔 Pcの 2 倍となるよう敷設される。そして、各層の配線が XY平面上でオーバーラップしないよ うに、各層の配線の中心線が単位間隔 Pcだけオフセットされて交互に敷設されてい る。  +2) A diagram in which the wirings Le2 and Le4 laid in the second wiring layer are projected on the substrate surface (XY plane) of the integrated circuit. Further, FIG. 46B shows a YZ sectional view of the wiring Lei-Le5. As shown in these figures, the wirings Lel, Le3, and Le5 of the (n + 1) th wiring layer are laid such that the laying interval Pe is twice the unit spacing Pc, and the (n) + 2) Similarly, wiring Le2 and Le4 of the wiring layer are laid so that the laying interval between them is twice the unit interval Pc. Then, the center lines of the wirings of the respective layers are alternately laid so as to be offset by the unit interval Pc so that the wirings of the respective layers do not overlap on the XY plane.
[0304] これにより、同一配線層内において隣接する配線の間隔を単位間隔よりも大きくす ることができるため、隣接する配線間の容量を好適に削減することができるようになる 。また、例えば配線 Le3と、配線の敷設方向が異なる第 (n + 3)層目の配線とをビア 接続する場合に、中間に位置する第 (n + 2)層目の配線が障害となることなく直接接 続可能であるため、このビア接続を簡易に行うことができる。 [0304] Thus, the distance between adjacent wirings in the same wiring layer can be made larger than the unit spacing, so that the capacitance between adjacent wirings can be suitably reduced. Also, for example, when the wiring Le3 and the wiring of the (n + 3) th layer in which the wiring laying direction is different from each other via connection, the wiring of the (n + 2) th layer located in the middle may be an obstacle. Direct contact Since the connection is possible, the via connection can be easily performed.
[0305] なお、この例では同一配線層内にある配線の中心線の間隔 Peを単位間隔 Pcの 2 倍としたが、これに限るものでなぐ 2倍以上であればよぐさらに好ましくは整数倍で あればょレ、。また、第 (n+ 1)層目の配線層の配線と第 (n + 2)層目の配線層の配線 において、それぞれの配線の中心線が単位間隔 Pcだけオフセットして敷設されると したが、これに限るものではなレ、。要は、各層の配線が XY平面上でオーバーラップ しなレ、ようにオフセットして敷設されてレ、ればよレ、。  [0305] In this example, the distance Pe between the center lines of the wirings in the same wiring layer is set to twice the unit interval Pc. However, the present invention is not limited to this. If it ’s twice, In addition, in the wiring of the (n + 1) th wiring layer and the wiring of the (n + 2) th wiring layer, it is assumed that the center lines of the respective wirings are laid with a unit interval Pc offset. , Not limited to this. The point is that the wiring of each layer does not overlap on the XY plane.
[0306] 次に、図 47 (a)は、第 (n+ 1)層目の配線層や第 (n+ 2)層目の配線層に敷設され る配線 Lai及び配線 La2を、当該集積回路の基板面 (XY平面)に投影した図である また、図 47 (b)には、配線 Laiの XZ断面図を、また、図 47 (c)には、配線 La2の X Z断面図をそれぞれ示す。これらに示されるように、第 (n+ 1)層目の配線層におい て配線 Lai及び La2が互いに隣接して設けられているとともに、配線 La2がビアホー ル内のプラグ pgを通じて第 (n + 2)層目の配線層に乗り換えるようにして設けられて いる。  Next, FIG. 47 (a) shows the wiring Lai and the wiring La2 laid on the (n + 1) th wiring layer and the (n + 2) th wiring layer, FIG. 47 (b) shows an XZ cross-sectional view of the wiring Lai, and FIG. 47 (c) shows an XZ cross-sectional view of the wiring La2. As shown in these figures, in the (n + 1) th wiring layer, the wirings Lai and La2 are provided adjacent to each other, and the wiring La2 is connected to the (n + 2) th through the plug pg in the via hole. It is provided so that it can be switched to the wiring layer of the layer.
[0307] これにより配線 Lai及び配線 La2について、同一配線層内において隣接する部分 を極力低減することができる。したがって、これら配線 Lai及び配線 La2の配線間の 容量を好適に削減することができるようになる。  As a result, adjacent portions of the wiring Lai and the wiring La2 in the same wiring layer can be reduced as much as possible. Therefore, the capacitance between the wiring Lai and the wiring La2 can be suitably reduced.
[0308] 一方、図 48 (a) 図 48 (c)に、本実施形態において、ノイズ等の影響に対する保 護の望まれる信号伝搬用の配線 Lclをシールドするシールド配線の敷設態様を示す 。ここでは、第 (n+ 1)層目の配線層において、信号伝搬用の配線 Lclの両側に電 位の固定された配線 Lc2、 Lc3が設けられている。また、第 (n + 2)層目の配線層に は、同第 (n + 2)層目の配線層への信号伝搬用の配線 Lclの投影領域を包含するよ うにして形成された電位の固定された配線 Lc4が形成されている。  On the other hand, FIG. 48 (a) and FIG. 48 (c) show how to lay the shield wiring for shielding the signal transmission wiring Lcl for which protection against the influence of noise or the like is desired in the present embodiment. Here, in the (n + 1) -th wiring layer, wirings Lc2 and Lc3 having fixed potentials are provided on both sides of the wiring Lcl for signal propagation. The (n + 2) th wiring layer has a potential formed so as to include the projection area of the signal transmission wiring Lcl to the (n + 2) th wiring layer. The fixed wiring Lc4 is formed.
[0309] そして、図 48 (b)及び図 48 (c)に示すように、これら第(n+ 1)層目の配線 Lc2、配 線 Lc3と第(n + 2)層目の配線 Lc4とは、ビアホール内に設けられたプラグ pgによつ て互いに電気的に接続されている。これにより、第 (n+ 1)層目の配線層の配線 Lc2 、 Lc3と第 (n + 2)層目の配線層の配線 Lc4とは、信号伝搬用の配線 Lclを周囲の 電磁波に対してシールドするシールド配線を構成することとなる。 [0310] これにより、信号伝搬用の配線 Lclに対するクロストーク、電磁障害 (EMI)対策を 好適に行うことができる。ちなみに、このシールド配線によるシールド対象となる被シ 一ルド配線は、バス配線やクロック配線とすることが望ましレ、。 As shown in FIGS. 48 (b) and 48 (c), these (n + 1) th layer wiring Lc2, wiring Lc3 and (n + 2) th layer wiring Lc4 Are electrically connected to each other by a plug pg provided in the via hole. Accordingly, the wirings Lc2 and Lc3 of the (n + 1) th wiring layer and the wiring Lc4 of the (n + 2) th wiring layer shield the signal transmission wiring Lcl from surrounding electromagnetic waves. To form a shielded wiring. [0310] As a result, it is possible to appropriately take measures against crosstalk and electromagnetic interference (EMI) with respect to the signal transmission wiring Lcl. By the way, it is desirable that the shielded wiring to be shielded by the shield wiring is a bus wiring or a clock wiring.
[0311] これに対し、図 46 (c)、図 47 (d)及び図 48 (d)は、通常の自動配線ツールにおける 配線の敷設方向の設定に従って、同敷設方向を隣接する配線層間で互いに直交す るようにした場合にっレ、て示してレ、る。 [0311] On the other hand, Figs. 46 (c), 47 (d) and 48 (d) show the same laying direction between adjacent wiring layers according to the setting of the laying direction of wiring in a normal automatic wiring tool. When they are orthogonal, they are shown and shown.
[0312] すなわち、図 46 (c)においては、第(n+ 1)層目の配線層に配線 Lel、Le3、Le5 を敷設し、第 (n + 3)層目の配線層に配線 Le2、 Le4を敷設してある。 That is, in FIG. 46C, wirings Lel, Le3, and Le5 are laid on the (n + 1) th wiring layer, and wirings Le2 and Le4 are laid on the (n + 3) th wiring layer. Is laid.
[0313] また、図 47 (d)においては、第(n+ 1)層目の配線層において配線 Lbl及び Lb2 が互いに隣接して設けられているとともに、配線 Lb2がビアホール内のプラグを通じ て第 (n+ 3)層目の配線層に乗り換えるようにして設けられている。 In FIG. 47 (d), the wirings Lbl and Lb2 are provided adjacent to each other in the (n + 1) th wiring layer, and the wiring Lb2 is connected through the plug in the via hole. It is provided so as to be switched to the (n + 3) th wiring layer.
[0314] 更に、図 48 (d)においては、第 (n+ 1)層目の配線層の配線 Lc2、Lc3と第 (n+ 3) 層目の配線層の配線 Lc4とによって信号伝搬用の配線 Lclに対するシールド配線 が構成されている。 Further, in FIG. 48 (d), the wiring Lcl for signal propagation is formed by the wirings Lc2 and Lc3 of the (n + 1) th wiring layer and the wiring Lc4 of the (n + 3) th wiring layer. The shield wiring for is configured.
[0315] これら図 46 (c)、図 47 (d)及び図 48 (d)においては、上記第(n+ 1)層目の配線層 の配線間についての上記単位間隔を第 (n + 3)層目の配線層の単位間隔 Pdに併せ る場合を示している。すなわち、通常、第 (n+ 3)層目の配線層の配線よりは配線の 弓 1き回しが短レ、傾向にあるために、配線抵抗の低減や配線間容量の低減の要請が 第 (n+ 3)層目の配線層の配線よりも小さい第 (n+ 1)層目の配線層の配線について の上記単位間隔を第 (n+ 3)層目の配線層の単位間隔の側に併せている。このため 、図 46 (a) · (b)や図 47 (a)—図 47 (c)、図 48 (a)—図 48 (c)の場合と比較して配線 リソースの低減が大きなものとなっている。  In FIG. 46 (c), FIG. 47 (d) and FIG. 48 (d), the unit spacing between the wirings of the (n + 1) th wiring layer is the (n + 3) The figure shows a case in which the unit spacing Pd of the wiring layer of the layer is combined. In other words, usually, the bow of the wiring tends to be shorter than that of the wiring in the (n + 3) th wiring layer. 3) The unit spacing for the wiring of the (n + 1) th wiring layer smaller than the wiring of the wiring layer of the (n + 1) th layer is set to the unit spacing side of the wiring layer of the (n + 1) th layer. For this reason, the reduction in wiring resources is larger than in the case of FIGS. 46 (a) and (b) and FIG. 47 (a) —FIG. 47 (c) and FIG. 48 (a) —FIG. Has become.
[0316] もっとも、逆スケーリング則が製造プロセスからの要請ではない場合、第 (n + 3)層 目の配線層の配線の単位間隔を第 (n+ 1)層目の配線層の配線の単位間隔の側に 併せることも考えられる。しかし、この場合、第 (n + 3)層目の配線については、その 配線長が長くなる傾向を考慮して設定された逆スケーリング則に反することになり、配 線抵抗や配線間の容量等、電気的特性に問題を生じやすいものとなる。  [0316] However, if the inverse scaling rule is not a request from the manufacturing process, the unit spacing of the wiring of the (n + 3) th wiring layer is changed to the unit spacing of the wiring of the (n + 1) th wiring layer. It may be possible to combine with However, in this case, the wiring of the (n + 3) th layer violates the inverse scaling rule set in consideration of the tendency of the wiring length to become longer, and the wiring resistance, the capacitance between the wirings, etc. In addition, a problem easily occurs in electrical characteristics.
[0317] 更に、図 46 (c)や図 47 (d)、図 48 (d)に示す構成にあっては、第(n + 3)層目の配 線層の配線と第 (n+ 1)層目の配線層の配線との電気的なコンタクトをとる際、これら の中間の配線層である第 (n + 2)層目の配線層の配線との干渉を回避することが必 要ともなる。このため、自動配線ツールによる結線を行う際に、上記干渉の回避を図 る上での演算負荷の増大や配線リソースの低減も避けられないものとなる。 [0317] Furthermore, in the configuration shown in Fig. 46 (c), Fig. 47 (d), and Fig. 48 (d), the arrangement of the (n + 3) th layer When making electrical contact between the wiring in the wiring layer and the wiring in the (n + 1) th wiring layer, the wiring between the wiring in the (n + 2) th wiring layer, which is an intermediate wiring layer between them, It is also necessary to avoid interference. For this reason, when the wiring is performed by the automatic wiring tool, an increase in calculation load and a reduction in wiring resources in avoiding the above-described interference are inevitable.
[0318] これに対し、本実施形態では、第 (n+ 1)層目の配線層と第 (n + 2)層目の配線層 とについてそれら配線の敷設方向を同一とすることで、これら各配線層の配線につい て上記単位間隔を同一した場合に生じる問題を好適に抑制することができる。また、 中間の配線層を有しないために、上記電気的な接続に際しての干渉の問題を回避 することちでさる。 On the other hand, in the present embodiment, the wiring directions of the (n + 1) th wiring layer and the (n + 2) th wiring layer are made the same, whereby The problem that occurs when the unit intervals described above are the same for the wiring of the wiring layer can be suitably suppressed. In addition, since there is no intermediate wiring layer, it is possible to avoid the problem of interference at the time of the electrical connection.
以下、こうした構成を有する本実施形態に力かる半導体集積回路の設計手順につ いて説明する。  Hereinafter, a design procedure of a semiconductor integrated circuit having such a configuration and working on this embodiment will be described.
[0319] 図 49は、本実施形態にかかる半導体集積回路の設計支援装置の構成を示すプロ ック図である。なお、この支援装置はスタンダードセル方式、又はゲートアレイ方式の 設計を支援する装置として構成されてレ、る。  FIG. 49 is a block diagram showing a configuration of a semiconductor integrated circuit design support apparatus according to the present embodiment. This support device is configured as a device that supports the design of the standard cell system or the gate array system.
はじめに、同支援装置を構成する各部の機能について説明する。  First, the function of each unit constituting the support apparatus will be described.
[0320] ライブラリ 1010は、半導体集積回路を構成すべき各種機能セルのセル情報や、そ れら機能セルの遅延情報、セットアップ及びホールドタイムに関する制約情報等、そ れら機能セルの性能情報が格納される部分である。ここで、各種機能セルは、論理 演算素子 (論理積、論理和、排他的論理和、排他的論理積、否定等)ゃフリップフロ ップ、 RAM等のメモリ、 A/D等のアナログ素子等又はそれらを用いて形成される回 路である。更に、ライブラリ 1010は、上記各機能セルの面積情報等、同機能セルの レイアウトに関する情報が格納される部分でもある。  [0320] The library 1010 stores the performance information of the functional cells, such as the cell information of various functional cells constituting the semiconductor integrated circuit, the delay information of the functional cells, and the constraint information on the setup and hold time. It is the part that is done. Here, the various functional cells are logical operation elements (logical product, logical sum, exclusive logical sum, exclusive logical product, negation, etc.) ゃ flip-flops, memories such as RAM, analog devices such as A / D, or the like. It is a circuit formed using them. Further, the library 1010 is a part in which information on the layout of the functional cells, such as the area information of each functional cell, is stored.
[0321] また、設計仕様格納部 1012は、例えばハードウェア記述言語 (HDL)で記述され た半導体集積回路の機能及び構造に関する情報が格納される部分である。詳しくは 、この設計仕様格納部 1012は、 RTL (resistor transfer level)やゲートレベル等で表 現された回路情報や、動作周波数等のタイミング、電力条件などが格納されている部 分である。ここで、例えばゲートレベルの回路情報は、上記ライブラリ 1010で定義さ れるセルから、使用されるセルの種類や数並びにこれらの論理的な結線情報からな るネットリストである。 [0321] The design specification storage section 1012 is a section for storing information on functions and structures of the semiconductor integrated circuit described in, for example, a hardware description language (HDL). More specifically, the design specification storage unit 1012 stores circuit information expressed by RTL (resistor transfer level), gate level, and the like, timing such as operating frequency, power conditions, and the like. Here, for example, the circuit information at the gate level is obtained from the cells defined in the library 1010, the type and number of cells used, and the logical connection information thereof. Netlist.
[0322] 更に、プロセスパラメータ 1014は、指定されたデザインルール (製造工程における 最小加工精度に関する規定、素子サイズや最小配線間隔等を規定するルール)に 応じた素子特性や、材質毎の配線特性等に関する情報が格納される部分である。  [0322] Further, the process parameter 1014 includes element characteristics according to designated design rules (rules regarding minimum processing accuracy in the manufacturing process, rules specifying element size and minimum wiring interval, etc.), wiring characteristics for each material, and the like. This is the part where the information about is stored.
[0323] なお、これらライブラリ 1010,設計仕様格納部 1012、プロセスパラメータ 1014は、 ハードディスク装置等の記憶装置を備えて構成されている。  The library 1010, the design specification storage unit 1012, and the process parameter 1014 are provided with a storage device such as a hard disk device.
[0324] これに対し、 自動配置配線ツールとしての自動配置部 1020と自動配線部 1022と は、レイアウト設計を行う部分である。すなわち、 自動配置部 1020は、上記機能セル の自動配置を行う部分であり、 自動配線部 1022は、それら配置された機能セル間の 結線を行う部分である。これら上記機能セルの自動配置、及びそれら配置された機 能セル間の結線は、上記機能セルに対応する上記ライブラリ 1010の有するレイァゥ トデータを用いて行なわれる。  [0324] On the other hand, the automatic placement unit 1020 and the automatic routing unit 1022 as automatic placement and routing tools are parts for performing layout design. That is, the automatic arrangement unit 1020 is a unit for automatically arranging the function cells, and the automatic wiring unit 1022 is a unit for connecting the arranged function cells. The automatic arrangement of the functional cells and the connection between the arranged functional cells are performed using the layout data of the library 1010 corresponding to the functional cells.
[0325] この自動配線部 1022で生成された回路のネットリストは、タイミング解析部 1030に 供給される。このネットリストは、階層構造を保持しており、各機能セルから構成される 機能ブロック内のネットリストと機能ブロック間のネットリストとからなる。  [0325] The netlist of the circuit generated by the automatic wiring unit 1022 is supplied to the timing analysis unit 1030. This netlist has a hierarchical structure, and is composed of a netlist in a functional block composed of functional cells and a netlist between functional blocks.
[0326] このタイミング解析部 1030は、上記ネットリストや、プロセスパラメータ 1014に基づ き、タイミング解析を行う部分である。  [0326] The timing analysis unit 1030 is a unit that performs timing analysis based on the netlist and the process parameters 1014.
[0327] なお、上記自動配置部 1020や、 自動配線部 1022、タイミング解析部 1030は、こ れらの行う処理に関するプログラムを記憶する半導体メモリやハードディスク装置等 力 なる記憶装置とコンピュータとを備えて構成されている。  [0327] Note that the automatic placement unit 1020, the automatic wiring unit 1022, and the timing analysis unit 1030 each include a powerful storage device such as a semiconductor memory or a hard disk device that stores a program related to the processing to be performed, and a computer. It is configured.
[0328] その他、入力部 1040は、タツチペンやキーボード、マウス等の入力装置からなって 、レイアウト設計のための各種情報や命令を入力する部分である。また、画像表示部 1042は、上記入力情報やレイアウト図等を可視表示する部分である。一方、制御部 1050は、この画像表示部 1042をはじめ、上述した自動配置部 1020、 自動配線部 1022、タイミング解析部 1030等の動作を統轄する部分である。  [0328] In addition, the input unit 1040 is a unit that includes input devices such as a touch pen, a keyboard, and a mouse, and that inputs various information and commands for layout design. The image display unit 1042 is a part that visually displays the input information, the layout diagram, and the like. On the other hand, the control unit 1050 controls the operations of the image display unit 1042, the automatic placement unit 1020, the automatic wiring unit 1022, the timing analysis unit 1030, and the like.
[0329] 次に、こうした構成を有する設計支援装置を用いて行われる本実施形態にかかる 半導体集積回路の設計手順について説明する。  Next, a procedure for designing a semiconductor integrated circuit according to the present embodiment, which is performed using the design support apparatus having such a configuration, will be described.
図 50に、本実施形態にかかる半導体集積回路の設計手順を示す。 [0330] この一連の処理においては、まずステップ S1100において、上記ライブラリ 1010に 格納されている機能セルに関するレイアウト情報や、上記設計仕様格納部 1012に 格納されたゲートレベルの回路情報が自動配置部 1020に入力される。そして自動 配置部 1020では、このゲートレベルの回路情報に基づき機能セルの自動配置が行 われる。 FIG. 50 shows a procedure for designing a semiconductor integrated circuit according to the present embodiment. [0330] In this series of processing, first, in step S1100, the layout information relating to the functional cells stored in the library 1010 and the gate-level circuit information stored in the design specification storage unit 1012 are automatically placed by the automatic placement unit 1020. Is input to Then, the automatic placement unit 1020 performs automatic placement of functional cells based on the gate-level circuit information.
[0331] こうして機能セルの自動配置が行われると、ステップ S1110においては、シールド 配線によってシールドされる配線である被シールド配線の敷設領域が上記入力部 10 40を通じて指定される。また、配線の敷設方向が同一となる隣接配線層において、 各層の配線が前記単位間隔よりも大きい間隔で敷設され、且つ、当該半導体集積回 路の基板面上から見たときに各層の配線が重ならないように敷設される領域も、上記 入力部 1040を通じて指定される。  [0331] When the automatic placement of the function cells is performed in this way, in step S1110, the laying area of the shielded wiring, which is the wiring shielded by the shield wiring, is specified through the input unit 1040. Further, in adjacent wiring layers in which the wiring laying direction is the same, the wiring of each layer is laid at intervals larger than the unit interval, and when viewed from the substrate surface of the semiconductor integrated circuit, the wiring of each layer is The area laid so as not to overlap is also specified through the input unit 1040.
[0332] 続いて、ステップ S1120及びステップ S1130では、上記自動配線部 1022におい て、上記設計仕様格納部 1012に格納されている機能セルの結線情報、及びステツ プ S1110で指定した被シールド配線の敷設領域や、隣接配線層におレ、て各層の配 線が基板面上から見て重ならないように配線を敷設する領域の情報を用いて、上記 配置の終了した各機能セル間の結線を行う。  [0332] Subsequently, in step S1120 and step S1130, in the automatic wiring unit 1022, the connection information of the functional cell stored in the design specification storage unit 1012 and the laying of the shielded wiring specified in step S1110 are performed. Using the information on the area where wiring is to be laid so that the wiring of each layer does not overlap when viewed from the substrate surface in the area or the adjacent wiring layer, the connection between the functional cells where the above arrangement is completed is performed .
[0333] ここではまず、ステップ S1120において、電源配線等、電位の固定された配線の敷 設及びこれら敷設された配線間の結線を行う。この際、上記被シールド配線の敷設 領域に対応するようにして、例えば上記入力部 1040を通じてシールド配線の敷設領 域が設定される。なお、上記ステップ S1110において指定された被シールド配線の 敷設領域は、この電位の固定された配線の敷設が禁止される領域となる。  [0333] Here, first, in step S1120, wiring with fixed potentials such as power supply wiring and the connection between these laid wirings are performed. At this time, the shield wiring laying area is set, for example, through the input unit 1040 so as to correspond to the shielded wiring laying area. Note that the laying area of the shielded wiring designated in step S1110 is an area where the laying of the wiring with the fixed potential is prohibited.
続くステップ S 1130では、各機能セル間の信号の伝搬に力かる配線の敷設を行う  In the following step S1130, wiring is laid to help signal propagation between the functional cells.
[0334] 更に、ステップ S1140では、タイミング解析部 1030におレ、て、各機能セル間の結 線が終了したレイアウトデータと上記プロセスパラメータ 1014に格納された情報とに 基づき、タイミング解析を行う。なお、ここでのタイミング解析は、 P 接配線間の容量の 抽出に基づくクロストークノイズ解析を含んで行うことが望ましい。 Further, in step S1140, the timing analysis unit 1030 performs timing analysis based on the layout data in which the connection between the functional cells has been completed and the information stored in the process parameter 1014. It is desirable that this timing analysis includes a crosstalk noise analysis based on the extraction of the capacitance between P-connected wires.
[0335] そして、ステップ S 1150では、タイミング解析部 1030におレヽて、このタイミング解析 の結果が許容範囲にあるか否力を判断する。そして、許容範囲にない場合には、ス テツプ S 1130に戻り、再度各機能セルの結線を行う。この際、先の図 47に示す態様 にて、第 (n + 1)層目の配線層及び第 (n + 2)層目の配線層のレ、ずれか一方の配線 層において互いに隣接して設けられた一対の配線のいずれかを他方の配線層に乗 り換えるようにすることで、クロストークノイズに伴うタイミング違反の回避を図る。なお、 ステップ S1110、 S1120の処理の直後の工程としてのステップ S1130におレヽても、 第 (n+ 1)層目の配線層及び第 (n+ 2)層目の配線層のいずれか一方の配線層に ぉレヽて互レ、に隣接して設けられた一対の配線のレ、ずれかを他方の配線層に乗り換 えるようにして配線の敷設を行ってもよい。これは、例えば自動配線部 1022におい て、隣接する配線の配線長が所定の長さを超えると乗り換えを行う機能を有するもの とすることで実現することができる。 [0335] Then, in step S1150, the timing analysis unit 1030 checks this timing analysis. It is determined whether or not the result is within an allowable range. If not within the allowable range, the process returns to step S1130, and the connection of each functional cell is performed again. At this time, in the embodiment shown in FIG. 47, the (n + 1) th wiring layer and the (n + 2) th wiring layer are adjacent to each other in one of the wiring layers. By replacing one of the provided pair of wirings with the other wiring layer, timing violation due to crosstalk noise is avoided. In addition, in step S1130 as a process immediately after the processing of steps S1110 and S1120, any one of the (n + 1) th wiring layer and the (n + 2) th wiring layer In addition, the wiring may be laid such that the wiring of a pair of wirings provided adjacent to each other is shifted to the other wiring layer. This can be realized, for example, by providing the automatic wiring unit 1022 with a function of performing transfer when the wiring length of an adjacent wiring exceeds a predetermined length.
[0336] また、隣接配線層において、各層の配線が前記単位間隔よりも大きい間隔で敷設 され、且つ、当該半導体集積回路の基板面上から見たときに各層の配線が重ならな レ、ように敷設される領域の指定を、ステップ S 1150のタイミング解析の結果に基づき 、入力部 1040を通じて行って、ステップ S1130に戻って再度各機能セルの結線を 行ってもよい。 In the adjacent wiring layer, the wiring of each layer is laid at an interval larger than the unit interval, and the wiring of each layer does not overlap when viewed from above the substrate surface of the semiconductor integrated circuit. The designation of the area to be laid may be performed through the input unit 1040 based on the result of the timing analysis in step S1150, and the process may return to step S1130 and connect the functional cells again.
[0337] 更に、図 50において破線にて示すように、ステップ 1140において、当該レイアウト では、タイミング違反を解消できないと判断されたときには、ステップ S1100に戻って 機能セルの再配置を行うようにしてもょレ、。  Further, as shown by the broken line in FIG. 50, when it is determined in step 1140 that the timing violation cannot be eliminated in the layout, the process returns to step S1100 to relocate the functional cells. Yore,
[0338] こうしてステップ S1150において、タイミング解析の結果が許容範囲にあると判断さ れると、この一連処理を一旦終了する。 If it is determined in step S1150 that the result of the timing analysis is within the allowable range, the series of processes is temporarily terminated.
以上説明した本実施形態によれば、以下の効果が得られるようになる。  According to the embodiment described above, the following effects can be obtained.
[0339] (17)自動配線ツールにて結線を行う領域のうち、隣接する 2つの配線層において[17] (17) In the area where wiring is performed by the automatic wiring tool, two adjacent wiring layers
、配線の敷設方向が同一となるようにした。このため、微細化に伴う諸問題に簡易に 対処することができ、ひいては、より効率的な設計を行うことができるようになる。 And the wiring laying directions were the same. Therefore, various problems associated with miniaturization can be easily dealt with, and more efficient design can be achieved.
[0340] (18)上層の配線層ほど、配線の敷設間隔を規定する上記単位間隔が大きくなるよ うに設定した。これにより、上層の配線層ほど互いに離間した箇所同士を結線する配 線長の長レ、配線とすることができる。 [0341] (19)互いに配線の敷設方向を同一とする隣接する配線層において、配線の敷設 間隔を規定する単位間隔を略同一に設定した。これにより、これら配線層間における 配線の電気的な接続等を簡易に行うことができるようになる。 (18) The higher the wiring layer, the larger the unit interval for defining the wiring laying interval is set. As a result, it is possible to provide a wiring having a longer wiring length for connecting portions separated from each other in an upper wiring layer. (19) In adjacent wiring layers having the same wiring laying direction, the unit intervals defining the wiring laying intervals are set to be substantially the same. As a result, electrical connection of wiring between these wiring layers can be easily performed.
[0342] (20)第 (n+ 1)層目及び第 (n+ 2)層目の配線層の配線の間隔を単位間隔よりも 大きく設定し、基板面上から見たときに、第 (n+ 1)層目の配線層の配線と、第 (n+ 2 )層目の配線層の配線が重ならないようすることで、同一配線層内の配線間の容量を 抑制することができ、クロストークノイズに伴うタイミング違反の回避を図ることができる  (20) The distance between the wirings of the (n + 1) th and (n + 2) th wiring layers is set to be larger than the unit spacing, and when viewed from above the substrate surface, the (n + 1) th By preventing the wiring of the () -th wiring layer from overlapping with the wiring of the (n + 2) -th wiring layer, the capacitance between the wirings in the same wiring layer can be suppressed, and crosstalk noise can be reduced. The accompanying timing violation can be avoided.
[0343] (21)第 (n+ 1)層目の配線層及び第 (n + 2)層目の配線層のいずれか一方の配 線層において互いに隣接して設けられた一対の配線のいずれかを他方の配線層に 乗り換えるようにすることで、クロストークノイズに伴うタイミング違反の回避を図ること ができる。 (21) One of a pair of wirings provided adjacent to each other in one of the (n + 1) th wiring layer and the (n + 2) th wiring layer The timing violation due to crosstalk noise can be avoided by changing the wiring to the other wiring layer.
[0344] (22)互いに配線の敷設方向を同一とする隣接する配線層を用いてシールド配線 を構成した。これにより、シールド配線を構成する配線を設ける配線層間に別の配線 層を有する場合のようにシールド配線間の電気的な接続と上記別の配線層との干渉 を回避することができる。これにより、配線リソースを大きく損なうことなぐシールド配 線を構成することができ、クロストーク、電磁障害 (EMI)対策を簡易に行うことができ るよつになる。  (22) Shield wiring was configured using adjacent wiring layers having the same wiring laying direction. This makes it possible to avoid electrical connection between the shield wirings and interference with the another wiring layer as in the case where another wiring layer is provided between the wiring layers in which the wirings constituting the shield wiring are provided. As a result, it is possible to configure a shielded wiring that does not significantly damage wiring resources, and it is possible to easily perform measures against crosstalk and electromagnetic interference (EMI).
なお、上記実施形態は、以下のように変更して実施してもよい。  The above-described embodiment may be modified and implemented as follows.
[0345] ·シールド配線を構成する配線であって、被シールド配線と平行に走る配線である 第 1の配線は、必ずしも先の図 48に例示したように信号伝搬用の配線の両側に設け られる構成に限らない。 The first wiring, which is a wiring constituting the shield wiring and runs in parallel with the shielded wiring, is not necessarily provided on both sides of the signal propagation wiring as exemplified in FIG. The configuration is not limited.
•シールド配線を構成する配線であって信号伝搬用の配線の設けられる配線層と は別の配線層に設けられる第 2の配線と、信号伝搬用の配線との位置関係は、先の 図 48に例示したものに限らなレ、。すなわち、例えば信号伝搬用の配線の設けられる 下層に第 2の配線を設けてもよぐまた、上層及び下層の双方に第 2の配線を設けて あよい。  • The positional relationship between the signal transmission wiring and the second wiring, which is a wiring constituting the shield wiring and is provided in a wiring layer different from the wiring layer in which the signal transmission wiring is provided, is shown in FIG. Re, limited to those exemplified in the above. That is, for example, the second wiring may be provided in the lower layer where the signal transmission wiring is provided, or the second wiring may be provided in both the upper layer and the lower layer.
[0346] ·必ずしも第 (n+ 2)層目の配線層と第 (n+ 1)層目の配線層とで、配線ピッチに関 する上記単位間隔を同一とするものに限らなレ、。例えば第 (n+ 2)層目の配線層の 上記単位間隔が第 (n+ 1)層目の配線層の上記単位間隔よりも大きい場合であれ、 隣接する配線層の配線の敷設方向を同一とすることで、これらの間に中間の配線層 を有する場合のような干渉を回避することはできる。更に逆スケーリング則を用いる場 合であれ、 P 接する配線層の配線の敷設方向を同一とすることで、これら配線の敷 設方向の等しい配線層間の配線ピッチの差を緩和することもできる。 [0346] The (n + 2) -th wiring layer and the (n + 1) -th wiring layer are not necessarily related to the wiring pitch. The unit intervals are not limited to those having the same unit interval. For example, even when the unit spacing of the (n + 2) th wiring layer is larger than the unit spacing of the (n + 1) th wiring layer, the wiring laying directions of the adjacent wiring layers are the same. This makes it possible to avoid interference as in the case where an intermediate wiring layer is provided between them. Further, even when the inverse scaling law is used, the difference in the wiring pitch between wiring layers having the same wiring laying direction can be reduced by making the wiring laying directions of the wiring layers in contact with each other the same.
•自動配線ツールによって設計される領域としては、論理回路部に限らない。  • The area designed by the automatic wiring tool is not limited to the logic circuit section.
[0347] ·自動配線ツールによって設計される領域において、予め隣接する 2つの配線層の 配線の敷設方向を同一に設定するものに限らない。例えば電気的な特性の調整が 所望される配線について、同配線の敷設にかかる箇所のみ隣接する配線層間で配 線の敷設方向を同一としてもょレ、。  [0347] In a region designed by the automatic wiring tool, the wiring direction of two adjacent wiring layers is not limited to be set to the same direction in advance. For example, regarding wiring for which electrical characteristics are desired to be adjusted, the wiring laying direction may be the same between adjacent wiring layers only at a portion where the wiring is laid.
[0348] 以上の実施の形態においては、本発明に係る集積回路およびその設計方法を、半 導体集積回路に適用した例について説明したがこれには限定されず、一般的な多 層配線構造を備えた集積回路、例えばシリコンやガラス、プリント基板にある素子を 回路結線してなる集積回路にも適用してもよい。  In the above embodiment, an example in which the integrated circuit according to the present invention and the method for designing the same are applied to a semiconductor integrated circuit has been described. However, the present invention is not limited to this. The present invention may be applied to an integrated circuit provided, for example, an integrated circuit in which elements on a silicon, glass, or printed circuit board are circuit-connected.
[0349] なお、本発明は、以下のように把握することも可能である。 [0349] The present invention can also be grasped as follows.
1. 一の発明は、多層配線構造を有する集積回路において、 a.前記集積回路の 備える任意の 2つの素子の各特定の端子間を結線する配線、及び b.前記集積回路 の任意の 1つの素子の特定の端子の電位を固定する配線、及び c.電位が固定され て且つ片側が実質的にオープンとされる配線、及び d.特定の素子の端子に接続さ れて且つ他端が実質的にオープンとされる配線の少なくとも 1つの配線が、互いに実 質的に同一の経路方向を有するとともに該経路についての当該集積回路の基板面 への投影が互いにオーバーラップする態様にてビアホールにて互いに接続された複 数の配線層の配線によって構成されることをその要旨とする。  1. An invention relates to an integrated circuit having a multilayer wiring structure, comprising: a. A wiring connecting between specific terminals of any two elements included in the integrated circuit; and b. An arbitrary one of the integrated circuits. Wiring that fixes the potential of a specific terminal of the element; c. Wiring that has a fixed potential and is substantially open on one side; and d. Is connected to the terminal of the specific element and the other end is substantially At least one of the interconnects that are to be opened at the same time has substantially the same path direction as each other, and the projection of the path onto the substrate surface of the integrated circuit overlaps with the via hole in a manner overlapping each other. The gist of the present invention is that it is composed of wirings of a plurality of wiring layers connected to each other.
上記構成では、通常一本の配線にて構成される上記 a dの配線力 互いに実質 的に同一の経路方向を有するとともに該経路についての当該集積回路の基板面へ の投影が互いにオーバーラップする態様にてビアホールにて互いに接続された複数 の配線層の配線によって構成される。このため、単一の配線層に形成される場合と比 較して、当該配線の取りうる回路変数の自由度を向上させることができる。このため、 微細化に伴う諸問題に簡易に対処することができるようになる。 In the above configuration, the wiring force of the ad, which is usually configured by one wiring, has substantially the same path direction, and the projection of the path onto the substrate surface of the integrated circuit overlaps with each other. It is composed of a plurality of wiring layers connected to each other by via holes. For this reason, compared to the case of forming on a single wiring layer, In comparison, the degree of freedom of the circuit variables that can be taken by the wiring can be improved. For this reason, it becomes possible to easily deal with various problems associated with miniaturization.
なお、ここで「経路」とは、ビアホールによって分離される配線の長手方向のうち長 レヽ方とし、この際、ビアホールを含まないものとする。また、経路についての互いの配 線層への投影がオーバーラップするとは、自身の配線層への投影と該配線とが接す る場合を含むものとする。  Here, the “path” is a long side in the longitudinal direction of the wiring separated by the via hole, and does not include the via hole. In addition, the overlapping of the projections of the routes on the wiring layers includes the case where the projections of the routes on the wiring layers and the wirings are in contact with each other.
[0350] 2. 一の発明は、前記集積回路の備える素子の特定の 2つの端子間を結線する配 線力 複数の配線層に互いに平行に設けられて且つ互いに電気的に並列に接続さ れた部分を有することをその要旨とする。  [0350] 2. One invention provides a wiring force for connecting between specific two terminals of an element included in the integrated circuit, the wiring force being provided in a plurality of wiring layers in parallel with each other and being electrically connected in parallel with each other. The gist is to have a part that has
上記構成では、複数の配線層に互いに平行に設けられて且つ電気的に互いに並 列に接続された部分については、例えば、これら配線のそれぞれを介して、配線層 内の所定の 2力所(並列接続された両端)のうちの一方から他方へと単一の信号を伝 搬させることができる。これにより、この配線を単線とした場合と比較して配線抵抗を 低減すること力 Sできる。  In the above configuration, for a portion provided in parallel with a plurality of wiring layers and electrically connected in parallel with each other, for example, through each of these wirings, a predetermined two places (in the wiring layer) A single signal can be propagated from one of the two (parallel connected ends) to the other. As a result, it is possible to reduce the wiring resistance compared to the case where this wiring is a single line.
なお、この際、これら互いに電気的に並列に接続された配線は、当該集積回路の 基板面への投影が互いにオーバーラップするようにして形成されることが望ましい。こ れにより、実効的な配線幅や配線長、すなわち集積回路の基板面に対する当該配 線の垂直投影の配線幅や配線長を拡大することなぐ配線の抵抗を調整することが できるようになる。なおこれは、実効的な配線長、すなわち集積回路の基板面に対す る当該配線の垂直投影の配線長を拡大することなぐインダクタンスを低減させること となる。  At this time, it is desirable that the wirings electrically connected in parallel with each other be formed so that the projection of the integrated circuit onto the substrate surface overlaps each other. This makes it possible to adjust the effective wiring width and wiring length, that is, the wiring resistance without increasing the wiring width and wiring length of a vertical projection of the wiring on the substrate surface of the integrated circuit. This reduces the inductance that does not increase the effective wiring length, that is, the wiring length in the vertical projection of the wiring with respect to the substrate surface of the integrated circuit.
[0351] 3. 一の発明は、多層配線構造を有する集積回路において、複数の配線層に互 いに平行に設けられて且つ当該集積回路の基板面への投影が互いにオーバーラッ プする領域を有する複数の配線が、互いに信号の伝搬方向を反転させる態様にて 直列に接続されてなることをその要旨とする。  [0351] 3. One aspect of the invention is an integrated circuit having a multilayer wiring structure, in which a region provided in parallel with a plurality of wiring layers and where the projection of the integrated circuit onto a substrate surface overlaps each other. The gist is that the plurality of wirings are connected in series in such a manner that the signal propagation directions are inverted with respect to each other.
上記構成では、上記互いに信号の伝搬方向を反転させる態様にて直列に接続さ れている配線を信号が伝搬するために、実効的な配線長、すなわち当該配線の垂 直投影の配線長を拡大することなぐ配線の抵抗を増大させることができる。このため 、当該集積回路において信号の遅延量の調整やリファレンス電位の生成等において 、抵抗値を増大させる方向への調整に適用することができる。 In the above configuration, the effective wiring length, that is, the wiring length in the vertical projection of the wiring is enlarged because the signal propagates through the wiring connected in series in a manner in which the signal propagation directions are inverted with respect to each other. It is possible to increase the resistance of the wiring to be performed. For this reason In addition, the present invention can be applied to the adjustment in the direction of increasing the resistance value in the adjustment of a signal delay amount, the generation of a reference potential, and the like in the integrated circuit.
なお、上記構成では、実効的な配線長、すなわち集積回路の基板面に対する当該 配線の垂直投影の配線長を拡大することなぐインダクタンスを増加させることとなる。 なお、上記 2.又は 3.の発明は、前記複数の配線層は互いに隣接する配線層を含 むようにしてもよい。  In the above configuration, the inductance is increased without increasing the effective wiring length, that is, the wiring length in the vertical projection of the wiring with respect to the substrate surface of the integrated circuit. In the invention of the above item 2 or 3, the plurality of wiring layers may include wiring layers adjacent to each other.
これにより、複数の配線層が互いに隣接しない場合に生じやすいこれらの間の配線 層において引き回された配線と、上記構成の複数の配線層の配線との間の電気的な 干渉を回避、又は抑制することができる。  This avoids electrical interference between the wiring routed in the wiring layer between them, which is likely to occur when the plurality of wiring layers are not adjacent to each other, and the wiring of the plurality of wiring layers having the above configuration, or Can be suppressed.
[0352] 4. 一の発明は、互いに平行に設けられた配線の敷設方向及び敷設間隔が隣接 する配線層間で実質的に同一に設定されている領域において、当該集積回路の基 板面への投影が互いに最も近接した配線同士からなる一対の配線力 互いに異なる 配線層となるように設けられてなることをその要旨とする。 [0352] 4. One invention is directed to a method in which the laying direction and the laying interval of wirings provided in parallel to each other are set to be substantially the same between adjacent wiring layers. The gist is that projections are provided so as to form a pair of wiring layers having wirings that are closest to each other and different wiring layers.
上記構成では、上記一対の配線が隣接する配線層の各異なる配線層にそれぞれ 設けられている。このため、これら一対の配線の水平方向の間隔を拡大することなぐ 同一対の配線間の容量を好適に低減することができる。  In the above configuration, the pair of wirings are provided in different wiring layers of adjacent wiring layers. Therefore, the capacitance between the pair of wirings can be suitably reduced without increasing the horizontal interval between the pair of wirings.
[0353] 5. 一の発明は、当該集積回路の基板面への投影が互いに隣接する配線同士が 、少なくとも 2つの配線層をビアホールを介して交互に乗り換えるようにして設けられ てなることをその要旨とする。 [0353] 5. The one invention is that the projection of the integrated circuit onto the substrate surface is provided such that adjacent wirings are alternately switched between at least two wiring layers via via holes. Make a summary.
上記構成では、当該集積回路の基板面への投影が互いに隣接するようにして設け られた配線同士が、同一配線層内にぉレ、て水平方向に隣接する部分を極力低減す ること力 Sでき、ひいては、これら水平方向に隣接する配線間の容量を好適に削減する ことができるようになる。  In the above configuration, the wirings provided so that the projection of the integrated circuit onto the substrate surface are adjacent to each other are placed in the same wiring layer, and the portion adjacent to the horizontal direction is reduced as much as possible. As a result, the capacitance between these horizontally adjacent wirings can be suitably reduced.
なお、この発明は、前記少なくとも 2つの配線層が互いに隣接する配線層からなる ようにしてもよい。  In the present invention, the at least two wiring layers may include wiring layers adjacent to each other.
これにより、複数の配線層が互いに隣接しない場合に生じやすいこれらの間の配線 層において引き回された配線と、上記構成の複数の配線層の配線との間の電気的な 干渉を回避、又は抑制することができる。 [0354] 6. 一の発明は、多層配線構造を有する集積回路において、所定の配線層に互 いに隣接して平行に設けられた一対の配線のうちの少なくとも一方が、前記所定の 配線層とは別の配線層に互いに隣接して前記一対の配線と同方向に平行に設けら れた一対の配線のうちの対応する配線とビアホールを介して接続されることで、前記 別の配線層に設けられる一対の配線のうちの少なくとも一方が片側が実質的にォー プンとされるダミー配線として形成されてなることをその要旨とする。 This avoids electrical interference between the wiring routed in the wiring layer between them, which is likely to occur when the plurality of wiring layers are not adjacent to each other, and the wiring of the plurality of wiring layers having the above configuration, or Can be suppressed. [0354] 6. One invention provides an integrated circuit having a multilayer wiring structure, wherein at least one of a pair of wirings provided adjacent to and parallel to a predetermined wiring layer includes the predetermined wiring layer. And a corresponding wiring of a pair of wirings provided adjacent to each other and in parallel with the pair of wirings in the same direction as the pair of wirings. The gist is that at least one of the pair of wirings provided in the above is formed as a dummy wiring whose one side is substantially open.
上記構成では、所定の配線層の一対の配線のうちの少なくとも一方の配線に、上 記別の配線層におレ、て、これと接続するダミー配線と隣接する配線 (別の配線層の 一対の配線のうちの他方)との間の容量が付与されることとなる。このため、このダミー 配線と接続される配線については、 P 接する配線との間の容量を増大させることがで きるようになる。このため、配線を伝搬する信号の遅延量を調整したり、インピーダン スマッチングを行ったりすることができる。  In the above configuration, at least one of the pair of wirings in the predetermined wiring layer is placed in another wiring layer as described above, and a wiring adjacent to the dummy wiring to be connected thereto (a pair of another wiring layer). (The other of the wirings). Therefore, the capacitance between the wiring connected to the dummy wiring and the wiring connected to the P-contact can be increased. For this reason, it is possible to adjust the delay amount of the signal propagating through the wiring and to perform impedance matching.
[0355] しかも、実効的な配線ピッチの低減や配線長の増大をしなくても、換言すれば当該 集積回路の基板面に対する当該配線の垂直投影の配線ピッチの低減や配線長の 増大をしなくても容量を増大させることができるため、デザインルールの制約や抵抗 の増大を招くこともない。  [0355] Moreover, even if the effective wiring pitch is not reduced or the wiring length is increased, in other words, the wiring pitch of the vertical projection of the wiring on the substrate surface of the integrated circuit is reduced or the wiring length is increased. Since the capacitance can be increased even without the above, there is no restriction on design rules and no increase in resistance.
特に、所定の配線層の一対の配線のそれぞれと別の配線層の一対の配線とが接 続することで上記別の配線層の一対の配線の双方がダミー配線となる場合には、所 定の配線層の一対の配線間の容量にダミー配線間の容量が加わることから、所定の 配線層の一対の配線間の容量を増大させることができる。  In particular, when a pair of wirings of a predetermined wiring layer and a pair of wirings of another wiring layer are connected to each other, both of the pair of wirings of the another wiring layer become dummy wirings. Since the capacitance between the dummy wirings is added to the capacitance between the pair of wirings in the wiring layer, the capacitance between the pair of wirings in the predetermined wiring layer can be increased.
[0356] なお、この発明は、前記別の配線層の一対の配線は、前記所定の配線層の一対の 配線を同別の配線層に投影したものと略等しくなるようにしてもよい。これにより、所定 の配線層及び別の配線層について、少なくともこれら一対の配線に関しては、そのマ スクパターンを同一とすることができる。  [0356] In the present invention, the pair of wirings in the another wiring layer may be substantially equal to a pair of wirings in the predetermined wiring layer projected on the same wiring layer. Thus, the mask pattern of the predetermined wiring layer and another wiring layer can be the same for at least the pair of wirings.
[0357] また、この発明は、前記所定の配線層と前記別の配線層とが互いに隣接する配線 層であるようにしてもよレ、。これにより、複数の配線層が互いに隣接しない場合に生じ やすレ、これらの間の配線層において引き回された配線と、上記構成の複数の配線層 の配線との間の電気的な干渉を回避、又は抑制することができる。 [0358] 7. 一の発明は、多層配線構造を有する集積回路において、前記集積回路の備 える任意の 2つの素子の各特定の端子間を結線する配線、及び前記集積回路の任 意の 1つの素子の特定の端子の電位を固定する配線の少なくとも一方からなる一対 の配線が、それぞれ複数の配線層の配線の並列接続にて構成されるとともに、前記 複数の配線層は、前記一対の配線同士で共通であって且つこれら各配線層におけ る前記一対の配線は互いに隣接して形成されてなることをその要旨とする。 [0357] Further, according to the present invention, the predetermined wiring layer and the another wiring layer may be wiring layers adjacent to each other. This avoids electrical interference between the wiring routed in the wiring layer between them and the wiring of the wiring layers of the above configuration, which is likely to occur when a plurality of wiring layers are not adjacent to each other. Or can be suppressed. [0358] 7. One invention is an integrated circuit having a multi-layered wiring structure, wherein a wiring connecting between specific terminals of any two elements provided in the integrated circuit, and an arbitrary one of the integrated circuits is provided. A pair of wirings each composed of at least one of wirings for fixing the potential of a specific terminal of one element is formed by parallel connection of wirings of a plurality of wiring layers, respectively, and the plurality of wiring layers are formed of the pair of wirings. The gist is that the pair of wirings common to each other and in each of these wiring layers are formed adjacent to each other.
上記構成では、上記一対の配線が、複数の配線層の配線の並列接続にて構成さ れてレ、るために、これら一対の配線を単線とした場合と比較して配線抵抗を低減する こと力 Sできる。更に、これら一対の配線は、各配線層において互いに隣接して形成さ れているために、これら一対の配線の間の容量も、これら一対の配線の少なくとも一 方を単一の配線層の配線として形成する場合と比較して、増大させることができる。 なお、上記複数の配線層の配線は、互いに隣接する配線層を含むようにすることが 望ましい。これにより、複数の配線層が互いに隣接しない場合に生じやすいこれらの 間の配線層において引き回された配線と、上記構成の複数の配線層の配線との間 の電気的な干渉を回避、又は抑制することができる。  In the above configuration, the pair of wirings is configured by connecting the wirings of a plurality of wiring layers in parallel, so that the wiring resistance is reduced as compared with the case where the pair of wirings are formed as a single line. Power S can. Further, since the pair of wirings are formed adjacent to each other in each wiring layer, the capacitance between the pair of wirings is also reduced to at least one of the pair of wirings by a wiring of a single wiring layer. It can be increased as compared with the case of forming as. It is desirable that the wirings of the plurality of wiring layers include wiring layers adjacent to each other. This avoids electrical interference between the wiring routed in the wiring layer between the wiring layers, which tends to occur when the wiring layers are not adjacent to each other, and the wiring of the wiring layers having the above configuration, or Can be suppressed.
[0359] 8. 一の発明は、多層配線構造を有する集積回路において、前記集積回路の備 える任意の 2つの素子の各特定の端子間を結線する配線、及び前記集積回路の任 意の 1つの素子の特定の端子の電位を固定する配線の少なくとも一方からなる一対 の配線のそれぞれが、互いに平行に敷設されて且つ一端から他端への経路の当該 集積回路の基板面への投影がオーバーラップするようにして互いに直列に接続され る複数の配線層の配線からなるとともに、前記複数の配線層は、前記一対の配線同 士で共通であって且つこれら各配線層における前記一対の配線は互いに隣接して 形成されてなることをその要旨とする。 [0359] 8. One invention is an integrated circuit having a multi-layered wiring structure, wherein a wiring connecting between specific terminals of any two elements provided in the integrated circuit, and an arbitrary one of the integrated circuit is provided. A pair of wirings, each of which consists of at least one of the wirings for fixing the potential of a specific terminal of one element, are laid in parallel with each other, and the path from one end to the other end is over projected on the substrate surface of the integrated circuit. The plurality of wiring layers are connected in series so as to overlap with each other, and the plurality of wiring layers are common to the pair of wiring layers, and the pair of wirings in each of the wiring layers is The gist is that they are formed adjacent to each other.
上記構成では、上記一対の配線をオーバーラップするようにして互いに直列に接 続される配線とすることで、これら一対の配線の抵抗を、実効的な配線長、すなわち 当該配線の垂直投影の配線長を拡大することなぐ増大させることができる。これら一 対の配線は、各配線層において互いに隣接して形成されているために、これら一対 の配線の間の容量も、これら一対の配線の少なくとも一方を単一の配線層の配線とし て形成する場合と比較して、増大させることができる。 In the above configuration, the pair of wires are connected in series so as to overlap each other, so that the resistance of the pair of wires is reduced by the effective wire length, that is, the wire in the vertical projection of the wire. It can be increased without increasing the length. Since the pair of wirings are formed adjacent to each other in each wiring layer, the capacitance between the pair of wirings is also set such that at least one of the pair of wirings is a wiring of a single wiring layer. It can be increased as compared with the case of forming by forming.
なお、上記複数の配線層の配線は、互いに隣接する配線層を含むようにすることが 望ましい。これにより、複数の配線層が互いに隣接しない場合に生じやすいこれらの 間の配線層において引き回された配線と、上記構成の複数の配線層の配線との間 の電気的な干渉を回避、又は抑制することができる。  It is desirable that the wirings of the plurality of wiring layers include wiring layers adjacent to each other. This avoids electrical interference between the wiring routed in the wiring layer between the wiring layers, which tends to occur when the wiring layers are not adjacent to each other, and the wiring of the wiring layers having the above configuration, or Can be suppressed.
[0360] 9. 一の発明は、多層配線構造を有する集積回路において、互いに異なる電位に 固定された複数の配線である複数の電位固定配線と、信号伝播用の配線とについ て、これらの当該集積回路の基板面への投影が互いに隣接して平行に形成されて レ、る部分について、これらのうちの少なくとも一つの配線が配線層を乗り換えることで 前記信号伝播用の配線が前記複数の電位固定配線と任意の配線層において隣接 する長さが各電位固定配線毎に  [0360] 9. One aspect of the present invention relates to an integrated circuit having a multilayer wiring structure, in which a plurality of potential fixed wirings, which are a plurality of wirings fixed at mutually different potentials, and a signal propagation wiring are provided. At a portion where the projections of the integrated circuit onto the substrate surface are formed adjacent to and parallel to each other, at least one of the wirings changes wiring layers so that the signal transmission wirings have the plurality of potentials. The length adjacent to the fixed wiring and any wiring layer is different for each potential fixed wiring.
異ならしめられてなることをその要旨とする。  The gist is to be made different.
信号伝搬用の配線と電位固定配線とが隣接する長さは、これら配線間の容量の大 きさに対応する。そして、信号伝搬用の配線と隣接する電位固定配線との容量の大 きさによって、信号伝搬用の配線での信号の伝搬速度が変化する。  The length of the adjacent signal transmission wiring and potential fixing wiring corresponds to the magnitude of the capacitance between these wirings. Then, the propagation speed of the signal on the signal transmission wiring changes depending on the magnitude of the capacitance between the signal transmission wiring and the adjacent potential fixing wiring.
また、信号伝搬用の配線が各異なる電位に固定された電位固定配線とそれぞれ隣 接する長さの比によって、信号伝搬用の配線を伝搬する信号の波形が変化する。  In addition, the waveform of the signal propagating through the signal transmission wiring changes depending on the ratio of the length of the signal transmission wiring adjacent to the potential fixing wiring fixed at a different potential.
この点、上記構成では、信号伝搬用の配線が各電位固定配線と隣接する長さによ つて、信号伝搬用の配線での信号の伝搬速度や信号波形を調整することができるよ うになる。  In this regard, in the above configuration, the signal propagation speed and signal waveform on the signal propagation wiring can be adjusted by adjusting the length of the signal propagation wiring adjacent to each potential fixing wiring.
[0361] 10. 一の発明は、多層配線構造を有する集積回路において、互いに実質的に平 行に設けられた配線の敷設方向が配線層同士で実質的に同一に設定されるとともに 、前記平行に設けられた配線の線幅に対する中心線の間隔が前記配線層同士で実 質的に同一の単位間隔の整数倍に設定されている複数の配線層からなる領域を有 し、該領域には、 a. 当該集積回路の備える素子の特定の 2つの端子間を結線する 配線であって、複数の配線層に互いに平行に設けられて且つ互いに電気的に並列 に接続された部分を有する配線、及び b.複数の配線層に互いに平行に設けられる とともに、互いの配線層への投影がオーバーラップする領域を有する複数の配線で あって、且つ互いに信号の伝搬方向を反転させる態様にて直列に接続されてなる配 線、及び C .所定の配線層に互いに隣接して平行に設けられた一対の配線と、前記 所定の配線層とは別の配線層に互いに隣接して平行に設けられて且つその少なくと も一方が前記一対の配線のうちの対応する配線とビアホールを介して接続されること で片側が実質的にオープンとされるダミー配線として形成される一対の配線、及び d . 当該集積回路の基板面への投影が互いに最も近接した配線同士からなる一対の 配線であって、且つ互いに異なる配線層となるようにして設けられた配線、及び e. 当 該集積回路の基板面への投影が互いに隣接する配線であって且つ前記複数の配 線層のうちの少なくとも 2つの配線層をビアホールを介して交互に乗り換えるようにし て設けられた配線、及び f.互いに異なる電位に固定された複数の配線である複数の 電位固定配線と信号伝播用の配線とであって、これらの当該集積回路の基板面への 投影が互いに隣接して平行に形成されてレ、る部分にっレ、て、これらのうちの少なくと も一つの配線が配線層を乗り換えることで前記信号伝播用の配線が前記複数の電 位固定配線と任意の配線層において隣接する長さが各電位固定配線毎に異ならし められてなる配線の少なくとも 1つが備えられてなることをその要旨とする。 [0361] 10. One aspect of the present invention is an integrated circuit having a multilayer wiring structure, wherein the wiring directions of wirings provided substantially in parallel with each other are set to be substantially the same between wiring layers, and And a region formed by a plurality of wiring layers in which the distance between the center lines with respect to the line width of the wiring provided in the wiring layers is set to an integral multiple of a unit interval that is substantially the same between the wiring layers. A. A wiring for connecting between specific two terminals of an element included in the integrated circuit, the wiring being provided in a plurality of wiring layers in parallel with each other and having portions electrically connected in parallel with each other; And b. A plurality of wirings which are provided in parallel with each other on a plurality of wiring layers and have an area where projections on the wiring layers overlap with each other. C. a pair of wirings connected in series in such a manner that the signal propagation directions are inverted with respect to each other; and C. a pair of wirings provided adjacent to each other in parallel on a predetermined wiring layer and the predetermined wirings. One side is substantially open by being provided adjacent to and in parallel with another wiring layer and at least one of the layers is connected to a corresponding wiring of the pair of wirings via a via hole. D. A pair of wirings formed as dummy wirings, and d. A pair of wirings composed of the wirings that are closest to each other when projected onto the substrate surface of the integrated circuit, and formed in different wiring layers. And e. Projecting the integrated circuit onto the substrate surface is a wiring adjacent to each other, and at least two wiring layers of the plurality of wiring layers are alternately switched via via holes. Yo F. A plurality of potential fixed wirings, which are a plurality of wirings fixed at mutually different potentials, and signal transmission wirings, which are projected onto the substrate surface of the integrated circuit. Are formed adjacent to each other and parallel to each other. At least one of the wirings changes wiring layers, so that the signal transmission wiring is fixed to the plurality of potentials. The gist of the present invention is that at least one of the wirings in which the length adjacent to the wiring in an arbitrary wiring layer is different for each potential fixing wiring is provided.
上記構成では、互いに実質的に平行に設けられた配線の敷設方向が配線層同士 で実質的に同一に設定されるとともに、前記互いに平行に設けられた配線の線幅に 対する中心線の間隔が配線層同士で実質的に同一の単位間隔の整数倍に設定さ れている複数の配線層からなる領域を有する。このように配線層同士の配線の敷設 態様が設定されることで、上記 a— fの各配線を簡易に形成することができる。  In the above configuration, the laying directions of the wirings provided substantially in parallel with each other are set to be substantially the same between the wiring layers, and the interval between the center lines with respect to the line width of the wirings provided in parallel with each other is set. The wiring layer has a region composed of a plurality of wiring layers set to an integral multiple of substantially the same unit interval. By setting the laying mode of the wiring between the wiring layers in this manner, each of the wirings af can be easily formed.
すなわち、上記 aの配線が設けられている場合には、配線層内の 2力所のうちの一 方力 他方へと単一の信号を伝搬させるに際し、当該集積回路の基板面への信号 の伝搬経路の投影が互いにオーバーラップするようにして複数の配線層に互いに平 行に設けられた複数の配線が用いられる。このため、これら複数の配線の敷設態様 や、上記オーバーラップ領域と対応した各配線層における互いの信号の伝搬方向の 関係によって配線抵抗を好適に調整することができる。  In other words, when the wiring described in a above is provided, when a single signal is transmitted to one of the two places in the wiring layer and the other to the other, the signal is transmitted to the substrate surface of the integrated circuit. A plurality of wirings provided in parallel on a plurality of wiring layers so that the projections of the propagation paths overlap each other are used. For this reason, the wiring resistance can be suitably adjusted according to the laying mode of the plurality of wirings and the relationship between the signal propagation directions in the respective wiring layers corresponding to the overlap region.
また、上記 bの配線が設けられている場合、一方の配線層の隣接する配線の容量 に、ダミー配線間の容量が加わることから、隣接する配線間の容量を増大することが できる。な In addition, when the wiring b is provided, the capacitance between the dummy wirings is added to the capacitance of the adjacent wiring in one wiring layer, so that the capacitance between the adjacent wirings may be increased. it can. Na
お、この一対のダミー配線は、上記別の配線層に一対の配線を投影した領域に設け られるようにすることが望ましレ、。  It is desirable that the pair of dummy wirings be provided in a region where the pair of wirings is projected on the another wiring layer.
[0363] 更に、上記 cの配線が設けられている場合、所定の配線層の一対の配線のうちの少 なくとも一方の配線に、上記別の配線層において、これと接続するダミー配線と隣接 する配線 (別の配線層の一対の配線のうちの他方)との間の容量が付与されることと なる。このため、このダミー配線と接続される配線については、 P 接する配線との間の 容量を増大させることができるようになる。  Further, when the wiring c is provided, at least one of a pair of wirings in a predetermined wiring layer is adjacent to a dummy wiring connected thereto in the another wiring layer. In this case, the capacitance between the wiring and the other wiring (the other of the pair of wirings in another wiring layer) is provided. For this reason, the capacitance between the wiring connected to the dummy wiring and the wiring connected to the P wiring can be increased.
カロえて、上記 dの配線が設けられている場合、これら一対の配線の水平方向の間隔 を拡大することなぐ同一対の配線間の容量を好適に低減することができる。  In short, when the wiring d is provided, the capacity between the same pair of wirings can be suitably reduced without increasing the horizontal interval between the pair of wirings.
[0364] 更に、上記 eの配線が設けられている場合、水平方向に隣接する配線同士が同一 配線層内において水平方向に隣接する部分を極力低減することができ、ひいては、 これら水平方向に隣接する配線間の容量を好適に削減することができるようになる。 また、上記 fの配線が設けられている場合、信号伝搬用の配線が各電位固定配線と 隣接する長さによって、信号伝搬用の配線での信号の伝搬速度や信号波形を調整 すること力 Sできるようになる。  [0364] Further, when the wiring e described above is provided, horizontally adjacent wirings can minimize a horizontally adjacent part in the same wiring layer, and as a result, these horizontally adjacent wirings can be reduced. It is possible to preferably reduce the capacitance between the wirings to be performed. Further, when the wiring of the above f is provided, the signal transmission speed and the signal waveform on the signal transmission wiring are adjusted by the length of the signal transmission wiring adjacent to each potential fixing wiring. become able to.
なお、上記領域には、これら a— fの配線のうち少なくとも 2つの配線が備えられるこ とで、抵抗の増減、配線間容量等についての複数の要求要素を満足するようにする ことが望ましい。  It is desirable that the above-mentioned region is provided with at least two of these a-f wirings so as to satisfy a plurality of required elements with respect to increase and decrease of resistance, capacitance between wirings, and the like.
ちなみに、上記領域は、互いに平行に設けられた配線の線幅に対する中心線の間 隔が単位間隔の整数倍となっているため、自動配線ツールによって簡易に設計する ことのできる領域ともなってレ、る。  By the way, the above-mentioned area is an area that can be easily designed by an automatic wiring tool because the center line spacing with respect to the line width of the wiring provided in parallel with each other is an integral multiple of the unit spacing. You.
[0365] 11. 一の発明は、前記 10記載の発明において、前記 a— fの少なくとも 1つの配線 が備えられた前記領域は、所定の配線層において隣接する領域間で配線の敷設方 向が互いに異なる複数の領域からなることをその要旨とする。 [0365] 11. One invention is the invention according to the tenth aspect, wherein the region provided with at least one of the wirings a to f has a wiring laying direction between adjacent regions in a predetermined wiring layer. The gist of the present invention consists of a plurality of different areas.
上記構成によれば、上記領域が隣接する領域間で配線の敷設方向が互いに異な る配線層を有する複数の領域力 なるために、集積回路の各領域毎でそれぞれ適 切な配線構造を適用することができる。 [0366] 12. 前記 11記載の発明は、前記配線の敷設方向が互いに異なる領域のうちの 隣接する領域間の結線に、当該集積回路の基板面への投影が直線上にあって且つ 配線層を乗り換えるようにして設けられた配線が用いられてなるようにしてもよい。 これにより、上記隣接する領域間の結線を行う配線経路を、迂回した経路等が取ら れることなぐ経路長の好適に抑制されたものとすることができる。 According to the above configuration, an appropriate wiring structure is applied to each region of the integrated circuit because a plurality of regions have wiring layers in which wiring laying directions are different between adjacent regions. Can be. 12. The invention according to the eleventh aspect, wherein the projection of the integrated circuit onto the substrate surface is straight on the connection between the adjacent regions among the regions in which the wiring laying directions are different from each other, and the wiring layer May be used. This makes it possible to appropriately suppress the length of the wiring route for connecting the adjacent regions without taking a detour route or the like.
[0367] 13. 一の発明は、レイアウトの実現された集積回路における配線層を仮物理配線 層とし、該仮物理配線層のうちの所定の仮物理配線層の各配線の回路特性を所望 とする回路特性とすべぐ演算手段により、前記各配線を、複数の配線層からなる実 配線層へ略投影した領域の少なくとも 1つの領域を用いて形成される配線に変換す る工程を有することをその要旨とする。 13. One aspect of the present invention is that a wiring layer in an integrated circuit in which a layout is realized is a temporary physical wiring layer, and that circuit characteristics of each wiring of a predetermined temporary physical wiring layer among the temporary physical wiring layers are desired. Converting the respective wirings into wirings formed using at least one of the regions substantially projected onto an actual wiring layer composed of a plurality of wiring layers by means of a circuit property to be calculated and a slip calculating means. This is the gist.
上記設計方法によれば、仮物理配線層の各配線を、複数の配線層からなる実配線 層へ略投影した領域の少なくとも 1つの領域を用いて形成される配線に変換する。こ のため、こうした変換による配線の形成手法を取らない従来の配線手法による配線で は実現不可能な回路特性 (配線の特性や配線間の容量等の特性)を実現することが 可能となる。したがって、回路特性の調整を簡易に行うことができる。  According to the above design method, each wiring of the temporary physical wiring layer is converted into a wiring formed using at least one of the regions substantially projected onto the actual wiring layer including a plurality of wiring layers. For this reason, it is possible to realize circuit characteristics (characteristics such as wiring characteristics and capacitance between wirings) that cannot be realized by wiring using a conventional wiring method that does not employ a wiring forming method by such conversion. Therefore, adjustment of circuit characteristics can be easily performed.
更にこの際、仮物理配線層の各配線の配線経路を基本として配線の変換を行うた め、同仮物理配線層の配線による電気的な接続態様を修正することなぐこうした回 路特性の調整を行うことができる。  Further, at this time, since the wiring is converted based on the wiring path of each wiring of the temporary physical wiring layer, such adjustment of the circuit characteristics without correcting the electrical connection mode by the wiring of the temporary physical wiring layer is performed. It can be carried out.
なお、ここで「略投影した領域」とは、必ずしも仮物理配線層に対する法線方向へ 投影した領域そのものに限らず、同領域と接する領域も含む。また、「レイアウトの実 現された集積回路」とは、各箇所の結線がなされたレイアウトデータやマスクデータを 有する集積回路のことである。  Here, the “substantially projected region” is not limited to the region itself projected in the normal direction to the temporary physical wiring layer, but also includes a region in contact with the same region. In addition, the “integrated circuit in which the layout is realized” is an integrated circuit having layout data and mask data in which each part is connected.
[0368] 14. 一の発明は、集積回路の各素子の結線に力、かる配線経路を決定する集積 回路の設計方法において、前記結線を行う配線層を仮物理配線層とし、所定の仮物 理配線層の各配線を、複数の配線層からなる実配線層へ略投影した領域の少なくと も 1つの領域を用いて形成される配線に変換することを前提とした回路特性に基づき 、前記仮物理配線層上の配線経路を自動配線にて決定することをその要旨とする。 上記設計方法では、所定の仮物理配線層の各配線を、複数の配線層からなる実 配線層へ略投影した領域の少なくとも 1つの領域を用いて形成される配線に変換す ることを前提とした回路特性を前提として仮物理配線層上の配線経路を自動配線に て決定する。したがって、 自動配線による配線経路の決定に際し、上記変換を前提と しなレ、配線では実現不可能な回路特性 (配線の特性や配線間の容量等の特性)を 用いることができるため、配線経路の選択の自由度を向上させることができ、ひいて は自動配線による配線経路の決定に力かる演算負荷を低減することができる。 [0368] 14. One invention is directed to an integrated circuit design method for determining a wiring route to be applied to each element of an integrated circuit, wherein the wiring layer for performing the connection is a temporary physical wiring layer, Based on the circuit characteristics based on the premise that each wiring of the physical wiring layer is converted into a wiring formed using at least one of the regions substantially projected onto an actual wiring layer including a plurality of wiring layers, The gist is to determine the wiring route on the temporary physical wiring layer by automatic wiring. In the above design method, each wiring of a predetermined temporary physical wiring layer is replaced with an actual wiring composed of a plurality of wiring layers. A wiring path on the temporary physical wiring layer is determined by automatic wiring on the premise of circuit characteristics based on conversion into wiring formed using at least one of the regions substantially projected onto the wiring layer. Therefore, when the wiring route is determined by the automatic wiring, it is possible to use circuit characteristics (characteristics such as wiring characteristics and capacitance between wirings) that cannot be realized by the wiring without assuming the above conversion. The degree of freedom of selection can be improved, and the calculation load for determining the wiring route by automatic wiring can be reduced.
そして、こうして決定された配線経路に基づき、前記前提とされる配線への変換を 行うなら、所望とする回路特性を有する配線を簡易に設計することができるようになる 。このため回路特性の調整を簡易に行うことができる。し力、も、こうして変換された配 線は、当該集積回路の基板面への投影が互いに重なる(若しくは近接する)ために、 上記投影が高密度となる配線を形成することが可能となる。  Then, if the conversion to the presupposed wiring is performed based on the wiring path determined in this way, a wiring having desired circuit characteristics can be easily designed. Therefore, adjustment of circuit characteristics can be easily performed. Since the wirings converted in this way overlap with (or approach) the projections of the integrated circuit onto the substrate surface, it is possible to form wirings with a high density of the projections.
なお、ここで「略投影した領域」とは、必ずしも仮物理配線層に対する法線方向へ 投影した領域そのものに限らず、同領域と接する領域も含む。  Here, the “substantially projected region” is not limited to the region itself projected in the normal direction to the temporary physical wiring layer, but also includes a region in contact with the same region.
15. 一の発明は、集積回路の各素子を自動配置する集積回路の設計方法にお いて、前記集積回路の各箇所についての結線のなされる配線層を仮物理配線層とし 、前記結線のなされる前記集積回路の所定の仮物理配線層の各配線を、複数の配 線層からなる実配線層へ略投影した領域の少なくとも 1つの領域を用いて形成される 配線に変換することを前提とした回路特性に基づいて前記自動配置を行うことをその 要旨とする。  15. An invention is directed to an integrated circuit design method for automatically arranging each element of an integrated circuit, wherein a wiring layer to be connected to each part of the integrated circuit is a temporary physical wiring layer, and the connection is made. It is assumed that each wiring of the predetermined temporary physical wiring layer of the integrated circuit is converted into a wiring formed using at least one region of a region substantially projected onto a real wiring layer including a plurality of wiring layers. The gist is to perform the automatic placement based on the circuit characteristics thus determined.
上記設計方法では、所定の仮物理配線層の各配線を、複数の配線層からなる実 配線層へ略投影した領域の少なくとも 1つの領域を用いて形成される配線に変換す ることを前提とした回路特性に基づいて前記自動配置を行うようにしている。このため 、自動配置による配置の決定に際し、上記変換を前提としない配線では実現不可能 な回路特性 (配線の特性や配線間の容量等の特性)に基づく配置を行うことができる ため、配置の自由度を向上させることができる。特に、上記変換された配線は、変換 前と比較して配置の高密度化を許  The above design method is based on the premise that each wiring of a predetermined temporary physical wiring layer is converted into a wiring formed using at least one of the regions substantially projected onto an actual wiring layer including a plurality of wiring layers. The automatic arrangement is performed based on the circuit characteristics thus determined. For this reason, when deciding placement by automatic placement, placement based on circuit characteristics (characteristics such as wiring characteristics and capacitance between wirings) that cannot be realized by wiring that does not assume the above conversion can be performed. The degree of freedom can be improved. In particular, the converted wiring allows higher density placement than before conversion.
容しゃすいために、上記設計方法によれば、集積回路の各素子の配置を高密度に すること力 sできる。 そして、こうして決定された配置に基づき、上記前提とされる配線への変換を行うな ら、決定された配置に適した回路特性を有する配線を簡易に設計することができるよ うになる。 According to the above-described design method, the arrangement of each element of the integrated circuit can be made denser to be simple. Then, if the conversion to the wiring assumed as described above is performed based on the determined layout, wiring having circuit characteristics suitable for the determined layout can be easily designed.
なお、ここで「略投影した領域」とは、必ずしも仮物理配線層に対する法線方向へ 投影した領域そのものに限らず、同領域と接する領域も含む。また、上記「結線」には 、例えば配置を行うために見積もりとして行うスタイナー配線等を含むものとする。  Here, the “substantially projected region” is not limited to the region itself projected in the normal direction to the temporary physical wiring layer, but also includes a region in contact with the same region. In addition, the “connection” includes, for example, a Steiner wiring or the like that is performed as an estimate for placement.
[0370] 16. 一の発明は、前記 13 15のいずれかに記載の発明において、前記集積回 路を複数の領域に分割する工程を更に有し、前記複数の配線層からなる実配線層 へ略投影した領域の少なくとも 1つの領域を用いて形成される配線への変換は、前 記区画毎にそれぞれ設定された配線層数からなる実配線層へ略投影した領域の少 なくとも 1つの領域を用いて形成される配線への変換であることをその要旨とする。 上記設計方法では、各区画毎に配線への変換を行うために、所望とする回路特性 を効率的に実現することができるようになる。特に、レイアウト設計においては、各配 線層の全ての領域に渡って略均一に配線が敷設されるとは限らず、配線の敷設され ない領域が形成されることが多い。この点、上記設計方法では、区画毎に上記変換 を行うことで、配線の敷設されていない仮物理配線層を多く含む区画ほど展開する 実配線層数を多くすることで、集積回路の最終的な配線層数の増大を好適に抑制す ることちでさる。 [0370] 16. An invention according to any one of the aforementioned items 1315, further comprising a step of dividing the integrated circuit into a plurality of regions, wherein the step of dividing the integrated circuit into a plurality of regions is performed. The conversion to the wiring formed by using at least one of the substantially projected areas is performed by at least one of the substantially projected areas onto the actual wiring layer including the number of wiring layers set for each of the above sections. The gist is that the conversion to the wiring formed by using is performed. In the above-described design method, since the conversion into wiring is performed for each section, desired circuit characteristics can be efficiently realized. In particular, in the layout design, wiring is not always laid almost uniformly over all regions of each wiring layer, and a region where wiring is not laid is often formed. In this regard, in the design method described above, by performing the above conversion for each section, the number of actual wiring layers to be expanded is increased in a section including more temporary physical wiring layers in which no wiring is laid, so that the finalized integrated circuit is obtained. It is desirable to appropriately suppress an increase in the number of wiring layers.
なお、上記発明が前記 14に記載の発明の従属である場合、自動配線を、上記区 画毎に配線の敷設についてのコストが定義された条件下、同コストを低減するように して行うものとしてもよレ、。これにより、例えば高速性が要求される区画等、実配線層 への展開を行うことで回路変数の調整が特に所望される区画については、コストを大 きくするような定義をすることで、同区画において配線の敷設に使用される仮物理配 線層数を低減させることができる。このため、この領域において上記変換に用いられ る実配線層数を優先的に多くすることができる。  In the case where the above-mentioned invention is dependent on the invention described in the above-mentioned item 14, the automatic wiring is performed under the condition that the cost for laying the wiring is defined for each of the above-mentioned sections so as to reduce the cost. You can do it. In this way, for example, for sections where high-speed performance is required, such as sections where adjustment of circuit variables is particularly desired by expanding to the actual wiring layer, a definition that increases the cost is defined. It is possible to reduce the number of temporary physical wiring layers used for laying wiring in the sections. Therefore, in this region, the number of actual wiring layers used for the conversion can be preferentially increased.
[0371] 17. 一の発明は、前記 16に記載の集積回路の設計方法において、 P 接する区 画間を跨ぐ実質的に同一の仮物理配線層の配線による結線態様を前記変換がなさ れたときにも維持すベぐこれら各区画間を跨ぐ実配線層の配線経路を、該実配線 層の乗り換えを利用して演算手段により設定する工程を更に有することをその要旨と する。 [0371] 17. In one aspect of the invention, in the method for designing an integrated circuit according to 16 above, the connection mode by the wiring of substantially the same temporary physical wiring layer over the P-contacted partition is converted. The wiring route of the actual wiring layer straddling each of the sections to be maintained even when The gist of the present invention is to further include a step of setting by arithmetic means using layer switching.
上述した態様にて各区画毎に上記変換を行う場合、隣接する区画間では必ずしも 複数の実配線層の配線への変換の態様が同一とならない。したがって、隣接する区 間間で同層となる実配線層であっても必ずしも配線の敷設方向が同一とならなレ、。そ して、こうした箇所については、これら両区画間の配線を互いに直接的に結線するこ とは困難なものとなることがある。  When the above-described conversion is performed for each section in the above-described mode, the mode of conversion into wiring of a plurality of actual wiring layers is not always the same between adjacent sections. Therefore, even in the actual wiring layer which is the same layer between adjacent sections, the wiring laying direction is not necessarily the same. In such places, it may be difficult to connect the wiring between these two sections directly to each other.
この点、上記設計方法では、同一の仮物理配線層の配線による結線態様を変換が なされたときにも維持すベぐこれら各区画間を跨ぐ実配線層の配線経路を、該実配 線層の乗り換えを利用して設定することで、両区画の結線を好適に行うことができるよ うになる。なお、上記「結線」には、例えば配置を行うために見積もりとして行うスタイ ナー配線等を含むものとする。  In this regard, in the design method described above, the wiring mode of the actual wiring layer that straddles these sections so as to maintain the connection mode by the wiring of the same temporary physical wiring layer even when the conversion is performed is defined by the actual wiring layer. By making use of the transfer, the connection between the two sections can be suitably performed. Note that the “connection” includes, for example, a Steiner wiring or the like that is performed as an estimate for placement.
18. 一の発明は、多層配線構造を有する半導体集積回路において、線幅に対す る中心線の間隔が単位間隔の整数倍となる態様にて配線が互いに平行に敷設され ている領域を有し、且つ該領域には、前記配線の敷設方向が同一となる隣接する配 線層を有することをその要旨とする。  18. One aspect of the present invention is a semiconductor integrated circuit having a multi-layered wiring structure, wherein wirings are laid in parallel with each other in such a manner that a center line spacing with respect to a line width is an integral multiple of a unit spacing. In addition, the gist is that the region has an adjacent wiring layer in which the laying direction of the wiring is the same.
上記構成では、配線の敷設方向が同一となる隣接する配線層を有することで、隣 接する配線層の上記単位間隔については、これを近似させやすいものとなっている。 このため、これら隣接する配線層間の電気的な接続を簡易に行うことができる。また、 これら隣接する配線層間の電気的な接続に際し、同配線層間に中間の配線層を介 す場合のように同中間の配線層の配線との干渉が生じることを好適に回避することが できる。このため、微細化に伴う諸問題に簡易に対処することができ、ひいては、より 効率的な設計を行うことができるようになる。  In the above configuration, since the adjacent wiring layers having the same wiring laying direction are provided, the unit spacing between the adjacent wiring layers can be easily approximated. Therefore, electrical connection between these adjacent wiring layers can be easily performed. In addition, at the time of electrical connection between these adjacent wiring layers, it is possible to preferably avoid occurrence of interference with wiring of the intermediate wiring layer as in the case where an intermediate wiring layer is interposed between the wiring layers. . For this reason, various problems associated with miniaturization can be easily dealt with, and as a result, more efficient design can be performed.
また、上記領域においては、配線の線幅に対する中心線の間隔が所定の単位間 隔の整数倍に設定されているために、当該集積回路の設計時における結線を規則 的なパターンに従って簡易に行うこともできる。したがって、特に上記領域の結線を 自動配線ツールにて行う場合においては、同ツールのプログラミングの簡易化や、同 ツールによる結線時の処理の簡易化を図ることもできる。 なお、この領域には、集積回路のうち論理回路が形成されることが望ましい。これに 対し、集積回路のうち上記領域以外には、メモリやアナログ回路、 I/O (入力/出力Further, in the above-mentioned area, since the interval of the center line with respect to the line width of the wiring is set to an integral multiple of a predetermined unit interval, the connection at the time of designing the integrated circuit is easily performed according to a regular pattern. You can also. Therefore, especially in the case where the connection of the above-mentioned area is performed by the automatic wiring tool, the programming of the tool can be simplified, and the processing at the time of connection by the tool can be simplified. It is desirable that a logic circuit of an integrated circuit be formed in this region. In contrast, memory, analog circuits, I / O (input / output)
)回路等が形成されることが望ましい。 It is desirable that a circuit or the like be formed.
[0373] 19. 一の発明は、前記 18に記載の発明において、前記多層配線構造は、上層 の配線層ほど前記単位間隔が大きく設定されてなることをその要旨とする。  [0373] 19. One invention is the invention according to the above item 18, wherein in the multilayer wiring structure, the unit spacing is set to be larger in an upper wiring layer.
上記構成では、上層の配線層ほど前記単位間隔が大きくなるいわゆる逆スケーリン グ則が適用されているために、上層の配線層の配線ほど、配線抵抗を低減しやすい 構成となっている。このため、上層の配線層においては、互いに離間した箇所同士を 結線する配線長の長い配線を敷設することができる。  In the above configuration, the so-called reverse scaling rule is applied, in which the unit spacing becomes larger in the upper wiring layer, so that the wiring in the upper wiring layer is more easily reduced in wiring resistance. For this reason, in the upper wiring layer, it is possible to lay a wiring having a long wiring length for connecting portions separated from each other.
し力、も上記構成では、配線の敷設方向が同一となる隣接する配線層を有するため に、逆スケーリング則が適用されてはいるものの、上記隣接配線層間の上記単位間 隔についてはこれを略近似したものとすることができる。  In the above configuration, the inverse scaling rule is applied because the wiring layers have adjacent wiring layers in the same wiring direction, but the unit spacing between the adjacent wiring layers is substantially omitted. It can be approximated.
[0374] 20. 一の発明は、前記 18または 19に記載の発明において、前記隣接する配線 層において、前記単位間隔が略同一に設定されてなることをその要旨とする。 [0374] 20. An aspect of the invention according to the invention described in the above item 18 or 19, wherein the unit spacing is set to be substantially the same in the adjacent wiring layers.
上記構成によれば、隣接する配線層において、上記単位間隔を略同一とするため に、これら配線層間における配線の電気的な接続等を簡易に行うことができるように なる。  According to the above configuration, since the unit intervals in the adjacent wiring layers are made substantially the same, electrical connection of wiring between the wiring layers can be easily performed.
[0375] 21. 一の発明は、前記 18— 20に記載のいずれかの発明において、前記隣接す る配線層において、各層の配線が前記単位間隔よりも大きい間隔で敷設され、且つ 、当該半導体集積回路の基板面上から見たときに各層の配線が重ならないように敷 設されてなることをその要旨とする。  [0375] 21. The invention according to any one of the aforementioned items 18 to 20, wherein in the adjacent wiring layer, wiring of each layer is laid at an interval larger than the unit interval, and The gist is that the wiring of each layer is laid so as not to overlap when viewed from above the substrate surface of the integrated circuit.
上記構成では、同一配線層内におレ、て隣接配線の間隔を大きくとることができるた め、  In the above configuration, the distance between adjacent wirings can be increased in the same wiring layer.
隣接配線間の容量が小さくなり、クロストークノイズを抑制することができる。また、配 線の敷設方向が同一である P 接配線層の配線と、配線の敷設方向が異なる別の配 線層の配線とを電気的に接続する場合、 P 接配線層内の別の層の配線が障害にな ることなく直接接続可能であるため、その接続を簡易に行うことができ、自動配線ツー ルでの接続経路を計算するのに要する時間や負荷を軽減することができるようになる [0376] 22. 一の発明は、前記 18— 21のいずれかに記載の発明において、前記隣接す る配線層のうちのいずれか一方の配線層に隣接して設けられた一対の配線のうちの レ、ずれか一方の配線が他方の配線層に乗り換えるようにして設けられてレ、ることをそ の要旨とする。 The capacitance between adjacent wirings is reduced, and crosstalk noise can be suppressed. When electrically connecting the wiring of the P-wiring layer having the same wiring laying direction to the wiring of another wiring layer having a different wiring laying direction, another layer in the P-wiring layer is required. The wiring can be connected directly without any obstacles, so that the connection can be made easily and the time and load required to calculate the connection route with the automatic wiring tool can be reduced. become [0376] One invention is the invention according to any one of the aforementioned 18-21, wherein a pair of wirings provided adjacent to any one of the adjacent wiring layers is provided. The gist of the present invention is that one of the wires is provided such that one of the wires is transferred to the other wiring layer.
上記構成では、同一配線層内におレ、て一対の配線が互レ、に隣接する部分を極力 低減することができ、ひいては、これら一対の配線間の容量を好適に削減することが できるようになる。し力も、この乗り換えを隣接する配線層間で行うために、乗り換えを 行う配線層間に別の配線層を有する場合のように乗り換えに際して別の配線層の配 線との干渉を回避することもできる。  In the above configuration, it is possible to reduce as much as possible a portion adjacent to a pair of wirings in the same wiring layer, and thus to appropriately reduce the capacitance between the pair of wirings. become. In addition, since the transfer is performed between adjacent wiring layers, it is possible to avoid interference with wiring of another wiring layer at the time of transfer, as in the case where another wiring layer is provided between wiring layers to be transferred.
[0377] 23. 一の発明は、前記 18 22のいずれかに記載の発明において、前記隣接す る配線層の一方の配線層には、信号伝搬用の配線と電位の固定された第 1の配線と が互いに隣接して設けられているとともに、他方の配線層には、該他方の配線層へ の前記信号伝搬用の配線の投影領域を包含するようにして形成された電位の固定さ れた第 2の配線が形成されており、且つこれら第 1及び第 2の配線は電気的に接続さ れて前記信号伝搬用の配線のシールド配線を構成することをその要旨とする。  [0377] 23. The invention according to any one of 1822, wherein one of the adjacent wiring layers has a first wiring having a fixed potential and a signal transmission wiring. Wirings are provided adjacent to each other, and the other wiring layer has a fixed potential formed so as to include a projection area of the signal transmission wiring onto the other wiring layer. The gist is that a second wiring is formed, and the first and second wirings are electrically connected to form a shield wiring of the signal transmission wiring.
上記構成では、隣接する配線層を用いてシールド配線を構成した。これにより、シ 一ルド配線を構成する配線を設ける配線層間に別の配線層を有する場合のようにシ 一ルド配線間の電気的な接続と上記別の配線層の配線との干渉を回避することがで きる。これにより、配線リソースを大きく損なうことなぐシールド配線を構成することが でき、クロストーク、電磁障害 (EMI)対策を簡易に行うことができるようになる。  In the above configuration, the shield wiring is configured using the adjacent wiring layers. This avoids the interference between the electrical connection between the shield wirings and the wiring of the another wiring layer as in the case where another wiring layer is provided between the wiring layers in which the wiring forming the shield wiring is provided. be able to. This makes it possible to configure shielded wiring that does not significantly damage wiring resources, and can easily implement measures against crosstalk and electromagnetic interference (EMI).
[0378] 24. 一の発明は、多層配線構造を有する半導体集積回路において、 P 接する配 線層の一方の配線層には、信号伝搬用の配線と電位の固定された第 1の配線とが互 いに平行且つ隣接して設けられているとともに、他方の配線層には、該他方の配線 層への前記信号伝搬用の配線の投影領域を包含するようにして形成された電位の 固定された第 2の配線が形成されており、且つこれら第 1及び第 2の配線は電気的に 接続されて前記信号伝搬用の配線のシールド配線を構成することをその要旨とする 上記構成では、隣接する配線層を用いてシールド配線を構成した。これにより、シ 一ルド配線を構成する配線を設ける配線層間に別の配線層を有する場合のようにシ 一ルド配線間の電気的な接続と上記別の配線層の配線との干渉を回避することがで きる。これにより、配線リソースを大きく損なうことなぐシールド配線を構成することが でき、クロストーク、電磁障害 (EMI)対策を簡易に行うことができるようになる。 [0378] 24. One invention is a semiconductor integrated circuit having a multilayer wiring structure, wherein a wiring for signal propagation and a first wiring having a fixed potential are provided on one of the wiring layers that are in P contact. In addition to being provided in parallel with and adjacent to each other, the other wiring layer has a fixed potential formed so as to include a projection area of the signal transmission wiring onto the other wiring layer. A second wiring is formed, and the first and second wirings are electrically connected to form a shield wiring of the signal transmission wiring. In the above configuration, the shield wiring is configured using the adjacent wiring layers. This avoids the interference between the electrical connection between the shield wirings and the wiring of the another wiring layer as in the case where another wiring layer is provided between the wiring layers in which the wiring forming the shield wiring is provided. be able to. This makes it possible to configure shielded wiring that does not significantly damage wiring resources, and can easily implement measures against crosstalk and electromagnetic interference (EMI).
[0379] 25. 一の発明は、配置の終了した半導体集積回路に対し自動配線ツールを用い て結線を行う半導体集積回路の設計方法において、前記結線を、配線の敷設方向 を隣接する配線層間で同一とする配線層を設定しつつ行うことをその要旨とする。 上記設計方法では、配線の敷設方向を同一とする隣接する配線層を設定しつつ結 線を行うために、この結線を互いに平行に敷設される配線の線幅に対する中心線の 間隔が単位間隔の整数倍に設定される場合、隣接する配線層の単位間隔について は、これを近似させやすレ、。このため、隣接する配線層間の電気的な接続を容易に 行うことができる。 また、隣接する配線層間に中間の配線層を介す場合のように、隣 接する配線層間の電気的な接続と中間の配線層の配線との干渉が生じることを回避 すること力 Sできる。このため、微細化に伴う諸問題に簡易に対処することができ、ひい ては、より効率的な設計を行うことができるようになる。 [0379] 25. One invention provides a method for designing a semiconductor integrated circuit, in which wiring is performed on a semiconductor integrated circuit on which layout has been completed by using an automatic wiring tool, wherein the wiring is laid in a wiring direction between adjacent wiring layers. The gist is that the process is performed while setting the same wiring layer. In the above-mentioned design method, since the wiring is performed while setting the adjacent wiring layers in which the wiring laying direction is the same, the distance between the center line and the line width of the wiring laid in parallel with each other is defined as a unit spacing. When set to an integer multiple, the unit spacing between adjacent wiring layers can be approximated. Therefore, electrical connection between adjacent wiring layers can be easily performed. Further, it is possible to avoid the occurrence of interference between the electrical connection between adjacent wiring layers and the wiring of the intermediate wiring layer, as in the case where an intermediate wiring layer is interposed between adjacent wiring layers. For this reason, various problems associated with miniaturization can be easily dealt with, and more efficient design can be achieved.
[0380] 26. 一の発明は、前記 22に記載の発明において、前記隣接する配線層におい て、各層の配線が前記単位間隔よりも大きい間隔で敷設され、且つ、当該半導体集 積回路の基板面上力 見たときに各層の配線が重ならないように敷設される領域を 更に設定しつつ、前記結線を行うことをその要旨とする。 [0380] 26. The invention according to the above item 22, wherein in the adjacent wiring layer, wiring of each layer is laid at an interval larger than the unit interval, and a substrate of the semiconductor integrated circuit is provided. The gist of the present invention is to perform the connection while further setting a region where the wiring of each layer is not overlapped when viewed from above.
上記設計方法では、同一配線層内において隣接配線の間隔を大きくとることができ るため、 P 接配線間の容量が小さくなり、クロストークノイズを抑制することができる。ま た、配線の敷設方向が同一である隣接配線層の配線と、配線の敷設方向が異なる 別の配線層の配線とを電気的に接続する場合、隣接配線層内の別の層の配線が障 害になることなく直接接続可能であるため、その接続を簡易に行うことができ、 自動配 線ツールでの接続経路を計算するのに要する時間や負荷を軽減することができるよ うになる。  In the above design method, the distance between adjacent wirings can be increased in the same wiring layer, so that the capacitance between P-connected wirings is reduced, and crosstalk noise can be suppressed. When electrically connecting wiring in an adjacent wiring layer having the same wiring laying direction and wiring in another wiring layer having a different wiring laying direction, wiring in another layer in the adjacent wiring layer is not required. Since direct connection is possible without any obstacles, the connection can be made easily and the time and load required to calculate the connection route with the automatic wiring tool can be reduced.
[0381] 27. 一の発明は、配置の終了した半導体集積回路に対し自動配線ツールを用い て結線を行う半導体集積回路の設計方法において、前記結線に際し、配線の電気 的特性の調整が所望される所定の条件下、配線の敷設方向を隣接する配線層間で 同一とする領域を設けることをその要旨とする。 [0381] One invention uses an automatic wiring tool for a semiconductor integrated circuit whose arrangement has been completed. In the method of designing a semiconductor integrated circuit for performing connection by wiring, it is preferable that, in the connection, under a predetermined condition where adjustment of electrical characteristics of the wiring is desired, a region in which the wiring direction is the same between adjacent wiring layers is provided. This is the gist.
上記設計方法では、配線の敷設方向を隣接する配線層間で同一とする領域を設 けることで、隣接する配線層の単位間隔については、これを近似させやすレ、。このた め、この領域においては、 P 接する配線層間の電気的な接続を容易に行うことができ る。また、この領域においては、 P 接する配線層間に中間の配線層を介す場合のよう にこれら隣接する配線層間の電気的な接続と中間の配線層の配線との干渉を回避 すること力 sできる。このため、電気的特性の調整が所望される配線について、同電気 的特性の調整を簡易に行うことができ、ひいては、より効率的な設計を行うことができ るようになる。  In the above-described design method, by setting a region where the wiring laying direction is the same between adjacent wiring layers, the unit spacing between adjacent wiring layers can be approximated. Therefore, in this region, electrical connection between the wiring layers that are in P-contact can be easily performed. Further, in this region, it is possible to avoid interference between the electrical connection between the adjacent wiring layers and the wiring of the intermediate wiring layer as in the case where an intermediate wiring layer is interposed between the wiring layers adjacent to each other. . Therefore, the adjustment of the electrical characteristics of the wiring for which the adjustment of the electrical characteristics is desired can be easily performed, and the more efficient design can be achieved.
[0382] 28. 一の発明は、前記 23に記載の発明において、前記電気的特性の調整が所 望される所定の条件を満たす配線としてノイズ対策の所望される配線である被シー ルド配線の敷設領域が設定されたとき、同敷設領域に隣接する領域と前記敷設領域 の上層及び下層の少なくとも一方へ同敷設領域を投影した領域とに電位の固定され た配線である電位固定配線を敷設するとの条件下、前記自動配線ツールにて電位 固定配線と被シールド配線との敷設方向を同一としつつこれらを敷設することをその 要旨とする。  [0382] One invention is the invention according to the above item 23, wherein the shielded wiring is a wiring that is desired to be a countermeasure against noise as a wiring that satisfies a predetermined condition for which adjustment of the electrical characteristics is desired. When the laying area is set, when a potential fixed wiring, which is a wiring having a fixed potential, is laid in a region adjacent to the laying region and a region where the laying region is projected on at least one of the upper layer and the lower layer of the laying region. Under the above conditions, the gist is to lay the fixed potential wiring and the shielded wiring in the same wiring direction by using the automatic wiring tool.
上記設計方法では、被シールド配線をシールドする電位の固定されたシールド配 線としての電位固定配線を、隣接する配線層を用いて構成した。これにより、シール ド配線を構成する配線を設ける配線層間に別の配線層を有する場合のようにシーノレ ド配線間の電気的な接続が上記別の配線層の配線と干渉することを回避することが できる。これにより、配線リソースを大きく損なうことなぐシールド配線を構成すること ができ、クロストーク、電磁障害 (EMI)対策を簡易に行うことができるようになる。  In the above-described design method, a potential fixed wiring as a shield wiring having a fixed potential for shielding the shielded wiring is configured using the adjacent wiring layer. Thus, it is possible to prevent the electrical connection between the shielded wirings from interfering with the wiring of the another wiring layer as in the case where another wiring layer is provided between the wiring layers in which the wiring forming the shielded wiring is provided. Can be done. This makes it possible to configure shielded wiring that does not significantly damage wiring resources, and can easily implement measures against crosstalk and electromagnetic interference (EMI).
[0383] なお、上記各実施形態及びその変形例から把握することのできる技術思想としては 、以下のものがある。 [0383] Note that the following technical ideas can be grasped from each of the above embodiments and modifications thereof.
上記 13— 15のいずれかに記載の集積回路の設計方法であって、  A method for designing an integrated circuit according to any one of the above 13 to 15,
前記複数の配線層からなる実配線層へ略投影した領域の少なくとも 1つの領域を 用いて形成される配線への変換は、 a.前記仮物理配線層の所定の配線を、該所定 の配線を前記実配線層へ略投影した領域のうち少なくとも 2つの配線層の領域に敷 設されて且つ互いに並列に接続された配線へ変換するもの、 b.前記仮物理配線層 の所定の配線を、該所定の配線を前記実配線層へ略投影した領域のうち少なくとも 2つの配線層の領域に敷設されて且つ互いに直列に接続された配線へ変換するも の、 前記仮物理配線層に互いに隣接して平行に設けられた一対の配線を、該ー 対の配線を前記実配線層へ略投影した領域のうちの複数の配線層の領域に敷設さ れて且つ互いに接続された配線へ変換するもの、 d.前記仮物理配線層において互 いに最も近接した配線同士からなる一対の配線を、該一対の配線を前記実配線層 へ略投影した領域内であって且つ前記一対の配線同士が互いに異なる配線層とな るようにして設けられた配線へ変換するもの、 e.前記仮物理配線層において互いに 最も近接した配線同士からなる一対の配線を、該一対の配線を前記実配線層へ略 投影した領域のうちの少なくとも 2つの配線層の領域であって且つビアホールを介し て交互に乗り換えるようにして設けられた配線へ変換するもの、 f.前記仮物理配線 層において互いに異なる電位に固定された複数の配線である複数の電位固定配線 と信号伝播用の配線とを、該複数の電位固定配線及び信号伝搬用の配線のうちの 少なくとも一つの配線が配線層を乗り換えるようにして形成された配線へ変換するも ののうち少なくとも 1つの変換であることを特徴とする集積回路の設計方法。 At least one of the regions substantially projected onto the actual wiring layer comprising the plurality of wiring layers The conversion to the wiring formed by using: a. Laying the predetermined wiring of the temporary physical wiring layer in at least two wiring layers in an area where the predetermined wiring is substantially projected onto the real wiring layer. B. Convert the predetermined wiring of the temporary physical wiring layer into at least two wiring layers in a region where the predetermined wiring is substantially projected onto the real wiring layer. A pair of wirings laid in the area and converted in series with each other and connected in parallel with each other in the temporary physical wiring layer are transferred to the actual wiring layer. One that is laid in a plurality of wiring layer areas of the substantially projected area and converted into a wiring connected to each other; d. A pair of wirings composed of wirings that are closest to each other in the temporary physical wiring layer The pair of wirings were approximately projected onto the actual wiring layer. E. A pair of wirings in the region and converted to wirings provided so that the pair of wirings are different wiring layers from each other; e. A pair of wirings composed of wirings closest to each other in the temporary physical wiring layer Converting at least two wiring layers out of a region where the pair of wirings are substantially projected onto the actual wiring layer into wirings provided so as to be alternately switched via via holes; f A plurality of potential fixed wirings, which are a plurality of wirings fixed to different potentials in the temporary physical wiring layer, and a signal transmission wiring are connected to at least one of the plurality of potential fixed wirings and the signal transmission wiring. A method for designing an integrated circuit, characterized in that at least one of the two wirings is converted into wiring formed by changing wiring layers.
産業上の利用可能性 Industrial applicability
以上のように、本発明は、集積回路および集積回路の設計方法などに利用可能で ある。  As described above, the present invention is applicable to an integrated circuit, an integrated circuit design method, and the like.

Claims

請求の範囲 The scope of the claims
[1] 基板上に形成される多層配線構造を有する集積回路であって、  [1] An integrated circuit having a multilayer wiring structure formed on a substrate,
互いに実質的に同一の経路方向を有するとともに、前記集積回路の基板への投影 が互いにオーバーラップする態様にて複数の配線層に敷設された配線  Wirings laid on a plurality of wiring layers in such a manner that they have substantially the same path directions as each other and that the projection of the integrated circuit onto the substrate overlaps each other.
を備え、前記複数の配線層に敷設された配線が、ビアホールを介して接続されるこ とにより、前記集積回路の所望の 2点を結線する一の配線であって、任意の 2つの素 子の各特定の端子間を結線する配線、任意の素子の特定の端子の電位を固定する 配線、および配線の一端が実質的にオープンとされる配線のいずれか一の配線を構 成することを特徴とする集積回路。  A wiring laid on the plurality of wiring layers is connected via a via hole, thereby connecting two desired points of the integrated circuit, and is an arbitrary two element. , Wiring that fixes the potential of a specific terminal of an arbitrary element, and wiring in which one end of the wiring is substantially open. An integrated circuit characterized by:
[2] 基板上に形成される多層配線構造を有する集積回路であって、 [2] An integrated circuit having a multilayer wiring structure formed on a substrate,
前記集積回路の所望の 2点を結線する第 1の配線と、  A first wiring connecting desired two points of the integrated circuit;
前記第 1の配線の敷設される配線層とは別の配線層に敷設される第 2の配線と、 を備え、前記第 1の配線と前記第 2の配線は、前記基板への投影が互いにオーバ 一ラップする態様にて敷設され、ビアホールを介して接続されることにより前記所望の 2点を結線する一の配線であって、任意の 2つの素子の各特定の端子間を結線する 配線、任意の素子の特定の端子の電位を固定する配線、および配線の一端が実質 的にオープンとされる配線のいずれ力 4の配線を構成することを特徴とする集積回路  A second wiring laid on a different wiring layer from the wiring layer on which the first wiring is laid, wherein the first wiring and the second wiring are projected on the substrate so that One wiring connecting the desired two points by being laid in an overlapping manner and being connected via a via hole, and connecting between specific terminals of any two elements; An integrated circuit, comprising: a wiring for fixing the potential of a specific terminal of an arbitrary element; and a wiring in which one end of the wiring is substantially open.
[3] 基板上に形成される多層配線構造を有する集積回路であって、 [3] An integrated circuit having a multilayer wiring structure formed on a substrate,
前記集積回路の所望の 2点を結線する第 1の配線と、  A first wiring connecting desired two points of the integrated circuit;
前記第 1の配線の敷設される配線層とは別の配線層に敷設される前記第 1の配線 と平行な第 2の配線と、  A second wiring parallel to the first wiring laid on a wiring layer different from the wiring layer laid on the first wiring;
を備え、前記第 1の配線と第 2の配線は、前記第 1の配線に対し前記第 2の配線を 電気的に並列に接続せしめることにより前記所望の 2点を結線する 1の配線を構成す ることを特徴とする集積回路。  The first wiring and the second wiring constitute one wiring connecting the desired two points by electrically connecting the second wiring to the first wiring in parallel. An integrated circuit characterized by:
[4] 基板上に形成される多層配線構造を有する集積回路であって、 [4] An integrated circuit having a multilayer wiring structure formed on a substrate,
前記基板への投影が互いにオーバーラップする態様にて複数の配線層に平行に 敷設された複数の配線を備え、 前記複数の配線は、配線層ごとに信号の伝搬方向を反転させる態様にてビアホー ルを介して電気的に直列に接続されることにより、前記集積回路の所望の 2点を結線 する 1の配線を構成することを特徴とする集積回路。 A plurality of wirings laid in parallel with a plurality of wiring layers in such a manner that projections on the substrate overlap with each other, The plurality of wirings are electrically connected in series via a via hole in a manner of inverting the signal propagation direction for each wiring layer, thereby connecting two desired points of the integrated circuit. An integrated circuit, comprising:
[5] 基板上に形成される多層配線構造を有する集積回路であって、 [5] An integrated circuit having a multilayer wiring structure formed on a substrate,
配線の敷設方向および敷設間隔が隣接する配線層間で実質的に同一に設定され てレ、る領域を備え、  A region in which the wiring laying direction and the laying interval are substantially the same between adjacent wiring layers, and
前記領域において、 P 接する配線層のうち一方の配線層に敷設される配線が、他 方の配線層に敷設される配線に対して、敷設方向と垂直をなす方向に配線の敷設 間隔よりも小さい範囲でオフセットする態様にて設けられる部分を有することを特徴と する集積回路。  In the region, the wiring laid on one of the wiring layers that are in contact with the P is smaller than the wiring laid on the other wiring layer in a direction perpendicular to the laying direction and smaller than the wiring laying interval. An integrated circuit having a portion provided in a manner offset in a range.
[6] 基板上に形成される多層配線構造を有する集積回路であって、  [6] An integrated circuit having a multilayer wiring structure formed on a substrate,
ある一の配線層に第 1の配線および第 2の配線のいずれか一方が敷設される一部 の箇所において、他方の配線を他の配線層へと逃がすようにして敷設し、かつ前記 第 1の配線と第 2の配線が、前記基板への投影が互いに隣接するよう平行に敷設し たことを特徴とする集積回路。  At a portion where one of the first wiring and the second wiring is laid on a certain wiring layer, the other wiring is laid so as to escape to another wiring layer, and An integrated circuit, wherein the first wiring and the second wiring are laid in parallel so that projections on the substrate are adjacent to each other.
[7] 基板上に形成される多層配線構造を有する集積回路であって、 [7] An integrated circuit having a multilayer wiring structure formed on a substrate,
所定の配線層に互いに隣接して平行に敷設された第 1の一対の配線と、 前記所定の配線層とは別の配線層に互いに隣接して平行に敷設された第 2の一対 の配線と、  A first pair of wirings laid adjacently and parallel to each other on a predetermined wiring layer; and a second pair of wirings laid adjacently and parallel to each other on a wiring layer different from the predetermined wiring layer. ,
を備え、前記第 2の一対の配線は、前記第 1の一対の配線と前記基板への投影が オーバーラップする態様にて設けられており、一端をビアホールを介して前記第 1の 一対の配線に接続せしめることにより前記第 2の一対の配線は、他端が実質的にォ ープンとされるダミー配線として形成されることを特徴とする集積回路。  The second pair of wirings is provided in such a manner that the first pair of wirings and the projection on the substrate overlap with each other, and one end of the first pair of wirings is provided via a via hole. Wherein the second pair of wires is formed as a dummy wire whose other end is substantially open.
[8] 基板上に形成される多層配線構造を有する集積回路であって、 [8] An integrated circuit having a multilayer wiring structure formed on a substrate,
複数の配線層に敷設された配線をビアホールを介して並列に接続して構成される 第 1の配線と、  A first wiring configured by connecting wiring laid on a plurality of wiring layers in parallel via via holes;
前記第 1の配線を構成する配線が敷設される複数の配線層と同一の配線層に敷設 された配線をビアホールを介して並列に接続して構成される第 2の配線と、 を備え、前記第 1の配線と前記第 2の配線それぞれを構成する配線は、互いに対応 する同一の配線層で隣接して平行に設けられることを特徴とする集積回路。 A second wiring formed by connecting wirings laid on the same wiring layer as a plurality of wiring layers on which the wirings constituting the first wiring are laid in parallel via via holes, An integrated circuit, wherein the wirings constituting the first wiring and the second wiring are provided adjacently and in parallel in the same wiring layer corresponding to each other.
[9] 基板上に形成される多層配線構造を有する集積回路であって、 [9] An integrated circuit having a multilayer wiring structure formed on a substrate,
複数の配線層に前記基板への投影がオーバーラップする態様にて敷設された配 線をビアホールを介して電気的に直列に接続して構成される第 1の配線と、  A first wiring configured by electrically connecting wirings laid on a plurality of wiring layers in such a manner that projections on the substrate overlap with each other through via holes,
前記第 1の配線を構成する配線が敷設される複数の配線層と同一の配線層に前記 基板への投影がオーバーラップする態様にて敷設された配線をビアホールを介して 電気的に直列に接続して構成される第 2の配線と、  Wirings laid in the same wiring layer as the plurality of wiring layers on which the wirings constituting the first wiring are laid in such a manner that projection onto the substrate overlaps are electrically connected in series via via holes. A second wiring configured by
を備え、前記第 1の配線と前記第 2の配線それぞれを構成する配線は、互いに対応 する同一の配線層で隣接して平行に設けられることを特徴とする集積回路。  An integrated circuit, wherein the wirings constituting the first wiring and the second wiring are provided adjacently and in parallel in the same wiring layer corresponding to each other.
[10] 基板上に形成される多層配線構造を有する集積回路であって、 [10] An integrated circuit having a multilayer wiring structure formed on a substrate,
信号伝搬用の配線と、  Wiring for signal propagation;
互レ、に異なる電位に固定された複数の電位固定配線と、  A plurality of potential fixing wires fixed to different potentials,
を備え、前記信号伝搬用の配線と前記電位固定配線のいずれか一の配線は、そ の一部を他の配線層へと逃がすようにして敷設され、その際前記信号伝搬用の配線 と前記複数の電位固定配線の前記基板への投影が互いに隣接することを許して敷 設されることを特徴とする集積回路。  Any one of the signal transmission wiring and the potential fixing wiring is laid so as to allow a part of the wiring to escape to another wiring layer, and at this time, the signal transmission wiring and the wiring An integrated circuit, wherein the plurality of potential fixing wirings are laid so as to allow the projections on the substrate to be adjacent to each other.
[11] 基板上に形成される多層配線構造を有する集積回路であって、  [11] An integrated circuit having a multilayer wiring structure formed on a substrate,
配線が実質的に同一方向に、かつ予め規定された単位間隔の整数倍の間隔で敷 設される複数の配線層を有する領域を備え、前記領域内に、請求項 3— 10に記載の 集積回路の少なくとも 1つが形成されること特徴とする集積回路。  11. The integrated circuit according to claim 3, further comprising a region having a plurality of wiring layers in which the wiring is laid in substantially the same direction and at an integer multiple of a unit interval defined in advance, wherein the region is provided within the region. An integrated circuit, wherein at least one of the circuits is formed.
[12] 隣接しあう領域間では、少なくとも一の配線層において配線の敷設方向が互いに 異なることを特徴とする請求項 11に記載の集積回路。  12. The integrated circuit according to claim 11, wherein adjacent regions have different wiring laying directions in at least one wiring layer.
[13] 前記隣接する領域において配線の敷設方向が互いに異なる配線層の配線にて、 前記隣接する領域間の結線となる配線においては、基板への投影が一直線上となる 態様にて他の配線層に敷設された配線を利用して結線されることを特徴とする請求 項 12記載の集積回路。  [13] In a wiring of a wiring layer in which wiring laying directions are different from each other in the adjacent region, in the wiring which is a connection between the adjacent regions, another wiring is formed in such a manner that the projection onto the substrate is in a straight line. 13. The integrated circuit according to claim 12, wherein the connection is made using a wiring laid on the layer.
[14] レイアウトの実現された集積回路における配線層を仮物理配線層とし、該仮物理配 線層のうちの所定の仮物理配線層の各配線の回路特性を所望の回路特性とすべく 、演算手段により、前記各配線を複数の配線層力 なる実配線層へ略投影した領域 の少なくとも 1つの領域を用いて形成される配線に変換する工程を有することを特徴 とする集積回路の設計方法。 [14] The wiring layer in the integrated circuit in which the layout is realized is set as a temporary physical wiring layer, and In order to make the circuit characteristics of each wiring of a predetermined temporary physical wiring layer of the wiring layers into desired circuit characteristics, at least an area of the region where each of the wirings is substantially projected onto a plurality of real wiring layers having a plurality of wiring layers by arithmetic means. A method for designing an integrated circuit, comprising a step of converting into a wiring formed using one region.
[15] 集積回路の各素子の結線に係る配線経路を決定する集積回路の設計方法におい て、  [15] In an integrated circuit design method for determining a wiring route related to connection of each element of an integrated circuit,
前記結線を行う配線層を仮物理配線層とし、所定の仮物理配線層の各配線を、複 数の配線層からなる実配線層へ略投影した領域の少なくとも 1つの領域を用いて形 成される配線に変換した場合に得られる回路特性を予測しつつ、この予測結果に基 づいて前記仮物理配線層上の配線経路を最適化することを特徴とする集積回路の 設計方法。  The wiring layer for performing the connection is defined as a temporary physical wiring layer, and each wiring of the predetermined temporary physical wiring layer is formed using at least one of regions substantially projected onto an actual wiring layer including a plurality of wiring layers. A circuit route obtained on the temporary physical wiring layer is optimized based on a result of the prediction while predicting a circuit characteristic obtained when the wiring is converted into an integrated circuit.
[16] 集積回路の各素子を配置する集積回路の設計方法にぉレ、て、  [16] An integrated circuit design method for arranging each element of the integrated circuit is described below.
前記集積回路の各箇所についての結線のなされる配線層を仮物理配線層とし、前 記結線のなされる前記集積回路の所定の仮物理配線層の各配線を、複数の配線層 力 なる実配線層へ略投影した領域の少なくとも 1つの領域を用いて形成される配線 に変換した場合に得られる回路特性を予測しつつ、この予測結果に基づいて各素子 の配置の最適化を行うことを特徴とする集積回路の設計方法。  A wiring layer in each part of the integrated circuit where a connection is made is defined as a temporary physical wiring layer, and each wiring of the predetermined temporary physical wiring layer in the integrated circuit in which the connection is made is formed by a plurality of wiring layers. It is characterized by optimizing the arrangement of each element based on the prediction result while predicting the circuit characteristics obtained when converted to wiring formed using at least one of the regions substantially projected onto the layer. Integrated circuit design method.
[17] 集積回路の回路構成を決定する集積回路の設計方法において、  [17] In an integrated circuit design method for determining a circuit configuration of an integrated circuit,
前記回路構成に必要な結線を行う配線層を仮物理配線層とし、所定の仮物理配線 層の各配線を、複数の配線層からなる実配線層へ略投影した領域の少なくとも 1つ の領域を用いて形成される配線に変換した場合に得られる回路特性を予測しつつ、 この予測結果に基づいて回路構成の最適化を行うことを特徴とする集積回路の設計 方法。  A wiring layer for performing connection required for the circuit configuration is a temporary physical wiring layer, and at least one area of a region where each wiring of a predetermined temporary physical wiring layer is substantially projected onto a real wiring layer including a plurality of wiring layers A method for designing an integrated circuit, comprising: optimizing a circuit configuration based on a result of a prediction while predicting a circuit characteristic obtained when the wiring is converted into a wiring formed using the integrated circuit.
[18] レイアウトされた回路素子を結線して回路ブロックを形成するために、設計時に仮 想的に使用される仮配線を仮物理配線層に敷設するステップと、  [18] laying temporary wiring, which is used virtually at the time of design, on a temporary physical wiring layer to connect the laid out circuit elements to form a circuit block;
前記仮配線の敷設態様により形成される前記回路ブロックの諸特性が所望の特性 を満たしているかを演算手段により判定するステップと、  Determining by a computing means whether various characteristics of the circuit block formed by the laying mode of the temporary wiring satisfy desired characteristics;
仮物理配線層に敷設された仮配線を、実際の配線が敷設される実配線層に実配 線として展開するステップと、 The temporary wiring laid in the temporary physical wiring layer is actually distributed to the actual wiring layer where the actual wiring is laid. Evolving as lines,
を備え、前記実配線層への仮配線の展開は、一の仮物理配線層に敷設された仮 配線を、対応する複数の実配線層に転写し、前記判定により所望の特性を満たさな レ、と判定された回路ブロックが転写された領域内の実配線を、前記回路ブロックが所 望の特性を満たすように前記複数の実配線層の配線を利用して再度敷設し直すこと を特徴とする集積回路の設計方法。  The provision of the temporary wiring on the actual wiring layer is performed by transferring the temporary wiring laid on one temporary physical wiring layer to a plurality of corresponding real wiring layers and satisfying the desired characteristics by the determination. Actual wiring in the area where the circuit block determined to be transferred is transferred using the wiring of the plurality of actual wiring layers so that the circuit block satisfies desired characteristics. Integrated circuit design method.
[19] 前記集積回路を複数の区画に分割するステップをさらに備え、  [19] The method further includes a step of dividing the integrated circuit into a plurality of sections.
分割された複数の区画ごとに、前記仮物理配線層と前記実配線層との対応関係を 異ならしめることを特徴とする請求項 18に記載の集積回路の設計方法。  19. The integrated circuit design method according to claim 18, wherein the correspondence between the temporary physical wiring layer and the real wiring layer is made different for each of the plurality of divided sections.
[20] 区画の分割を行い、区画ごとに仮物理配線層と実配線層との対応関係を異ならし めて、仮物理配線層の配線から実配線層の実配線への展開を行った結果、配線の 敷設方向が隣接する区間にて互いに異なる場合に、前記隣接する区間を跨いで結 線するための配線を、演算手段を用いて仮物理配線層での配線時の結線態様を維 持しつつ、仮物理配線層から複数の実配線層への展開により形成する実配線として 敷設することを特徴とする集積回路の設計手法。 [20] The result of dividing the section, making the correspondence between the temporary physical wiring layer and the actual wiring layer different for each section, and developing the wiring from the temporary physical wiring layer to the actual wiring of the real wiring layer In the case where the laying directions of the wirings are different from each other in the adjacent section, the wiring for connecting across the adjacent section is maintained by the arithmetic means in the connection mode at the time of wiring in the temporary physical wiring layer. A method of designing an integrated circuit, wherein the wiring is laid as real wiring formed by expanding from a temporary physical wiring layer to a plurality of real wiring layers.
[21] 基板上に形成される多層配線構造を有する集積回路であって、 [21] An integrated circuit having a multilayer wiring structure formed on a substrate,
隣接する配線の敷設間隔が配線層ごとに予め規定された単位間隔の整数倍となる 態様にて、複数の配線が互いに平行に敷設されている領域を有し、  In a mode in which the laying interval between adjacent wirings is an integral multiple of a unit interval predefined for each wiring layer, the wiring has an area in which a plurality of wirings are laid in parallel with each other,
前記領域には、互いに配線の敷設方向を同一とする、少なくとも一対の隣接する配 線層が含まれることを特徴とする集積回路。  The integrated circuit according to claim 1, wherein the region includes at least a pair of adjacent wiring layers in which wiring directions are the same.
[22] 前記配線層ごとに予め規定された単位間隔は、前記一対の隣接する配線層にお いて略同一に設定されることを特徴とする請求項 21に記載の集積回路。 22. The integrated circuit according to claim 21, wherein a unit interval predetermined for each of the wiring layers is set to be substantially the same in the pair of adjacent wiring layers.
[23] 前記一対の隣接する配線層には、複数の配線が前記単位間隔の 2倍以上の間隔 で敷設されており、 [23] In the pair of adjacent wiring layers, a plurality of wirings are laid at an interval of at least twice the unit interval,
前記一対の隣接する配線層に敷設される複数の配線は、基板への投影が互いに 重ならないようにオフセットして敷設されることを特徴とする請求項 21または 22のい ずれかに記載の集積回路。  23. The integrated circuit according to claim 21, wherein the plurality of wirings laid on the pair of adjacent wiring layers are laid so as to be offset so that projections on a substrate do not overlap each other. circuit.
[24] 前記一対の隣接する配線層のいずれか一方の配線層には、互いに隣接する二本 の配線が敷設されており、 [24] One of the pair of adjacent wiring layers may include two adjacent wiring layers. Wiring is laid,
前記隣接する二本の配線のうちいずれか一方の配線がビアホールを介して他方の 配線層に乗り換えることにより、前記隣接する二本の配線間の距離が実質的に遠くな るように敷設されることを特徴とする請求項 21または 22のいずれかに記載の集積回 路。  Any one of the two adjacent wirings is laid so that the distance between the two adjacent wirings is substantially increased by switching to the other wiring layer via the via hole. 23. The integrated circuit according to claim 21, wherein:
[25] 前記一対の隣接する配線層のいずれか一方の配線層には、信号伝搬用の配線と 電位固定用の第 1の配線とが互いに隣接して敷設されており、  [25] A signal transmission wiring and a potential fixing first wiring are laid adjacent to each other on one of the pair of adjacent wiring layers,
他方の配線層には、電位固定用の第 2の配線が、前記信号伝搬用の配線を他方 の配線層へ投影して形成される領域を包含するようにして敷設されており、  A second wiring for fixing potential is laid on the other wiring layer so as to include a region formed by projecting the signal transmission wiring onto the other wiring layer,
第 1および第 2の配線は電気的に接続されて前記信号伝搬用の配線のシールド配 線を構成することを特徴とする請求項 21または 22のいずれかに記載の集積回路。  23. The integrated circuit according to claim 21, wherein the first and second wirings are electrically connected to form a shield wiring of the signal transmission wiring.
[26] 基板上に形成される多層配線構造を有する集積回路であって、 [26] An integrated circuit having a multilayer wiring structure formed on a substrate,
一対の隣接する配線層のうち一方の配線層には、信号伝搬用の配線と電位固定 用の第 1の配線とが互いに平行かつ隣接して敷設されるとともに、  In one of the pair of adjacent wiring layers, a signal transmission wiring and a potential fixing first wiring are laid in parallel and adjacent to each other, and
他方の配線層には、電位固定用の第 2の配線が、前記信号伝搬用の配線を他方 の配線層へ投影して形成される領域を包含するようにして敷設されており、  A second wiring for fixing potential is laid on the other wiring layer so as to include a region formed by projecting the signal transmission wiring onto the other wiring layer,
これら第 1および第 2の配線は電気的に接続されて前記信号伝搬用の配線のシー ノレド配線を構成することを特徴とする集積回路。  An integrated circuit, wherein the first and second wirings are electrically connected to form a seamless wiring of the signal transmission wiring.
[27] 基板上に形成される多層配線構造を有する集積回路の設計方法であって、 [27] A method for designing an integrated circuit having a multilayer wiring structure formed on a substrate,
レイアウトされた回路素子を結線するために複数の配線層に配線を自動配線ツー ルによって敷設するステップを備え、前記自動配線ツールは、隣接する配線層間で 配線の敷設方向が同一となる領域を設定し、前記領域内の隣接する配線層の配線 を、予め規定された単位間隔の整数倍の間隔にて敷設することを特徴とする集積回 路の設計方法。  A step of laying wiring in a plurality of wiring layers by an automatic wiring tool in order to connect the laid out circuit elements, wherein the automatic wiring tool sets an area in which wiring laying directions are the same between adjacent wiring layers. A method of designing an integrated circuit, wherein wirings of adjacent wiring layers in the region are laid at intervals of an integral multiple of a predetermined unit interval.
[28] 前記自動配線ツールは、前記領域内の隣接する配線層の一方の配線層に敷設さ れる配線を、他方の配線層に敷設される配線に対して、敷設方向と垂直をなす方向 に配線の敷設間隔よりも小さい範囲でオフセットする態様にて敷設することを特徴と する請求項 27に記載の集積回路の設計方法。 [28] The automatic wiring tool converts the wiring laid on one of the adjacent wiring layers in the area in a direction perpendicular to the laying direction with respect to the wiring laid on the other wiring layer. 28. The method for designing an integrated circuit according to claim 27, wherein the wiring is laid in a mode in which the wiring is offset within a range smaller than the wiring laying interval.
[29] 前記自動配線ツールは、電気的特性の調整が必要とされる配線が敷設される範囲 を、前記領域として設定することを特徴とする請求項 27に記載の集積回路の設計方 法。 29. The method of designing an integrated circuit according to claim 27, wherein the automatic wiring tool sets, as the area, a range in which wiring requiring adjustment of electrical characteristics is laid.
[30] 前記自動配線ツールは、前記領域に電気的特性として低雑音化が要求される信号 伝搬用の配線を敷設する場合、前記領域内の一の配線層に前記信号伝搬用の配 線を敷設し、前記一の配線層と隣接しかつ互いに配線の敷設方向を同一とする配線 層に、前記信号伝搬用の配線の投影を包含する態様にてシールド配線として機能 する電位固定用の配線を敷設することを特徴とする請求項 29に記載の集積回路の 設計方法。  [30] The automatic wiring tool, when laying wiring for signal propagation in which electrical noise is required to be reduced in the area, lays out the wiring for signal propagation in one wiring layer in the area. A wiring for potential fixing functioning as a shield wiring in a mode including the projection of the signal transmission wiring is provided on a wiring layer that is laid and adjacent to the one wiring layer and has the same wiring laying direction. 30. The method for designing an integrated circuit according to claim 29, wherein the integrated circuit is laid.
PCT/JP2004/009190 2003-06-30 2004-06-30 Integrated circuit and design method thereof WO2005001926A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2005511088A JPWO2005001926A1 (en) 2003-06-30 2004-06-30 Integrated circuit and design method thereof
US11/319,644 US20060168551A1 (en) 2003-06-30 2005-12-29 Integrated circuit having a multi-layer structure and design method thereof

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP2003186449 2003-06-30
JP2003-186449 2003-06-30
JP2003-186450 2003-06-30
JP2003186450 2003-06-30
JP2004131520 2004-04-27
JP2004-131520 2004-04-27
JP2004-132688 2004-04-28
JP2004132688 2004-04-28

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/319,644 Continuation US20060168551A1 (en) 2003-06-30 2005-12-29 Integrated circuit having a multi-layer structure and design method thereof

Publications (1)

Publication Number Publication Date
WO2005001926A1 true WO2005001926A1 (en) 2005-01-06

Family

ID=33556550

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/009190 WO2005001926A1 (en) 2003-06-30 2004-06-30 Integrated circuit and design method thereof

Country Status (2)

Country Link
JP (1) JPWO2005001926A1 (en)
WO (1) WO2005001926A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012227204A (en) * 2011-04-15 2012-11-15 Fujitsu Semiconductor Ltd Capacitive element and semiconductor device
WO2021069567A1 (en) 2019-10-09 2021-04-15 Bayer Aktiengesellschaft Novel heteroaryl-triazole compounds as pesticides
KR102659671B1 (en) 2016-11-01 2024-04-22 삼성전자주식회사 Signal channel for improving crosstalk noise, module substrate and memory module including the same

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06283602A (en) * 1993-03-29 1994-10-07 Toshiba Corp Automatic wiring method of semiconductor integrated circuit
JPH0745720A (en) * 1993-08-03 1995-02-14 Nec Ic Microcomput Syst Ltd Semiconductor storage device
JPH07297188A (en) * 1994-04-25 1995-11-10 Hitachi Ltd Semiconductor integrated circuit device
JPH1093032A (en) * 1996-09-10 1998-04-10 Hitachi Ltd Semiconductor device
JPH10308451A (en) * 1997-05-02 1998-11-17 Nec Corp Automatic routing method taking crosstalk into consideration
JPH11214518A (en) * 1998-01-22 1999-08-06 Matsushita Electric Ind Co Ltd Layout wiring device
JPH11282890A (en) * 1998-03-27 1999-10-15 Kawasaki Steel Corp Circuit design method
JPH11312737A (en) * 1998-04-28 1999-11-09 Sanyo Electric Co Ltd Integrated circuit
JP2000040701A (en) * 1998-07-23 2000-02-08 Texas Instr Japan Ltd Crosstalk preventing circuit
JP2000236023A (en) * 1999-02-15 2000-08-29 Nec Corp Wiring structure determination support apparatus and method for semiconductor integrated circuit
JP2000332119A (en) * 1999-05-24 2000-11-30 Nec Ic Microcomput Syst Ltd Method of designing semiconductor integrated circuit device
JP2003158186A (en) * 2001-11-20 2003-05-30 Nec Corp Clock wiring structure and adjusting method for clock transmission delay time

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06283602A (en) * 1993-03-29 1994-10-07 Toshiba Corp Automatic wiring method of semiconductor integrated circuit
JPH0745720A (en) * 1993-08-03 1995-02-14 Nec Ic Microcomput Syst Ltd Semiconductor storage device
JPH07297188A (en) * 1994-04-25 1995-11-10 Hitachi Ltd Semiconductor integrated circuit device
JPH1093032A (en) * 1996-09-10 1998-04-10 Hitachi Ltd Semiconductor device
JPH10308451A (en) * 1997-05-02 1998-11-17 Nec Corp Automatic routing method taking crosstalk into consideration
JPH11214518A (en) * 1998-01-22 1999-08-06 Matsushita Electric Ind Co Ltd Layout wiring device
JPH11282890A (en) * 1998-03-27 1999-10-15 Kawasaki Steel Corp Circuit design method
JPH11312737A (en) * 1998-04-28 1999-11-09 Sanyo Electric Co Ltd Integrated circuit
JP2000040701A (en) * 1998-07-23 2000-02-08 Texas Instr Japan Ltd Crosstalk preventing circuit
JP2000236023A (en) * 1999-02-15 2000-08-29 Nec Corp Wiring structure determination support apparatus and method for semiconductor integrated circuit
JP2000332119A (en) * 1999-05-24 2000-11-30 Nec Ic Microcomput Syst Ltd Method of designing semiconductor integrated circuit device
JP2003158186A (en) * 2001-11-20 2003-05-30 Nec Corp Clock wiring structure and adjusting method for clock transmission delay time

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012227204A (en) * 2011-04-15 2012-11-15 Fujitsu Semiconductor Ltd Capacitive element and semiconductor device
KR102659671B1 (en) 2016-11-01 2024-04-22 삼성전자주식회사 Signal channel for improving crosstalk noise, module substrate and memory module including the same
WO2021069567A1 (en) 2019-10-09 2021-04-15 Bayer Aktiengesellschaft Novel heteroaryl-triazole compounds as pesticides

Also Published As

Publication number Publication date
JPWO2005001926A1 (en) 2006-11-02

Similar Documents

Publication Publication Date Title
US7943436B2 (en) Integrated circuit devices and methods and apparatuses for designing integrated circuit devices
US20060168551A1 (en) Integrated circuit having a multi-layer structure and design method thereof
US7739624B2 (en) Methods and apparatuses to generate a shielding mesh for integrated circuit devices
JP3461443B2 (en) Semiconductor device, semiconductor device design method, recording medium, and semiconductor device design support device
JP4296051B2 (en) Semiconductor integrated circuit device
US8239809B2 (en) 3-dimensional integrated circuit designing method
US7178124B1 (en) Methods, algorithms, software, architectures and system for placing clocked components and routing timing signals in a circuit and/or layout
EP0926736B1 (en) Semiconductor integrated circuit having thereon on-chip capacitors
WO2005001926A1 (en) Integrated circuit and design method thereof
US6934925B2 (en) Method for designing semiconductor circuit
JPH0974139A (en) Semiconductor integrated circuit and the layout and wiring methods thereof
JP5035003B2 (en) Wiring layout apparatus, wiring layout method, and wiring layout program
JP2000311944A (en) Wiring design method for semiconductor device
JP2004200356A (en) Semiconductor integrated circuit and method of designing the same
JP2004349681A (en) Semiconductor device and design method therefor
JP2003282713A (en) Semiconductor device, designing method for semiconductor device, recording medium and design support device for semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2005511088

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 11319644

Country of ref document: US

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 11319644

Country of ref document: US