WO2004032217A1 - Circuit element having a metal silicide region thermally stabilized by a barrier diffusion material - Google Patents

Circuit element having a metal silicide region thermally stabilized by a barrier diffusion material Download PDF

Info

Publication number
WO2004032217A1
WO2004032217A1 PCT/US2003/029031 US0329031W WO2004032217A1 WO 2004032217 A1 WO2004032217 A1 WO 2004032217A1 US 0329031 W US0329031 W US 0329031W WO 2004032217 A1 WO2004032217 A1 WO 2004032217A1
Authority
WO
WIPO (PCT)
Prior art keywords
nickel
silicon
layer
nitrogen
region
Prior art date
Application number
PCT/US2003/029031
Other languages
French (fr)
Inventor
Karsten Wieczorek
Thorsten Kammler
Manfred Horstmann
Original Assignee
Advanced Micro Devices, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE10245607A external-priority patent/DE10245607B4/en
Application filed by Advanced Micro Devices, Inc. filed Critical Advanced Micro Devices, Inc.
Priority to AU2003272444A priority Critical patent/AU2003272444A1/en
Publication of WO2004032217A1 publication Critical patent/WO2004032217A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • H01L29/66507Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide providing different silicide thicknesses on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Definitions

  • the present invention relates to the fabrication of integrated circuits, and, more particularly, to the formation of metal silicide regions on silicon-containing conductive circuit elements to decrease a sheet resistance thereof.
  • the cross-sectional area determines, in combination with the characteristics of the material comprising the conductive lines and contact regions, the effective electrical resistance thereof.
  • a higher number of circuit elements per units area also requires an increased number of interconnections between these circuit elements, wherein, commonly, the number of required interconnects increases in a non-linear manner with the number of circuit elements so that the available real estate for interconnects becomes even more limited.
  • the majority of integrated circuits are based on silicon, that is, most of the circuit elements contain silicon regions, in crystalline, polycrystalline and amorphous form, doped and undoped, which act as conductive areas.
  • An illustrative example in this context is a gate electrode of a MOS transistor element, which may be considered as a polysilicon line.
  • a conductive channel is formed at the interface of a thin gate insulation layer and an active region of the semiconducting substrate.
  • Figure la schematically shows a cross-sectional view of a transistor element 100, such as a MOS transistor, that is formed on a substrate 101 including a silicon-containing active region 102.
  • isolation structure 103 which in the present example is provided in the form of a shallow trench isolation usually used for sophisticated integrated circuits.
  • the source and drain regions 104 are formed in the active region 102.
  • a gate insulation layer 107 electrically and physically isolates a gate electrode 108 from the underlying channel region 106.
  • Spacer elements 109 are formed on sidewalls of the gate electrode 108.
  • a refractory metal layer 110 is formed over the transistor element 100 with a thickness required for the further processing in forming metal silicide portions.
  • a typical conventional process flow for forming the transistor element 100 may include the following steps. After defining the active region 102 by forming the shallow trench isolations
  • the gate insulation layer 107 and the gate electrode 108 are formed by sophisticated deposition, photolithography and anisotropic etch techniques to obtain a desired gate length, which is the horizontal extension of the gate electrode 108 in Figure la, i.e., in the plane of the drawing of Figure la.
  • a first implant sequence may be carried out to form the extension regions 105 wherein, depending on design requirements, additional so-called halo implants may be performed.
  • the spacer elements 109 are then formed by depositing a dielectric material, such as silicon dioxide and/or silicon nitride, and patterning the dielectric material by an anisotropic etch process. Thereafter, a further implant process may be carried out to form the heavily doped source and drain regions 104.
  • a dielectric material such as silicon dioxide and/or silicon nitride
  • the refractory metal layer 110 is deposited on the transistor element 100 by, for example, chemical vapor deposition (CVD) or physical vapor deposition (PVD).
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • a refractory metal such as titanium, cobalt, nickel and the like, is used for the metal layer 110. It turns out, however, that the characteristics of the various refractory metals during forming of a metal silicide and afterwards in the form of a metal silicide significantly differ from each other. Consequently, selecting an appropriate metal depends on further design parameters of the transistor element 100 as well as on process requirements in following processes.
  • titanium is frequently used for forming a metal silicide on the respective silicon- containing portions wherein, however, the electrical properties of the resulting titanium silicide strongly depend on the dimensions of the transistor element 100. Titanium silicide tends to agglomerate at grain boundaries of polysilicon and therefore may increase the total electrical resistance, wherein this effect is pronounced with decreasing feature sizes so that the employment of titanium may not be acceptable for polysilicon lines, such as the gate electrode 108, having a lateral dimension, i.e., a gate length of 0.5 ⁇ m and less.
  • cobalt is preferably used as a refractory metal, since cobalt substantially does not exhibit a tendency for blocking grain boundaries of the polysilicon.
  • cobalt may successfully be used for feature sizes down to 0.2 ⁇ m
  • a further reduction of the feature size may require a metal silicide exhibiting a significantly lower sheet resistance than cobalt silicide for the following reason.
  • the metal silicide is formed on the gate electrode 108 and the drain and the source regions 104 simultaneously in a so-called self-aligned process. This process flow requires taking into account that, for reduced feature sizes, a vertical extension or depth (with respect to Figure la) of the drain and source regions 104 into the active region 102 also needs to be reduced to suppress so-called short-channel effects.
  • a vertical extension or depth of a metal silicide region formed on the gate electrode 108 which should desirably have a vertical extension as large as possible in view of decreasing the gate resistance, is limited by the requirement for shallow or thin metal silicide regions on the drain and source regions.
  • nickel is increasingly considered as an appropriate substitute for cobalt as nickel silicide shows a significantly lower sheet resistance than cobalt silicide.
  • the metal layer 110 is substantially comprised of nickel.
  • a heat treatment is carried out to initiate a chemical reaction between the nickel atoms and the silicon atoms in those areas of the source and drain regions 104 and the gate electrode 108 that are in contact with the nickel.
  • a rapid thermal anneal cycle may be carried out with a temperature in the range of approximately 400-600°C and for a time period of approximately 30-90 seconds.
  • silicon and nickel atoms diffuse and combine to form nickel monosilicide.
  • Figure lb schematically shows the transistor element 100 with correspondingly formed nickel silicide layers 111 in the source and drain regions 104 and a nickel silicide layer 112 formed in the gate electrode 108.
  • a respective thickness Il ia and 112a of the nickel silicide layers 111, 112 may be adjusted by process parameters, such as a thickness of the initial metal layer 110 and/or the specified conditions during the heat treatment.
  • the metal layer 110 may be deposited with a specified thickness, and the temperature and/or the duration of the heat treatment are adjusted so that substantially the entire nickel layer is converted into nickel silicide.
  • the metal layer 110 is deposited with a sufficient thickness and the degree of nickel silicide generation is controlled by the temperature and/or the duration of the heat treatment.
  • the non-reacted nickel is then selectively removed by any suitable selective wet etch process, as are well known in the art.
  • the silicon contained in the sidewall spacer elements 109 and the shallow trench isolations 103 does not substantially take part in the chemical reaction as the silicon therein is provided as a thermally stable oxide.
  • the nickel silicide layers 111, 112 may also be formed in a two-step thermal process, for example by two rapid thermal anneal cycles, wherein, preferably, non-reacted nickel may selectively be removed between the two cycles.
  • the thickness I lia may differ from the thickness 112a, due to a different diffusion behavior of the highly doped crystalline silicon of the drain and source regions 104 and the doped polysilicon of the gate electrode 108, both thicknesses are correlated as they may not be adjusted independently from each other without considerably changing the entire process flow, and therefore a maximum thickness 112a of nickel silicide on the gate electrode 108 is determined by the maximum allowable thickness I lia, which in turn is restricted by the depth of the drain and source region 104.
  • nickel silicide exhibits a significantly lower sheet resistance than, for example, cobalt silicide, it turns out that nickel silicide is thermally not stable at temperatures exceeding approximately 400°C and converts upon elevated temperatures to nickel disilicide.
  • FIG. lc schematically shows the transistor element 100 in a further advanced manufacturing stage after the transistor element 100 has "experienced” further heat treatments with elevated temperatures, such as anneal cycles carried out during the formation of metallization layers (not shown) and the like. Owing to the elevated temperatures, the nickel silicide in the layers 111, 112 may, to a high degree, be converted into nickel disilicide, thereby producing an increased thickness 111b and 112b, respectively, due to the doubled silicon consumption of nickel disilicide compared to nickel silicide.
  • the junction integrity i.e., ⁇ ie integrity of the interface between the source and drain regions 104 and the active region 102, may not be guaranteed as is shown in Figure lc.
  • These issues may entail a limited ability to scale the junction depth in accordance with device requirements for a transistor element in the deep sub-micron regime.
  • the increased sheet resistance of the nickel disilicide partially offsets, depending on the ratio of nickel silicide to nickel disilicide, the advantage obtained by replacing cobalt with nickel.
  • the present invention is based on the concept of thermally stabilizing nickel silicide by introducing a material into the nickel silicide that significantly hinders the inter-diffusion of silicon and nickel during elevated temperatures to thereby suppress or at least significantly reduce the formation of nickel disilicide.
  • Appropriate materials that will herein also be referred to as diffusion barrier materials may include nitrogen and/or any noble gases, such as argon, xenon, krypton and the like.
  • a method of forming a metal silicide comprises the provision of a substrate having formed thereon a silicon region with a surface area for receiving the metal silicide. Then, a diffusion barrier material is introduced into the silicon region via the surface area. A metal layer is then deposited such that the metal layer is in contact with the surface area, and at least a portion of the metal layer is converted into metal silicide to form a metal silicide layer, wherein barrier diffusion material is incorporated into the metal silicide to thermally stabilize the metal silicide.
  • a method of forming a nickel silicide layer in a conductive silicon-containing region comprises providing a substrate having formed thereon the conductive silicon-containing region. Nitrogen is introduced into the conductive silicon-containing region and a nickel-containing layer is formed over the conductive silicon-containing region, wherein the nickel- containing layer is partially in contact with the conductive silicon-containing region. Finally, a portion of the nickel-containing layer is converted into a nitrogen-containing nickel silicide layer.
  • a circuit element in an integrated circuit comprises a conductive silicon-containing region and a barrier diffusion material containing a nickel silicide layer located on a surface portion of the conductive silicon-containing region.
  • a field effect transistor comprises an active region formed in a silicon-containing region and a gate insulation layer formed over the active region.
  • a gate electrode is formed on the gate insulation layer and a barrier diffusion material containing a nickel silicide layer is formed in the active region and the gate electrode.
  • Figures la-lc schematically show cross-sectional views of a conventional transistor element during various stages of the manufacturing process.
  • Figures 2a-2e schematically show cross-sectional views of silicon-containing conductive regions in the form of a transistor element during various manufacturing stages in accordance with illustrative embodiments of the present invention.
  • a field effect transistor such as a CMOS transistor element
  • CMOS transistor element is discussed to demonstrate the principle of improving the conductivity of silicon-containing conductive regions by enhancing the thermal stability of a metal silicide formed in those regions.
  • the present invention may, however, be readily applied to any silicon-containing regions, for example, provided in the form of doped or undoped crystalline silicon, doped or undoped polycrystalline silicon and doped or undoped amorphous silicon, irrespective of the type of circuit element of interest.
  • any polysilicon lines or areas connecting adjacent circuit elements, such as transistors, resistors, capacitors and the like, or connecting different chip areas, as well as certain silicon-containing portions of any type of circuit elements, for example, electrodes of capacitors, contact portions of resistors and the like, are to be understood to be encompassed by the present invention and should be considered as represented by the silicon-containing conductive region included in the transistor element described with reference to Figures 2a-2e in the following illustrative embodiments.
  • Figure 2a schematically shows a schematic cross-sectional view of a transistor element 200 including a substrate 201, which may be a silicon substrate or any other appropriate substrate having formed thereon a silicon-containing crystalline layer to define therein an active region 202 enclosed by an isolation structure 203, such as a shallow trench isolation.
  • a gate electrode 208 formed of polycrystalline silicon is formed over the active region 202 and is separated therefrom by a gate insulation layer 207.
  • Sidewall spacers 220 having a specified thickness 221 are formed on the sidewalls of the gate electrode 208.
  • the sidewall spacers 220 may be comprised of silicon dioxide, silicon nitride or any other appropriate material, wherein the thickness 221 of the spacers 220 is selected in accordance with process requirements and may range for typical embodiments from approximately 10-100 nm.
  • a typical process flow for forming the transistor element 200 may be as follows. After formation of the shallow trench isolations 203, implant steps may be carried out (not shown) to define a required dopant profile in the active region 202. Thereafter, the gate insulation layer 207 is formed by first growing and/or depositing an appropriate dielectric material, such as silicon dioxide, silicon nitride, silicon oxynitride or any combination thereof, or in case of highly sophisticated devices, the gate insulation layer 207 may comprise a high k material. Then, polysilicon is deposited and the gate dielectric and the polysilicon are patterned by sophisticated photolithography and anisotropic etch techniques to form the gate insulation layer 207 and the gate electrode 208.
  • an appropriate dielectric material such as silicon dioxide, silicon nitride, silicon oxynitride or any combination thereof, or in case of highly sophisticated devices, the gate insulation layer 207 may comprise a high k material.
  • polysilicon is deposited and the gate dielectric and the polysilicon are patterned by sophisticated photolith
  • the sidewall spacers 220 are formed by well-known spacer techniques, for example, by depositing an appropriate material, such as silicon dioxide and the like, and by anisotropically etching the material, wherein the specified width 221 is substantially defined by the initial layer thickness.
  • Figure 2b schematically shows the transistor element 200 during an implantation step, indicated by 223, for introducing a barrier diffusion material such as nitrogen into silicon-containing regions of the active region 202 and the gate electrode 208.
  • a barrier diffusion material such as nitrogen
  • FIG. 2b schematically shows the transistor element 200 during an implantation step, indicated by 223, for introducing a barrier diffusion material such as nitrogen into silicon-containing regions of the active region 202 and the gate electrode 208.
  • a peak concentration of the barrier diffusion material in these regions is shown by a dashed line indicated by 222. It should be noted, however, that, due to the nature of the implantation process, the concentration typically exhibits a profile in the vertical direction with respect to Figure 2b.
  • the peak concentration 222 is preferably located at a depth 222a that is selected in accordance with the required thickness of a metal silicide layer to be formed in the active region 202. The depth
  • the depth 222A may range from approximately 10-100 nm.
  • the implantation energy for nitrogen in molecular form as the barrier diffusion material is in the range of 30-60 keV, wherein the dose is selected to approximately 1-5 x 10 15 atoms/cm 2 .
  • the implant energy may be chosen to be approximately 10-40 keV, while the dose may be selected substantially identical to the former case or may be increased to approximately 2 x 10 15 to 1 x 10 16 atoms/cm 2 .
  • Typical values for the peak concentration 222 may range from approximately 1 x 10 19 to 5 x 10 20 atoms/cm 3 .
  • the sidewall spacers 220 act as an implantation mask to substantially avoid lattice damage in the vicinity of a bottom edge 224 of the gate electrode 208.
  • the integrity of the gate insulation layer 207 is substantially unaffected by the implantation 223.
  • the sidewall spacers 220 may be removed by any appropriate selective etch process as are well known in the art. In other embodiments, the sidewall spacers 220 may be maintained and may advantageously be used as implantation masks for subsequent implantation steps.
  • Figure 2c schematically shows the transistor element 200 in a further advanced manufacturing stage.
  • Source and drain regions 204, with extension regions 205, are formed in the active region 202.
  • Sidewall spacers 209 are formed at the sidewalls of the gate electrode 208 wherein, depending on the process requirements, the sidewall spacers 220, as well as any additional spacer elements possibly used during the formation of the regions 204 and 205, may be removed or may be incorporated in the sidewall spacers 209.
  • a metal layer 210 which in particular embodiments may be substantially comprised of nickel, is formed over the transistor element 200.
  • a typical process flow for forming the transistor element 200 may be as follows.
  • the drain and source regions 204 and the extension regions 205 are formed by appropriately designed implant sequences, wherein one or more types of dopants are implanted into the active region 202.
  • the implantation sequence may include quite complex implantation processes so as to obtain the required vertical and horizontal dopant profile.
  • the formation of a so-called halo is required to reduce deleterious effects during transistor operation that may occur for gate electrodes having dimensions in the deep sub-micron range.
  • any crystal damage at the bottom edge 224 of the gate electrode 208 is comparable to a conventional process flow, as merely the formation of the extension region 205 significantly contributes to crystalline defects similarly to a conventional process flow.
  • the process flow in accordance with the illustrative embodiments described above are highly compatible with standard process techniques without compromising device characteristics.
  • the sidewall spacers 209 and the metal layer 210 are formed in a similar manner as already described with reference to Figures la-lc.
  • the sidewall spacers 220 may not be formed and the implantation 223 is carried out after a conventional process flow for forming the transistor element 200, as depicted in Figure 2c, wherein, however, prior to depositing the metal layer 210, the implantation 223 is carried out to position the peak concentration 222 as required for the further processing.
  • the implantation 223 may be incorporated into the implantation sequence for forming the drain and source regions 204 and the extension regions 205.
  • one or more spacer elements may be used in precisely defining the lateral dopant profile or forming the extension regions 205. Then, by using these spacer elements, the implantation 223 may be carried out, thereby avoiding additional process steps and accomplishing a high degree of compatibility with the standard process flow.
  • a wet chemical clean process may be performed prior to depositing the metal layer 210, which may be accomplished by any appropriate deposition method, such as CVD or PVD, wherein a thickness of the metal layer 210 is selected in accordance with process requirements.
  • any appropriate heat treatment may be carried out, for example, a rapid thermal anneal, possibly designed as a two-step cycle, wherein a thickness of the metal layer 210 and/or the temperature and/or the duration of the heat treatment are selected to obtain the required thickness of nickel silicide.
  • a rapid thermal anneal possibly designed as a two-step cycle
  • the ratio between a silicon thickness consumed and thickness of nickel consumed is approximately 1.83 so that a thickness of the final nickel silicide may readily be adjusted by controlling one or more of the former process parameters.
  • the diffusion barrier material such as the nitrogen, is incorporated into ihe nickel silicide for purposes of limiting the inter-diffusion of silicon and nickel and the formation of nickel disilicide.
  • FIG. 2d schematically shows the transistor element 200 after completion of the heat treatment and the subsequent selective removal of non-reacted nickel.
  • nickel silicide layers 211 are formed, having a thickness indicated by 21 la.
  • a nickel silicide layer 212 is formed on top of the gate electrode 208 and has a thickness 212a.
  • the thicknesses 211a and 212a are adjusted as described above, wherein, due to the incorporated diffusion barrier material, such as the nitrogen, a further inter-diffusion of silicon and nickel upon elevated temperatures is significantly hindered and, thus, the generation of nickel disilicide is significantly suppressed.
  • a 50% roughness improvement at the interface between the nickel silicide layers 211, 212 and the silicon in the gate electrode 208 and the source and drain regions, respectively, may be obtained for a maximum nitrogen concentration corresponding to the peak concentration 222 in the range of approximately 1 x 10 19 to 5 x 10 20 atoms/cm 3 .
  • the junction integrity and the low sheet resistance of the nickel silicide layers 211, 212 may be substantially maintained even during elevated temperatures at further process steps for completing the transistor element 200.
  • the lateral dimension i.e., the gate length of the gate electrode 208 (in Figure 2, the horizontal extension of the gate electrode 208), may be scaled down to 0.08 to 0.05 ⁇ m and even less.
  • the depth of the corresponding drain and source regions 204 has also been decreased so that the thickness 211a of the nickel silicide layer 211 has to be substantially restricted to surface portions of the drain and source regions 204.
  • Figure 2e schematically shows the transistor element 200 in an early manufacturing stage according to a further illustrative embodiment of the present invention. The manufacturing sequence described with reference to Figure 2e may replace the process flow as described in Figures 2a and 2b.
  • the gate electrode 208 comprises sidewall spacers 230 which may correspond to the spacers 220 or which may be any additional spacer elements formed for defining the extension regions 205 that have still to be formed.
  • the transistor element 200 is exposed to a nitrogen-containing plasma ambient 240 that allows the deposition of nitrogen at surface portions of the active region 202 and the gate electrode 208 in a more precise manner compared to an implantation process.
  • the plasma ambient 240 may be established by any appropriate process tool allowing for the generation and control of a plasma.
  • deposition tools for plasma enhanced CVD, plasma etch tools, even deposition tools with remote plasma sources may be used. Process parameters of these tools may be controlled to deposit nitrogen at a required depth, as indicated by 222, in the active region 202 and the gate electrode 208.
  • the sidewall spacers 230 may be omitted and the directionality of the plasma particles may be controlled to substantially move in a direction perpendicular to the substrate 201. In this way, penetration of nitrogen into sidewalls of the gate electrode 208 may be minimized without providing the sidewall spacers 230. In view of not compromising the integrity of the gate insulation layer 207, it may, however, be preferable to introduce the nitrogen in the presence of the sidewall spacers 230.
  • nitrogen is introduced into the active region 202 and the gate electrode 208 both by a minor implantation effect of ionized nitrogen and by chemical reaction of the silicon with the nitrogen radicals.
  • the penetration depth is relatively small and thus the concentration of the nitrogen is substantially located at the surface portions of the active region 202 and the gate electrode 208, as indicated by 222.
  • the introduction of nitrogen is well controllable and allows location of a sufficiently high nitrogen concentration within a precisely defined depth of the active region 202 and the gate electrode 208.
  • the penetration depth of the nitrogen 222 may be controlled within a range of approximately 2-10 nm.
  • the conversion of nickel silicide into nickel disilicide at elevated temperatures may be prevented or at least significantly reduced.
  • the sheet resistance degradation as typically occurs in the conventional processing of nickel silicide- containing circuit elements, may drastically be reduced while, at the same time, the junction integrity is improved.
  • a diffusion barrier material such as nitrogen, may be introduced to the relevant portions of the circuit element, for example, by ion implantation or by plasma deposition, so that the diffusion barrier material is incorporated into the metal silicide layer and suppresses a further reaction at elevated temperatures during later process steps.
  • the time when the diffusion barrier material is introduced may be selected in accordance with process requirements, so that additional synergetic effects may be obtained, such as blocking of boron atoms, or that a high degree of compatibility with standard process techniques is achieved.

Abstract

The introduction of a barrier diffusion material, such as nitrogen, into a silicon-containing conductive region, for example the drain and source regions (204, 205) and the gate electrode (208) of a field effect transistor, allows the formation of nickel silicide (211, 212), which is substantially thermally stable up to temperatures of 500 °C. Thus, the device performance may significantly improve as the sheet resistance of nickel silicide is significantly less than that of nickel disilicide.

Description

C CUIT ELEMENT HAVING A METAL SILICIDE REGION THERMALLY STABILIZED
BY A BARRIER DD7FUSION MATERIAL
TECHNICAL FEELD Generally, the present invention relates to the fabrication of integrated circuits, and, more particularly, to the formation of metal silicide regions on silicon-containing conductive circuit elements to decrease a sheet resistance thereof.
BACKGROUND ART In modern ultra-high density integrated circuits, device features are steadily decreasing to enhance device perfonnance and functionality of the circuit. Shrinking the feature sizes, however, entails certain problems that may partially offset the advantages obtained by reducing the feature sizes. Generally, reducing the size of, for example, a transistor element such as a MOS transistor, may lead to superior performance characteristics due to a decreased channel length of the transistor element, resulting in a higher drive current capability and enhanced switching speed. Upon decreasing the channel length of the transistor elements, however, the electrical resistance of conductive lines and contact regions, i.e., regions that provide electrical contact to the periphery of the transistor elements, becomes a major issue since the cross-sectional area of these lines and regions is also reduced. The cross-sectional area, however, determines, in combination with the characteristics of the material comprising the conductive lines and contact regions, the effective electrical resistance thereof. Moreover, a higher number of circuit elements per units area also requires an increased number of interconnections between these circuit elements, wherein, commonly, the number of required interconnects increases in a non-linear manner with the number of circuit elements so that the available real estate for interconnects becomes even more limited.
The majority of integrated circuits are based on silicon, that is, most of the circuit elements contain silicon regions, in crystalline, polycrystalline and amorphous form, doped and undoped, which act as conductive areas. An illustrative example in this context is a gate electrode of a MOS transistor element, which may be considered as a polysilicon line. Upon application of an appropriate control voltage to the gate electrode, a conductive channel is formed at the interface of a thin gate insulation layer and an active region of the semiconducting substrate. Although reducing the feature size of a transistor element improves device performance due to the reduced channel length, the shrinkage of the gate electrode, however, may result in significant delays in the signal propagation along the gate electrode, i.e., the formation of the channel along the entire extension of the gate electrode. The issue of signal propagation delay is even exacerbated for polysilicon lines connecting individual circuit elements or different chip regions. Therefore, it is extremely important to improve the sheet resistance of polysilicon lines and other silicon-containing contact regions to allow further device scaling without compromising device performance. For this reason, it has become standard practice to reduce the sheet resistance of polysilicon lines and silicon contact regions by forming a metal silicide in and on appropriate portions of the respective silicon-containing regions.
With reference to Figures la-lc, a typical prior art process flow for forming metal silicide on a corresponding portion of a MOS transistor element will now be described as an illustrative example for demonstrating the reduction of the sheet resistance of silicon. Figure la schematically shows a cross-sectional view of a transistor element 100, such as a MOS transistor, that is formed on a substrate 101 including a silicon-containing active region 102. The active region
102 is enclosed by an isolation structure 103, which in the present example is provided in the form of a shallow trench isolation usually used for sophisticated integrated circuits. Highly doped source and drain regions 104, including extension regions 105 that usually comprise a dopant concentration less than the highly doped regions
104, are formed in the active region 102. The source and drain regions 104, including the extension regions
105, are laterally separated by a channel region 106. A gate insulation layer 107 electrically and physically isolates a gate electrode 108 from the underlying channel region 106. Spacer elements 109 are formed on sidewalls of the gate electrode 108. A refractory metal layer 110 is formed over the transistor element 100 with a thickness required for the further processing in forming metal silicide portions.
A typical conventional process flow for forming the transistor element 100, as shown in Figure la, may include the following steps. After defining the active region 102 by forming the shallow trench isolations
103 by means of advanced photolithography and etch techniques, well-established and well-known implantation steps are carried out to create a desired dopant profile in the active region 102 and the channel region 106. Subsequently, the gate insulation layer 107 and the gate electrode 108 are formed by sophisticated deposition, photolithography and anisotropic etch techniques to obtain a desired gate length, which is the horizontal extension of the gate electrode 108 in Figure la, i.e., in the plane of the drawing of Figure la. Thereafter, a first implant sequence may be carried out to form the extension regions 105 wherein, depending on design requirements, additional so-called halo implants may be performed. The spacer elements 109 are then formed by depositing a dielectric material, such as silicon dioxide and/or silicon nitride, and patterning the dielectric material by an anisotropic etch process. Thereafter, a further implant process may be carried out to form the heavily doped source and drain regions 104.
Subsequently, the refractory metal layer 110 is deposited on the transistor element 100 by, for example, chemical vapor deposition (CVD) or physical vapor deposition (PVD). Preferably a refractory metal, such as titanium, cobalt, nickel and the like, is used for the metal layer 110. It turns out, however, that the characteristics of the various refractory metals during forming of a metal silicide and afterwards in the form of a metal silicide significantly differ from each other. Consequently, selecting an appropriate metal depends on further design parameters of the transistor element 100 as well as on process requirements in following processes. For instance, titanium is frequently used for forming a metal silicide on the respective silicon- containing portions wherein, however, the electrical properties of the resulting titanium silicide strongly depend on the dimensions of the transistor element 100. Titanium silicide tends to agglomerate at grain boundaries of polysilicon and therefore may increase the total electrical resistance, wherein this effect is pronounced with decreasing feature sizes so that the employment of titanium may not be acceptable for polysilicon lines, such as the gate electrode 108, having a lateral dimension, i.e., a gate length of 0.5 μm and less. For circuit elements having feature sizes of this order of magnitude, cobalt is preferably used as a refractory metal, since cobalt substantially does not exhibit a tendency for blocking grain boundaries of the polysilicon. Although cobalt may successfully be used for feature sizes down to 0.2 μm, a further reduction of the feature size may require a metal silicide exhibiting a significantly lower sheet resistance than cobalt silicide for the following reason. In a typical MOS process flow, the metal silicide is formed on the gate electrode 108 and the drain and the source regions 104 simultaneously in a so-called self-aligned process. This process flow requires taking into account that, for reduced feature sizes, a vertical extension or depth (with respect to Figure la) of the drain and source regions 104 into the active region 102 also needs to be reduced to suppress so-called short-channel effects. Consequently, a vertical extension or depth of a metal silicide region formed on the gate electrode 108, which should desirably have a vertical extension as large as possible in view of decreasing the gate resistance, is limited by the requirement for shallow or thin metal silicide regions on the drain and source regions.
Therefore, for highly sophisticated transistor elements, nickel is increasingly considered as an appropriate substitute for cobalt as nickel silicide shows a significantly lower sheet resistance than cobalt silicide. In the following it is, therefore, assumed that the metal layer 110 is substantially comprised of nickel. After deposition of the metal layer 110, a heat treatment is carried out to initiate a chemical reaction between the nickel atoms and the silicon atoms in those areas of the source and drain regions 104 and the gate electrode 108 that are in contact with the nickel. For example, a rapid thermal anneal cycle may be carried out with a temperature in the range of approximately 400-600°C and for a time period of approximately 30-90 seconds. During the heat treatment, silicon and nickel atoms diffuse and combine to form nickel monosilicide. Figure lb schematically shows the transistor element 100 with correspondingly formed nickel silicide layers 111 in the source and drain regions 104 and a nickel silicide layer 112 formed in the gate electrode 108. A respective thickness Il ia and 112a of the nickel silicide layers 111, 112 may be adjusted by process parameters, such as a thickness of the initial metal layer 110 and/or the specified conditions during the heat treatment. For example, the metal layer 110 may be deposited with a specified thickness, and the temperature and/or the duration of the heat treatment are adjusted so that substantially the entire nickel layer is converted into nickel silicide. Alternatively, the metal layer 110 is deposited with a sufficient thickness and the degree of nickel silicide generation is controlled by the temperature and/or the duration of the heat treatment. Irrespective of the way to control the thickness I lia, 112a, the non-reacted nickel is then selectively removed by any suitable selective wet etch process, as are well known in the art. It should be noted that the silicon contained in the sidewall spacer elements 109 and the shallow trench isolations 103 does not substantially take part in the chemical reaction as the silicon therein is provided as a thermally stable oxide. Moreover, the nickel silicide layers 111, 112 may also be formed in a two-step thermal process, for example by two rapid thermal anneal cycles, wherein, preferably, non-reacted nickel may selectively be removed between the two cycles.
Although the thickness I lia may differ from the thickness 112a, due to a different diffusion behavior of the highly doped crystalline silicon of the drain and source regions 104 and the doped polysilicon of the gate electrode 108, both thicknesses are correlated as they may not be adjusted independently from each other without considerably changing the entire process flow, and therefore a maximum thickness 112a of nickel silicide on the gate electrode 108 is determined by the maximum allowable thickness I lia, which in turn is restricted by the depth of the drain and source region 104. Despite of the fact that nickel silicide exhibits a significantly lower sheet resistance than, for example, cobalt silicide, it turns out that nickel silicide is thermally not stable at temperatures exceeding approximately 400°C and converts upon elevated temperatures to nickel disilicide. The formation of nickel disilicide instead of nickel silicide is highly undesirable since nickel disilicide has a significantly higher sheet resistance than nickel monosilicide. Moreover, the ongoing chemical reaction consumes further silicon and thus increases the thickness of the corresponding nickel silicide layers. Figure lc schematically shows the transistor element 100 in a further advanced manufacturing stage after the transistor element 100 has "experienced" further heat treatments with elevated temperatures, such as anneal cycles carried out during the formation of metallization layers (not shown) and the like. Owing to the elevated temperatures, the nickel silicide in the layers 111, 112 may, to a high degree, be converted into nickel disilicide, thereby producing an increased thickness 111b and 112b, respectively, due to the doubled silicon consumption of nickel disilicide compared to nickel silicide. Since the amount of nickel disilicide created by any post-silicidation processes is difficult to control, the junction integrity, i.e., ύie integrity of the interface between the source and drain regions 104 and the active region 102, may not be guaranteed as is shown in Figure lc. These issues may entail a limited ability to scale the junction depth in accordance with device requirements for a transistor element in the deep sub-micron regime. Moreover, the increased sheet resistance of the nickel disilicide partially offsets, depending on the ratio of nickel silicide to nickel disilicide, the advantage obtained by replacing cobalt with nickel.
In view of the situation described above, it would, therefore, be highly desirable to eliminate or at least reduce some of the problems involved in processing nickel in highly sophisticated integrated circuits. DISCLOSURE OF INVENTION
Generally, the present invention is based on the concept of thermally stabilizing nickel silicide by introducing a material into the nickel silicide that significantly hinders the inter-diffusion of silicon and nickel during elevated temperatures to thereby suppress or at least significantly reduce the formation of nickel disilicide. Appropriate materials that will herein also be referred to as diffusion barrier materials may include nitrogen and/or any noble gases, such as argon, xenon, krypton and the like.
According to one illustrative embodiment of the present invention, a method of forming a metal silicide comprises the provision of a substrate having formed thereon a silicon region with a surface area for receiving the metal silicide. Then, a diffusion barrier material is introduced into the silicon region via the surface area. A metal layer is then deposited such that the metal layer is in contact with the surface area, and at least a portion of the metal layer is converted into metal silicide to form a metal silicide layer, wherein barrier diffusion material is incorporated into the metal silicide to thermally stabilize the metal silicide.
According to a further illustrative embodiment of the present invention, a method of forming a nickel silicide layer in a conductive silicon-containing region comprises providing a substrate having formed thereon the conductive silicon-containing region. Nitrogen is introduced into the conductive silicon-containing region and a nickel-containing layer is formed over the conductive silicon-containing region, wherein the nickel- containing layer is partially in contact with the conductive silicon-containing region. Finally, a portion of the nickel-containing layer is converted into a nitrogen-containing nickel silicide layer.
According to a further illustrative embodiment of the present invention, a circuit element in an integrated circuit comprises a conductive silicon-containing region and a barrier diffusion material containing a nickel silicide layer located on a surface portion of the conductive silicon-containing region.
In still another exemplary embodiment of the present invention a field effect transistor comprises an active region formed in a silicon-containing region and a gate insulation layer formed over the active region. A gate electrode is formed on the gate insulation layer and a barrier diffusion material containing a nickel silicide layer is formed in the active region and the gate electrode. BRIEF DESCRIPTION OF THE DRAWINGS
The invention may be understood by reference to the following description taken in conjunction with the accompanying drawings, in which like reference numerals identify like elements, and in which:
, Figures la-lc schematically show cross-sectional views of a conventional transistor element during various stages of the manufacturing process; and
Figures 2a-2e schematically show cross-sectional views of silicon-containing conductive regions in the form of a transistor element during various manufacturing stages in accordance with illustrative embodiments of the present invention.
While the invention is susceptible ' to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail. It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims. MODE(S) FOR CARRYING OUT THE INVENTION
Illustrative embodiments of the invention are described below. In the interest of clarity, not all features of an actual implementation are described in this specification. It will of course be appreciated that in the development of any such actual embodiment, numerous implementation-specific decisions must be made to achieve the developers' specific goals, such as compliance with system-related and business-related constraints, which will vary from one implementation to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure.
The present invention will now be described with reference to the attached figures. Although the various regions and structures of a semiconductor device are depicted in the drawings as having very precise, sharp configurations and profiles, those skilled in the art recognize that, in reality, these regions and structures are not as precise as indicated in the drawings. Additionally, the relative sizes of the various features and doped regions depicted in the drawings may be exaggerated or reduced as compared to the size of those features or regions on fabricated devices. Nevertheless, the attached drawings are included to describe and explain illustrative examples of the present invention. The words and phrases used herein should be understood and interpreted to have a meaning consistent with the understanding of those words and phrases by those skilled in the relevant art. No special definition of a term or phrase, i.e., a definition that is different from the ordinary and customary meaning as understood by those skilled in the art, is intended to be implied by consistent usage of the term or phrase herein. To the extent that a term or phrase is intended to have a special meaning, i.e., a meaning other than that understood by skilled artisans, such a special definition will be expressly set forth in the specification in a definitional manner that directly and unequivocally provides the special definition for the term or phrase.
It should be noted that in the following illustrative embodiments of the present invention, a field effect transistor, such as a CMOS transistor element, is discussed to demonstrate the principle of improving the conductivity of silicon-containing conductive regions by enhancing the thermal stability of a metal silicide formed in those regions. The present invention may, however, be readily applied to any silicon-containing regions, for example, provided in the form of doped or undoped crystalline silicon, doped or undoped polycrystalline silicon and doped or undoped amorphous silicon, irrespective of the type of circuit element of interest. For example, any polysilicon lines or areas connecting adjacent circuit elements, such as transistors, resistors, capacitors and the like, or connecting different chip areas, as well as certain silicon-containing portions of any type of circuit elements, for example, electrodes of capacitors, contact portions of resistors and the like, are to be understood to be encompassed by the present invention and should be considered as represented by the silicon-containing conductive region included in the transistor element described with reference to Figures 2a-2e in the following illustrative embodiments.
Figure 2a schematically shows a schematic cross-sectional view of a transistor element 200 including a substrate 201, which may be a silicon substrate or any other appropriate substrate having formed thereon a silicon-containing crystalline layer to define therein an active region 202 enclosed by an isolation structure 203, such as a shallow trench isolation. A gate electrode 208 formed of polycrystalline silicon is formed over the active region 202 and is separated therefrom by a gate insulation layer 207. Sidewall spacers 220 having a specified thickness 221 are formed on the sidewalls of the gate electrode 208. The sidewall spacers 220 may be comprised of silicon dioxide, silicon nitride or any other appropriate material, wherein the thickness 221 of the spacers 220 is selected in accordance with process requirements and may range for typical embodiments from approximately 10-100 nm.
A typical process flow for forming the transistor element 200, as shown in Figure 2a, may be as follows. After formation of the shallow trench isolations 203, implant steps may be carried out (not shown) to define a required dopant profile in the active region 202. Thereafter, the gate insulation layer 207 is formed by first growing and/or depositing an appropriate dielectric material, such as silicon dioxide, silicon nitride, silicon oxynitride or any combination thereof, or in case of highly sophisticated devices, the gate insulation layer 207 may comprise a high k material. Then, polysilicon is deposited and the gate dielectric and the polysilicon are patterned by sophisticated photolithography and anisotropic etch techniques to form the gate insulation layer 207 and the gate electrode 208. Subsequently, the sidewall spacers 220 are formed by well-known spacer techniques, for example, by depositing an appropriate material, such as silicon dioxide and the like, and by anisotropically etching the material, wherein the specified width 221 is substantially defined by the initial layer thickness.
Figure 2b schematically shows the transistor element 200 during an implantation step, indicated by 223, for introducing a barrier diffusion material such as nitrogen into silicon-containing regions of the active region 202 and the gate electrode 208. For convenience, only a peak concentration of the barrier diffusion material in these regions is shown by a dashed line indicated by 222. It should be noted, however, that, due to the nature of the implantation process, the concentration typically exhibits a profile in the vertical direction with respect to Figure 2b. The peak concentration 222 is preferably located at a depth 222a that is selected in accordance with the required thickness of a metal silicide layer to be formed in the active region 202. The depth
222a may be adjusted in accordance with a required depth of the junction to be formed, as previously explained with reference to Figures la-lc, by correspondingly selecting the implantation parameters. For example, the depth 222A may range from approximately 10-100 nm. In typical examples, the implantation energy for nitrogen in molecular form as the barrier diffusion material is in the range of 30-60 keV, wherein the dose is selected to approximately 1-5 x 1015 atoms/cm2. When implanting single nitrogen ions, the implant energy may be chosen to be approximately 10-40 keV, while the dose may be selected substantially identical to the former case or may be increased to approximately 2 x 1015 to 1 x 1016 atoms/cm2. Typical values for the peak concentration 222 may range from approximately 1 x 1019 to 5 x 1020 atoms/cm3. During the implantation 223, the sidewall spacers 220 act as an implantation mask to substantially avoid lattice damage in the vicinity of a bottom edge 224 of the gate electrode 208. At the same time, the integrity of the gate insulation layer 207 is substantially unaffected by the implantation 223.
Thereafter, the sidewall spacers 220 may be removed by any appropriate selective etch process as are well known in the art. In other embodiments, the sidewall spacers 220 may be maintained and may advantageously be used as implantation masks for subsequent implantation steps. Figure 2c schematically shows the transistor element 200 in a further advanced manufacturing stage.
Source and drain regions 204, with extension regions 205, are formed in the active region 202. Sidewall spacers 209 are formed at the sidewalls of the gate electrode 208 wherein, depending on the process requirements, the sidewall spacers 220, as well as any additional spacer elements possibly used during the formation of the regions 204 and 205, may be removed or may be incorporated in the sidewall spacers 209. Finally, a metal layer 210, which in particular embodiments may be substantially comprised of nickel, is formed over the transistor element 200.
A typical process flow for forming the transistor element 200, as depicted in Figure 2c, may be as follows. The drain and source regions 204 and the extension regions 205 are formed by appropriately designed implant sequences, wherein one or more types of dopants are implanted into the active region 202. As previously explained, the implantation sequence may include quite complex implantation processes so as to obtain the required vertical and horizontal dopant profile. In particular, in sophisticated transistor elements, the formation of a so-called halo is required to reduce deleterious effects during transistor operation that may occur for gate electrodes having dimensions in the deep sub-micron range. It is thus frequently necessary to implant different types of dopants, such as arsenic, phosphorous, boron, and the like, that create different types of conductivity, wherein, advantageously, the diffusion barrier material implanted into the gate electrode 208 may effectively hinder, for example, boron atoms from diffusion into the gate insulation layer 207 and the underlying channel region 206. Moreover, due to the provision of the sidewall spacers 220, any crystal damage at the bottom edge 224 of the gate electrode 208 is comparable to a conventional process flow, as merely the formation of the extension region 205 significantly contributes to crystalline defects similarly to a conventional process flow. Thus, the process flow in accordance with the illustrative embodiments described above are highly compatible with standard process techniques without compromising device characteristics. The sidewall spacers 209 and the metal layer 210 are formed in a similar manner as already described with reference to Figures la-lc.
In other embodiments of the present invention, the sidewall spacers 220 may not be formed and the implantation 223 is carried out after a conventional process flow for forming the transistor element 200, as depicted in Figure 2c, wherein, however, prior to depositing the metal layer 210, the implantation 223 is carried out to position the peak concentration 222 as required for the further processing. In still other embodiments, the implantation 223 may be incorporated into the implantation sequence for forming the drain and source regions 204 and the extension regions 205. For example, one or more spacer elements (not shown) may be used in precisely defining the lateral dopant profile or forming the extension regions 205. Then, by using these spacer elements, the implantation 223 may be carried out, thereby avoiding additional process steps and accomplishing a high degree of compatibility with the standard process flow.
Irrespective of the time when the implantation 223 is carried out, a wet chemical clean process may be performed prior to depositing the metal layer 210, which may be accomplished by any appropriate deposition method, such as CVD or PVD, wherein a thickness of the metal layer 210 is selected in accordance with process requirements.
Thereafter, a heat treatment is performed in order to initiate a chemical reaction between the nickel contained in the metal layer 210 and the silicon of the corresponding portions in the drain and source regions 204 and the gate electrode 208. As previously described with reference to Figures la-lc, any appropriate heat treatment may be carried out, for example, a rapid thermal anneal, possibly designed as a two-step cycle, wherein a thickness of the metal layer 210 and/or the temperature and/or the duration of the heat treatment are selected to obtain the required thickness of nickel silicide. For example, in forming nickel silicide, the ratio between a silicon thickness consumed and thickness of nickel consumed is approximately 1.83 so that a thickness of the final nickel silicide may readily be adjusted by controlling one or more of the former process parameters. During the heat treatment, the diffusion barrier material, such as the nitrogen, is incorporated into ihe nickel silicide for purposes of limiting the inter-diffusion of silicon and nickel and the formation of nickel disilicide.
Figure 2d schematically shows the transistor element 200 after completion of the heat treatment and the subsequent selective removal of non-reacted nickel. In the source and drain regions 204, nickel silicide layers 211 are formed, having a thickness indicated by 21 la. Similarly, a nickel silicide layer 212 is formed on top of the gate electrode 208 and has a thickness 212a. The thicknesses 211a and 212a are adjusted as described above, wherein, due to the incorporated diffusion barrier material, such as the nitrogen, a further inter-diffusion of silicon and nickel upon elevated temperatures is significantly hindered and, thus, the generation of nickel disilicide is significantly suppressed. For example, for temperatures as high as approximately 500°C, a 50% roughness improvement at the interface between the nickel silicide layers 211, 212 and the silicon in the gate electrode 208 and the source and drain regions, respectively, may be obtained for a maximum nitrogen concentration corresponding to the peak concentration 222 in the range of approximately 1 x 1019 to 5 x 1020 atoms/cm3. Thus, the junction integrity and the low sheet resistance of the nickel silicide layers 211, 212 may be substantially maintained even during elevated temperatures at further process steps for completing the transistor element 200.
In advanced transistor elements 200 and also in future circuit generations, the lateral dimension, i.e., the gate length of the gate electrode 208 (in Figure 2, the horizontal extension of the gate electrode 208), may be scaled down to 0.08 to 0.05 μm and even less. For a correspondingly short channel length, the depth of the corresponding drain and source regions 204 has also been decreased so that the thickness 211a of the nickel silicide layer 211 has to be substantially restricted to surface portions of the drain and source regions 204. In these cases, it may be desirable to provide the diffusion barrier material, such as the nitrogen, in a relatively localized manner so that an enhanced barrier diffusion effect is obtained at the surface portions of the drain and source regions 204, whereas deeper lying regions may remain substantially unaffected by the diffusion barrier material. Figure 2e schematically shows the transistor element 200 in an early manufacturing stage according to a further illustrative embodiment of the present invention. The manufacturing sequence described with reference to Figure 2e may replace the process flow as described in Figures 2a and 2b.
In this case, the gate electrode 208 comprises sidewall spacers 230 which may correspond to the spacers 220 or which may be any additional spacer elements formed for defining the extension regions 205 that have still to be formed. Moreover, the transistor element 200 is exposed to a nitrogen-containing plasma ambient 240 that allows the deposition of nitrogen at surface portions of the active region 202 and the gate electrode 208 in a more precise manner compared to an implantation process.
The plasma ambient 240 may be established by any appropriate process tool allowing for the generation and control of a plasma. For example, deposition tools for plasma enhanced CVD, plasma etch tools, even deposition tools with remote plasma sources may be used. Process parameters of these tools may be controlled to deposit nitrogen at a required depth, as indicated by 222, in the active region 202 and the gate electrode 208. In one embodiment, the sidewall spacers 230 may be omitted and the directionality of the plasma particles may be controlled to substantially move in a direction perpendicular to the substrate 201. In this way, penetration of nitrogen into sidewalls of the gate electrode 208 may be minimized without providing the sidewall spacers 230. In view of not compromising the integrity of the gate insulation layer 207, it may, however, be preferable to introduce the nitrogen in the presence of the sidewall spacers 230.
During the exposure of the substrate 201 to the plasma ambient 240, nitrogen ions and nitrogen radicals hit the surface with relatively low kinetic energy compared to the several keV of an implant process. Thus, damage is significantly reduced compared to the ion implantation as, for example, shown in Figure 2b.
Upon impinging onto the substrate 201, nitrogen is introduced into the active region 202 and the gate electrode 208 both by a minor implantation effect of ionized nitrogen and by chemical reaction of the silicon with the nitrogen radicals.
With the low kinetic energy of those nitrogen particles that are introduced by an implantation effect, the penetration depth is relatively small and thus the concentration of the nitrogen is substantially located at the surface portions of the active region 202 and the gate electrode 208, as indicated by 222. Thus, the introduction of nitrogen is well controllable and allows location of a sufficiently high nitrogen concentration within a precisely defined depth of the active region 202 and the gate electrode 208. For example, by applying a DC bias voltage between the plasma ambient 240 and the substrate 201 in the range of approximately 10-100 volts, the penetration depth of the nitrogen 222 may be controlled within a range of approximately 2-10 nm. After completion of the introduction of nitrogen, further processing may be continued as already described with reference to Figures 2c and 2d.
As a result of the present invention, the conversion of nickel silicide into nickel disilicide at elevated temperatures, for example, within a temperature range up to 500°C, may be prevented or at least significantly reduced. The sheet resistance degradation, as typically occurs in the conventional processing of nickel silicide- containing circuit elements, may drastically be reduced while, at the same time, the junction integrity is improved. During various manufacturing stages, a diffusion barrier material, such as nitrogen, may be introduced to the relevant portions of the circuit element, for example, by ion implantation or by plasma deposition, so that the diffusion barrier material is incorporated into the metal silicide layer and suppresses a further reaction at elevated temperatures during later process steps. The time when the diffusion barrier material is introduced may be selected in accordance with process requirements, so that additional synergetic effects may be obtained, such as blocking of boron atoms, or that a high degree of compatibility with standard process techniques is achieved.
The particular embodiments disclosed above are illustrative only, as the invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. For example, the process steps set forth above may be performed in a different order. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention. Accordingly, the protection sought herein is as set forth in the claims below.

Claims

1. A method of forming a metal silicide, the method comprising: providing a substrate 201 having formed thereon a silicon region with a surface area for receiving said metal silicide; introducing a diffusion barrier material into the silicon region via said surface area; depositing a metal layer 210 such that the metal layer is in contact with said surface area; and converting at least a portion of said metal layer into metal silicide to form a metal silicide layer 211,
212, wherein a portion of said barrier diffusion material is incorporated into said metal silicide layer.
2. The method of claim 1, wherein introducing said barrier diffusion material includes implanting nitrogen ions into said surface area.
3. The method of claim 1 , wherein said metal layer 210 comprises nickel.
4. The method of claim 1, wherein introducing said barrier diffusion material comprises exposing said substrate to a plasma ambient containing said barrier diffusion material.
5. The method of claim 1, further comprising forming a mask element prior to introducing said barrier diffusion material to protect a specified portion of said silicon region.
6. The method of claim 1, wherein converting at least a portion of said metal layer 210 into silicide comprises performing a heat treatment to initiate a chemical reaction between the metal and the silicon, wherein at least one of a treatment time and a temperature is controlled to adjust a thickness of said metal silicide layer 211, 212.
7. The method of claim 1, further comprising forming a screen layer covering said surface area prior to introducing said barrier diffusion material.
8. A method of forming a nickel silicide layer in a conductive silicon-containing region, the method comprising: providing a substrate 201 having formed thereon said conductive silicon-containing region; introducing nitrogen into said conductive silicon-containing region; forming a nickel-containing layer 210 over said conductive silicon-containing region, the nickel- containing layer being partially in contact with said conductive silicon-containing region; and converting a portion of said nickel-containing layer into a nitrogen-containing nickel silicide layer.
9. The method of claim 8, wherein introducing nitrogen includes implanting nitrogen ions into said conductive silicon-containing region.
10. The method of claim 8, wherein introducing nitrogen comprises exposing said substrate to a nitrogen-containing plasma ambient.
11. The method of claim 8, further comprising forming a mask element prior to introducing nitrogen to protect a specified portion of said conductive silicon-containing region.
12. The method of claim 8, wherein converting at least a portion of said nickel-containing layer 210 into nickel silicide comprises performing a heat treatment to initiate a chemical reaction between the nickel and the silicon, wherein at least one of a treatment time and a temperature is controlled to adjust a thickness of said nitrogen-containing nickel silicide layer.
13. The method of claim 8, further comprising forming a screen layer, at least partially covering said conductive silicon-containing region, prior to introducing said nitrogen.
14. A circuit element in an integrated circuit, comprising: a conductive silicon-containing region; and a diffusion barrier material containing nickel silicide layer located on a surface portion of said conductive silicon-containing region.
15. The circuit element of claim 14, wherein a diffusion barrier material peak concentration in said barrier diffusion material containing nickel silicide layer is approximately 1 x 1019 atoms/cm3 or more.
16. The circuit element of claim 14, wherein said barrier diffusion material is at least one of nitrogen and a noble gas.
PCT/US2003/029031 2002-09-30 2003-09-19 Circuit element having a metal silicide region thermally stabilized by a barrier diffusion material WO2004032217A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003272444A AU2003272444A1 (en) 2002-09-30 2003-09-19 Circuit element having a metal silicide region thermally stabilized by a barrier diffusion material

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
DE10245607A DE10245607B4 (en) 2002-09-30 2002-09-30 A method of forming circuit elements having nickel silicide regions thermally stabilized by a barrier diffusion material and methods of making a nickel monosilicide layer
DE10245607.0 2002-09-30
US10/402,585 2003-03-28
US10/402,585 US6838363B2 (en) 2002-09-30 2003-03-28 Circuit element having a metal silicide region thermally stabilized by a barrier diffusion material

Publications (1)

Publication Number Publication Date
WO2004032217A1 true WO2004032217A1 (en) 2004-04-15

Family

ID=32070703

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/029031 WO2004032217A1 (en) 2002-09-30 2003-09-19 Circuit element having a metal silicide region thermally stabilized by a barrier diffusion material

Country Status (2)

Country Link
AU (1) AU2003272444A1 (en)
WO (1) WO2004032217A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5950098A (en) * 1995-06-26 1999-09-07 Mitsubishi Denki Kabushiki Kaisha Manufacturing method of a semiconductor device with a silicide layer
US6274447B1 (en) * 1996-03-22 2001-08-14 Seiko Epson Corporation Semiconductor device comprising a MOS element and a fabrication method thereof
US6383880B1 (en) * 2000-10-05 2002-05-07 Advanced Micro Devices, Inc. NH3/N2-plasma treatment for reduced nickel silicide bridging
US6586333B1 (en) * 2000-10-05 2003-07-01 Advanced Micro Devices, Inc. Integrated plasma treatment and nickel deposition and tool for performing same
WO2004001826A1 (en) * 2002-06-25 2003-12-31 Advanced Micro Devices, Inc. Method using silicide contacts for semiconductor processing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5950098A (en) * 1995-06-26 1999-09-07 Mitsubishi Denki Kabushiki Kaisha Manufacturing method of a semiconductor device with a silicide layer
US6274447B1 (en) * 1996-03-22 2001-08-14 Seiko Epson Corporation Semiconductor device comprising a MOS element and a fabrication method thereof
US6383880B1 (en) * 2000-10-05 2002-05-07 Advanced Micro Devices, Inc. NH3/N2-plasma treatment for reduced nickel silicide bridging
US6586333B1 (en) * 2000-10-05 2003-07-01 Advanced Micro Devices, Inc. Integrated plasma treatment and nickel deposition and tool for performing same
WO2004001826A1 (en) * 2002-06-25 2003-12-31 Advanced Micro Devices, Inc. Method using silicide contacts for semiconductor processing

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
CHENG L W ET AL: "Effects of nitrogen ion implantation on the formation of nickel silicide contacts on shallow junctions", THIN SOLID FILMS, ELSEVIER-SEQUOIA S.A. LAUSANNE, CH, vol. 355-356, 1 November 1999 (1999-11-01), pages 412 - 416, XP004253287, ISSN: 0040-6090 *
LEE P S ET AL: "IMPROVED NISI SALICIDE PROCESS USING PRESILICIDE N+2 IMPLANT FOR MOSFETS", IEEE ELECTRON DEVICE LETTERS, IEEE INC. NEW YORK, US, vol. 21, no. 12, December 2000 (2000-12-01), pages 566 - 568, XP000975793, ISSN: 0741-3106 *
TIEN-SHENG CHAO ET AL.: "Performance Improvement of Nickel Salicided n-Type Metal Oxide Semiconductor Field Effect Transistors by Nitrogen Implantation", JPN. J. APPL. PHYS., vol. 41, no. 4A, April 2002 (2002-04-01), pages L381 - L383, XP002271171 *

Also Published As

Publication number Publication date
AU2003272444A1 (en) 2004-04-23

Similar Documents

Publication Publication Date Title
US6838363B2 (en) Circuit element having a metal silicide region thermally stabilized by a barrier diffusion material
US6849516B2 (en) Methods of forming drain/source extension structures of a field effect transistor using a doped high-k dielectric layer
US7122410B2 (en) Polysilicon line having a metal silicide region enabling linewidth scaling including forming a second metal silicide region on the substrate
US8859408B2 (en) Stabilized metal silicides in silicon-germanium regions of transistor elements
US6630721B1 (en) Polysilicon sidewall with silicide formation to produce high performance MOSFETS
US8084312B2 (en) Nitrogen based implants for defect reduction in strained silicon
US8697530B2 (en) Drain/source extension structure of a field effect transistor with reduced boron diffusion
US20040087121A1 (en) Method of forming a nickel silicide region in a doped silicon-containing semiconductor area
US20050070082A1 (en) Semiconductor device having a nickel/cobalt silicide region formed in a silicon region
JP4846167B2 (en) Manufacturing method of semiconductor device
US8198166B2 (en) Using high-k dielectrics as highly selective etch stop materials in semiconductor devices
US7217657B2 (en) Semiconductor device having different metal silicide portions and method for fabricating the semiconductor device
US6107147A (en) Stacked poly/amorphous silicon gate giving low sheet resistance silicide film at submicron linewidths
US20050098818A1 (en) Drain/source extension structure of a field effect transistor including doped high-k sidewall spacers
US20060270202A1 (en) Technique for reducing silicide non-uniformities by adapting a vertical dopant profile
US20030186523A1 (en) Method for forming an improved metal silicide portion in a silicon-containing conductive region in an integrated circuit
WO2005045918A1 (en) Silicide formation for a semiconductor device
US20020192932A1 (en) Salicide integration process
CN113270319A (en) Method for forming semiconductor device
US7067410B2 (en) Method of forming a metal silicide
WO2004032217A1 (en) Circuit element having a metal silicide region thermally stabilized by a barrier diffusion material
KR20040008631A (en) Method for fabricating semiconductor device
EP1479100A1 (en) Method for fabricating a semiconductor device having different metal silicide portions
EP1905068A2 (en) Technique for reducing silicide non-uniformities by adapting avertical dopant profile
EP1490901A1 (en) Method for forming an improved metal silicide contact to a silicon-containing conductive region

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP