WO2003038687A2 - Optimization of the design of a synchronous digital circuit - Google Patents

Optimization of the design of a synchronous digital circuit Download PDF

Info

Publication number
WO2003038687A2
WO2003038687A2 PCT/EP2002/010750 EP0210750W WO03038687A2 WO 2003038687 A2 WO2003038687 A2 WO 2003038687A2 EP 0210750 W EP0210750 W EP 0210750W WO 03038687 A2 WO03038687 A2 WO 03038687A2
Authority
WO
WIPO (PCT)
Prior art keywords
delay value
path
value
maximum delay
combinational
Prior art date
Application number
PCT/EP2002/010750
Other languages
French (fr)
Other versions
WO2003038687A3 (en
Inventor
Hans Lindkvist
Original Assignee
Telefonaktiebolaget Lm Ericsson (Publ)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP01610111A external-priority patent/EP1308862B1/en
Application filed by Telefonaktiebolaget Lm Ericsson (Publ) filed Critical Telefonaktiebolaget Lm Ericsson (Publ)
Priority to US10/493,889 priority Critical patent/US7302657B2/en
Publication of WO2003038687A2 publication Critical patent/WO2003038687A2/en
Publication of WO2003038687A3 publication Critical patent/WO2003038687A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design

Definitions

  • the invention relates to a method of modifying the design of a synchronous digital circuit comprising a number of clocked storage devices and a number of combinational logic elements defining combinational paths between at least some of said clocked storage devices, each combinational path from an output of a first one of said clocked storage devices to an input of a second one of said clocked storage devices having a minimum delay value and a maximum delay value, such that the actual delay of said path assumes a value between the minimum delay value and the maximum delay value.
  • the invention further relates to a system for modifying the design of such a circuit, and to a computer readable medium having instructions for causing a processing unit to execute the method.
  • clock signals are used to synchronize computations.
  • Digital signals are stored in storage elements awaiting a synchronizing clock pulse.
  • the storage elements are typically interconnected by combinational logic. Each storage element delays the signal by a single clock period. Synchronizing the storage elements with clock signals reduces the uncertainty in delay between sending and receiving signals in the storage ele- ments.
  • the storage elements such as registers, latches and flip-flops, sample output signals of the combina ⁇ tional logic, preserve the values internally as the state of the circuit, and make the state available for new com ⁇ putations after a certain delay. Pushing the frequency of the clock signal of a digital synchronous circuit towards higher frequencies to obtain a higher rate of calculation in the logic has been, is still, and will most likely continue to be one of the most important optimization objectives in the design of digital synchronous circuits.
  • Zero-skew or minimal skew clock distribution is based on distributing clock signals to storage elements concentrating on ensuring a high degree of synchronism of all clock signals.
  • the clock signals are typi- cally distributed in a tree-like structure, whereby delays in different branches can be balanced to a high degree.
  • the major benefit of such schemes is that uniformity brings predictability and simplifies the overall design problem.
  • Zero-skew or minimal skew clock distribu- tion is e.g. known from US 5 122 679, US 5 852 640 and US 6 025 740. However, the performance of this kind of circuit is limited by the longest combinatorial delay among local paths between any pair of storage elements.
  • Performance tuning through intentional clock skew is also used, either through explicit designer decisions to re- distribute computation time between two pipeline stages, or through the use of special CAD tools, such as the tool "Clockwise" offered by Ultima Interconnect Technologies.
  • the theoretical limit for the performance of an intentional clock skew scheme should be defined by the mean value of the longest delays in the loop having the high- est mean value of the longest delays. However, practice has shown that the highest obtainable clock frequency is considerably lower than the theoretical limit, because it is also limited by other factors.
  • This relocation of registers is a very complex process for complicated circuits, because the relocation of a register typically requires the use of several new registers to replace the one that was relocated.
  • the object is achieved in that the method comprises the steps of identifying the combinational path having the largest difference between the maximum delay value and the minimum delay value, and re- ducing said difference between the maximum delay value and the minimum delay value by increasing the minimum delay value for said combinational path having the largest difference .
  • the minimum delay value of a combinational path can often be increased easily, and thus this is a very simple way of reducing the difference between the maximum delay value and the minimum delay value. Since the greatest one of these difference values can be shown to be the lower limit for the usable clock period, a reduction will allow a shorter clock period and thus a higher clock frequency of the circuit.
  • the step of increasing the minimum delay value for a combinational path is performed by inserting a number of buffers in the combinational path, a very simple and cost effective method is achieved.
  • the method further comprises the steps of identifying among sequential paths from an input to an output of the circuit and sequential paths defining loops in the circuit the sequential path having the highest mean value of the maximum delay values, calculating said highest mean value of the maximum delay values, identifying those paths for which the difference between the maximum delay value and the minimum delay value exceeds said highest mean value of the maximum delay values, and reducing said differences exceeding the highest mean value of the maximum delay values to be less than or equal to said highest mean value of the maximum delay values, it is possible to design circuits that can be clocked with the highest pos- sible clock frequency, because the highest mean value of the maximum delay values is the lower limit for the clock period for a circuit where the input and the output should be clocked simultaneously, or for circuits in which loops occur due to feed-back couplings.
  • the invention also relates to a system for modifying the design of a synchronous digital circuit comprising a number of clocked storage devices and a number of combinational logic elements defining combina- tional paths between at least some of said clocked storage devices, each combinational path from an output of a first one of said clocked storage devices to an input of a second one of said clocked storage devices having a minimum delay value and a maximum delay value, such that the actual delay of said path assumes a value between the minimum delay value and the maximum delay value.
  • the system comprises means for identifying the combinational path having the greatest difference between the maximum delay value and the minimum delay value, and means for reducing said difference between the maximum delay value and the minimum delay value by increasing the minimum delay value for said combinational path having the largest difference
  • the system will be able to increase the clock frequency of a synchronous digital cir- cuit in a relatively simple way without the use of the very complex or power consuming methods mentioned above.
  • the minimum delay value of a combinational path can often be increased easily, and thus this is a very simple way of reducing the difference between the maximum delay value and the minimum delay value. Since the greatest one of these difference values can be shown to be the lower limit for the usable clock period, a reduction will allow a shorter clock period and thus a higher clock frequency of the circuit.
  • the system When the system is adapted to calculate the largest difference in case of parallel paths as the difference between the highest maximum delay value and the lowest minimum delay value, it is ensured that the situation where one path has the lowest minimum delay value and another the highest maximum delay value is also taken into account.
  • the system is further adapted to calculate the maximum delay value for a sequential path as the sum of the maximum delay values for the paths comprised in the sequential path, and to calculate the minimum delay value for a sequential path as the sum of the minimum delay values for the paths comprised in the sequential path, also this situation can be taken into account.
  • the system further comprises means for identifying among sequential paths from an input to an output of the circuit and sequential paths defining loops in the circuit the sequential path having the highest mean value of the maximum delay values, means for calculating said highest mean value of the maximum delay values, means for identifying those paths for which the difference between the maximum delay value and the minimum delay value exceeds said highest mean value of the maximum delay values, and means for reducing said differences exceeding the highest mean value of the maximum delay values to be less than or equal to said highest mean value of the maximum delay values, it is possible to design circuits that can be clocked with the highest possible clock frequency, because the highest mean value of the maximum delay values is the lower limit for the clock period for a circuit where the input and the output should be clocked simultaneously, or for circuits in which loops occur due to feed-back couplings.
  • the invention further relates to a computer readable medium having stored therein instructions for causing a processing unit to execute the above method.
  • a system as described above can be implemented on a normal computer.
  • figure 1 shows a synchronous digital circuit in which the invention can be applied
  • figure 2 shows an example of a combinational circuit that can be used in the circuit of figure 1,
  • figure 3 shows the circuit of figure 1 with examples of specific values of the delays
  • figure 4 shows a timing diagram for the circuit of figure 3
  • figure 5 shows an alternative timing diagram for the circuit of figure 3
  • figure 6 shows the circuit of figure 3 modified with intentional clock skew
  • figure 7 shows a timing diagram for the circuit of figure 6
  • figure 8 shows a table of difference values calculated for the circuit of figure 6
  • figure 9 shows the combinational circuit of figure 2 modified to have a longer minimum delay value
  • figure 10 shows a table of difference values calculated with extended minimum delay values
  • figure 11 shows the circuit of figure 6 modified according to the table of figure 10
  • figure 12 shows a timing diagram for the circuit of figure 11
  • figure 13 shows an alternative table of difference values calculated with extended minimum delay values
  • figure 14 shows the circuit of figure 6 modified according to the table of figure 13,
  • figure 15 shows a timing diagram for the circuit of figure 14
  • figure 16 shows the circuit of figure 1 with alternative examples of specific values of the delays
  • figure 17 shows a timing diagram for the circuit of figure 16
  • figure 18 shows a table of difference values calculated for the circuit of figure 16
  • figure 19 shows a timing diagram for the circuit of figure 16 modified with intentional clock skew
  • figure 20 shows a table of difference values for the cir- cuit of figure 16 calculated with extended minimum delay value for one path
  • figure 21 shows a timing diagram for the circuit of figure 16 modified according to the table of figure 20,
  • figure 22 shows a table of difference values for the cir ⁇ cuit of figure 16 calculated with extended minimum delay values for two paths
  • figure 23 shows the circuit of figure 16 modified according to the table of figure 22, and
  • figure 24 shows a timing diagram for the circuit of figure 23.
  • Figure 1 illustrates an example of a synchronous digital circuit 1 having four registers 2, 3, 4, and 5 and four blocks of combinational logic 6, 7, 8 and 9.
  • the regis- ters 2, 3, 4, and 5 are also designated FF a , FF b , FF and FF d , and they are clocked from a clock source 10.
  • the clock is subjected to certain insertion delays ⁇ a , ⁇ b , ⁇ c and ⁇ d , indicated by the delay blocks 11, 12, 13 and 14, as it is distributed to the registers.
  • Each of the combinational logic blocks 6, 7, 8 and 9 delays the digital signals passing through them.
  • the delay of a combinational logic block i.e. the delay from the output of one register to the input of another register, may vary between a shortest combinational delay D m ⁇ n and a longest combinational delay D max .
  • the delay of e.g. the block 6, i.e. the delay from the output of register FF a to the input of register FF b may vary between a shortest combinational delay D m ⁇ n[a ,b] and a longest combinational delay D max[a , b] .
  • D mln is considerably smaller than D max which is illustrated by the combinational circuit 15 shown in figure 2 which could be any of the combinational logic blocks 6, 7, 8 and 9 in figure 1.
  • the circuit 15 is con- nected between the output of a register 16 and the input of another register 17, and it is further connected to two external signals 18 and 19. These signals may be asynchronous signals or synchronous signals. However, we assume that they are stable when a change propagates through the circuit.
  • the circuit 15 comprises the AND gates 20, 21 and 22 and an inverter 23. Each gate and inverter is supposed to have a delay of one nanosecond. It is seen that if the output from register 16 e.g.
  • D m ⁇ n[ ⁇ s,i7 ] is 1 ns.
  • the output signal will also depend on the external signals 18 and 19, the gates 20, 21 and the inverter 23, and it will have to propagate through all gates/inverters before the output is ready. This will take 4 ns and thus D max[ ⁇ 6 ,i7] is 4 ns.
  • Figure 3 shows the circuit of figure 1 with specific values of the longest and shortest combinational delays and of the insertion delays of the clock signals. It is seen that all four insertion delays are set to 10 ns corresponding to a traditional zero-skew clocking scheme.
  • Figure 4 illustrates how the timing of the circuit could be.
  • the clock frequency is chosen to 25 MHz, corresponding to a clock period of 40 ns, which is well below the maximum clock frequency of the circuit.
  • the time t 0 all four registers are clocked, and their out- put signals are ready.
  • D m i n[a ,b] is 1 ns
  • D max[a , b) is 5 ns, which means that the input of FF may change already after 1 ns but it may also take up to 5 ns before it is ready. This is illus- trated by the shaded area in the upper part of figure 4.
  • the signal from FF b to FF C will arrive between 1 and 3 ns, the signal from FF C to FF d also between 1 and 3 ns, and the signal from FF b to FF d (i.e. the direct route) between 3 and 20 ns, which is also illustrated with shaded areas. It is seen that after 20 ns (i.e. D max[b ,d]) all input signals to the registers are ready for the next clock pulse to arrive.
  • the clock period (T) in figure 4 can be reduced from the 40 ns to any value down to 20 ns . Values below 20 ns are not possible because FF d should not be clocked before its input signal is guaranteed to be ready.
  • Intentional clock skew means that the registers are allowed to be clocked at different times, i.e. the registers will have different ⁇ values.
  • Registers FF a and FF d will normally have to be clocked simultaneously because they represent the input and the output of the entire circuit, but it is seen from figure 5 that the registers FF and FF C may be clocked earlier, because the data at their inputs have been ready for 15 and 17 ns, respectively, prior to the arrival of the clock pulse. Especially, if FF b is clocked earlier, the data at the input of FF d would be ready earlier, and
  • FFi may be clocked later than FF 3 (positive skew), but not more than T - D max(1 , j] , because then the data would not reach FFj before the next clock sig- nal.
  • FFi may be clocked before FF D (negative skew), but not more than D min[1 , 3] , because then the data would reach FF- j before it is clocked, i.e. a race condition would occur.
  • the requirement (1) can be used to calculate the smallest usable T. Since (1) must be true for any values of i, j, i.e. for any path from the output of one register to the input of another, it also must be true for combined paths. Thus ⁇ ( ⁇ , - ⁇ ) ⁇ ⁇ (T - D max[ , j] ) for any combined path.
  • the circuit of figure 3 has two combined paths from its input to its output, i.e. the paths a - b - d (which will be used in the following to denote the path from register FF a through register FF to register FF d ) and a - b - c - d, and thus
  • n the number of paths in the combined path.
  • the lowest value of T will instead be limited by this expression. This is also called the "stiffness" of the circuit. Since also this expression must be true for any path of the circuit, the lowest usable clock period can be found by calculating the difference D max - D m ⁇ n for each combinational block in the circuit.
  • the limiting value is then calculated as the highest ⁇ D max minus the lowest ⁇ D m ⁇ n divided by the number of clock periods (n max ) in the route with the highest ⁇ D max .
  • the clock period which can be obtained by intentional clock skewing is limited by the formula
  • D max [ a , b ] + D raax(b(d] must be less than two clock pe- riods, or in other words the clock period cannot be less than the mean value of D max for the path having the long ⁇ est total delay, as has been mentioned earlier.
  • the clock period can be reduced further.
  • An example is illustrated in figures 13, 14 and 15 in which the clock period has been reduced to 8 ns corresponding to a clock frequency of 125 MHz.
  • the clock skew exceeds the clock period, and this will only be possible when no external circuits require synchronism between the input and the output.
  • the difference values according to (5) are calculated in the table of figure 18.
  • the highest difference value is 17 ns for the path b - c, and thus with the conventional intentional skew scheme the clock period can be reduced to 17 ns as shown in the timing diagram of figure 19.
  • the highest difference value of 17 ns for the path b - c must be reduced to 10 ns, and therefore Dmin[b,ci is increased to 10 ns .
  • the result is shown in fig ⁇ ures 20 and 21, from which it will be seen that now the difference value for the path b - d/b - c - d (11 ns) is the limiting factor. Consequently, also this difference value has to be reduced, and D min( , dl is therefore in ⁇ creased to 3 ns .
  • the final result is shown in the table of figure 22 and the corresponding circuit in figure 23. The timing is illustrated in figure 24.
  • the idea of the invention is to reduce the clock period, and thus increase the clock frequency, but not necessarily as much as possible.
  • the clock period could be reduced from 17 ns to 10 ns . If, for example, a clock period of 15 ns is needed, a good and safe solution could be to extend D mi n[b, j from 3 ns to 6 ns, which would allow a clock period of 14 ns, thus providing one extra nanosecond as a safety margin.
  • the invention as described above can be used in the design of a circuit from the begin- ning, or it can be used to improve an existing circuit.
  • a circuit can be designed by using the existing methods of clock skew scheduling while ignoring the expression (5) in order to obtain an optimal schedule. Then afterwards those of the shortest delays showing a race condition can be increased according to the invention.

Abstract

The design of a synchronous digital circuit (1) can be modified. The circuit comprises a number of clocked storage devices (2, 3, 4, 5, ) and a number of combinational logic elements defining combinational paths (6, 7, 8, 9,) between at least some of said clocked storage devices. Each combinational path from an output of one clocked storage device to an input of another has a minimum delay value (Dmin) and a maximum delay value (Dmax). The actual delay of the path assumes a value between the minimum and maximum delay values. The method comprises the steps of identifying the path (6; 7; 8; 9) having the greatest difference between the maximum delay value (Dmax) and the minimum delay value (DMIN), and reducing said difference by increasing the minimum delay value for the path having the greatest difference. With the method a higher clock frequency for the circuit can be achieved.

Description

Optimization of the design of a synchronous digital circuit
Technical Field of the Invention
The invention relates to a method of modifying the design of a synchronous digital circuit comprising a number of clocked storage devices and a number of combinational logic elements defining combinational paths between at least some of said clocked storage devices, each combinational path from an output of a first one of said clocked storage devices to an input of a second one of said clocked storage devices having a minimum delay value and a maximum delay value, such that the actual delay of said path assumes a value between the minimum delay value and the maximum delay value. The invention further relates to a system for modifying the design of such a circuit, and to a computer readable medium having instructions for causing a processing unit to execute the method.
Description of Related Art
In digital synchronous circuits clock signals are used to synchronize computations. Digital signals are stored in storage elements awaiting a synchronizing clock pulse. The storage elements are typically interconnected by combinational logic. Each storage element delays the signal by a single clock period. Synchronizing the storage elements with clock signals reduces the uncertainty in delay between sending and receiving signals in the storage ele- ments. The storage elements, such as registers, latches and flip-flops, sample output signals of the combina¬ tional logic, preserve the values internally as the state of the circuit, and make the state available for new com¬ putations after a certain delay. Pushing the frequency of the clock signal of a digital synchronous circuit towards higher frequencies to obtain a higher rate of calculation in the logic has been, is still, and will most likely continue to be one of the most important optimization objectives in the design of digital synchronous circuits.
Most current schemes for optimizing the maximal clock frequency of digital circuits are focused on circuits with so-called zero-skew or minimal skew clock distribution. This zero-skew or minimal skew clock distribution is based on distributing clock signals to storage elements concentrating on ensuring a high degree of synchronism of all clock signals. The clock signals are typi- cally distributed in a tree-like structure, whereby delays in different branches can be balanced to a high degree. The major benefit of such schemes is that uniformity brings predictability and simplifies the overall design problem. Zero-skew or minimal skew clock distribu- tion is e.g. known from US 5 122 679, US 5 852 640 and US 6 025 740. However, the performance of this kind of circuit is limited by the longest combinatorial delay among local paths between any pair of storage elements.
Alternatives to the zero- and minimal-skew clock distribution scheme exist, but are less frequently used. In unidirectional pipelines it is common practice to distribute the clock signal in the direction opposite to the data flow. However, complex ASIC designs are rarely suit- able for this method, since their data flow is complex and irregular.
Performance tuning through intentional clock skew is also used, either through explicit designer decisions to re- distribute computation time between two pipeline stages, or through the use of special CAD tools, such as the tool "Clockwise" offered by Ultima Interconnect Technologies. The theoretical limit for the performance of an intentional clock skew scheme should be defined by the mean value of the longest delays in the loop having the high- est mean value of the longest delays. However, practice has shown that the highest obtainable clock frequency is considerably lower than the theoretical limit, because it is also limited by other factors.
The intentional clock skew scheme is also used in combination with other methods. H. Sathya urthy et al, "Speeding up Pipelined Circuits through a Combination of Gate Sizing and Clock Skew Optimization" describes an algorithm in which manipulation of clock skew is combined with gate sizing, i.e. reduction of the delay of e.g. a gate by changing the dimensions of the transistors of the gate. However, gate sizing implies an increased circuit area and a higher power dissipation of the circuit. T. Soyata et al, "Integration of Clock Skew and Register De- lays into a Retiming Algorithm" (0-7803-1254-5/93), IEEE, 1993 combines the use of clock skew with a retiming process in which registers of a synchronous circuit are relocated within the circuit in order to achieve a higher clock frequency. This relocation of registers is a very complex process for complicated circuits, because the relocation of a register typically requires the use of several new registers to replace the one that was relocated.
Therefore, it is an object of the invention to provide a method of the above-mentioned type in which the clock frequency of a synchronous digital circuit can be increased in a relatively simple way without the use of the very complex or power consuming methods mentioned above.
Summary According to the invention the object is achieved in that the method comprises the steps of identifying the combinational path having the largest difference between the maximum delay value and the minimum delay value, and re- ducing said difference between the maximum delay value and the minimum delay value by increasing the minimum delay value for said combinational path having the largest difference .
The minimum delay value of a combinational path can often be increased easily, and thus this is a very simple way of reducing the difference between the maximum delay value and the minimum delay value. Since the greatest one of these difference values can be shown to be the lower limit for the usable clock period, a reduction will allow a shorter clock period and thus a higher clock frequency of the circuit.
When the greatest difference in case of parallel paths is calculated as the difference between the highest maximum delay value and the lowest minimum delay value, it is ensured that the situation where one path has the lowest minimum delay value and another the highest maximum delay value is also taken into account. When further the maxi- mum delay value for a sequential path is calculated as the sum of the maximum delay values for the paths comprised in the sequential path, and the minimum delay value for a sequential path is calculated as the sum of the minimum delay values for the paths comprised in the sequential path, also this situation can be taken into account .
When the step of increasing the minimum delay value for a combinational path is performed by inserting a number of buffers in the combinational path, a very simple and cost effective method is achieved. When the method further comprises the steps of identifying among sequential paths from an input to an output of the circuit and sequential paths defining loops in the circuit the sequential path having the highest mean value of the maximum delay values, calculating said highest mean value of the maximum delay values, identifying those paths for which the difference between the maximum delay value and the minimum delay value exceeds said highest mean value of the maximum delay values, and reducing said differences exceeding the highest mean value of the maximum delay values to be less than or equal to said highest mean value of the maximum delay values, it is possible to design circuits that can be clocked with the highest pos- sible clock frequency, because the highest mean value of the maximum delay values is the lower limit for the clock period for a circuit where the input and the output should be clocked simultaneously, or for circuits in which loops occur due to feed-back couplings.
As mentioned, the invention also relates to a system for modifying the design of a synchronous digital circuit comprising a number of clocked storage devices and a number of combinational logic elements defining combina- tional paths between at least some of said clocked storage devices, each combinational path from an output of a first one of said clocked storage devices to an input of a second one of said clocked storage devices having a minimum delay value and a maximum delay value, such that the actual delay of said path assumes a value between the minimum delay value and the maximum delay value.
When the system comprises means for identifying the combinational path having the greatest difference between the maximum delay value and the minimum delay value, and means for reducing said difference between the maximum delay value and the minimum delay value by increasing the minimum delay value for said combinational path having the largest difference, the system will be able to increase the clock frequency of a synchronous digital cir- cuit in a relatively simple way without the use of the very complex or power consuming methods mentioned above. The minimum delay value of a combinational path can often be increased easily, and thus this is a very simple way of reducing the difference between the maximum delay value and the minimum delay value. Since the greatest one of these difference values can be shown to be the lower limit for the usable clock period, a reduction will allow a shorter clock period and thus a higher clock frequency of the circuit.
When the system is adapted to calculate the largest difference in case of parallel paths as the difference between the highest maximum delay value and the lowest minimum delay value, it is ensured that the situation where one path has the lowest minimum delay value and another the highest maximum delay value is also taken into account. When the system is further adapted to calculate the maximum delay value for a sequential path as the sum of the maximum delay values for the paths comprised in the sequential path, and to calculate the minimum delay value for a sequential path as the sum of the minimum delay values for the paths comprised in the sequential path, also this situation can be taken into account.
When the system is adapted to increase the minimum delay value for a combinational path by the insertion of a number of buffers in the combinational path, a simple and cost effective system is achieved.
When the system further comprises means for identifying among sequential paths from an input to an output of the circuit and sequential paths defining loops in the circuit the sequential path having the highest mean value of the maximum delay values, means for calculating said highest mean value of the maximum delay values, means for identifying those paths for which the difference between the maximum delay value and the minimum delay value exceeds said highest mean value of the maximum delay values, and means for reducing said differences exceeding the highest mean value of the maximum delay values to be less than or equal to said highest mean value of the maximum delay values, it is possible to design circuits that can be clocked with the highest possible clock frequency, because the highest mean value of the maximum delay values is the lower limit for the clock period for a circuit where the input and the output should be clocked simultaneously, or for circuits in which loops occur due to feed-back couplings.
As mentioned, the invention further relates to a computer readable medium having stored therein instructions for causing a processing unit to execute the above method. With this medium a system as described above can be implemented on a normal computer.
Brief Description of the Drawings
The invention will now be described more fully below with reference to the drawings, in which
figure 1 shows a synchronous digital circuit in which the invention can be applied,
figure 2 shows an example of a combinational circuit that can be used in the circuit of figure 1,
figure 3 shows the circuit of figure 1 with examples of specific values of the delays, figure 4 shows a timing diagram for the circuit of figure 3,
figure 5 shows an alternative timing diagram for the circuit of figure 3,
figure 6 shows the circuit of figure 3 modified with intentional clock skew,
figure 7 shows a timing diagram for the circuit of figure 6,
figure 8 shows a table of difference values calculated for the circuit of figure 6,
figure 9 shows the combinational circuit of figure 2 modified to have a longer minimum delay value,
figure 10 shows a table of difference values calculated with extended minimum delay values,
figure 11 shows the circuit of figure 6 modified according to the table of figure 10,
figure 12 shows a timing diagram for the circuit of figure 11,
figure 13 shows an alternative table of difference values calculated with extended minimum delay values,
figure 14 shows the circuit of figure 6 modified according to the table of figure 13,
figure 15 shows a timing diagram for the circuit of figure 14, figure 16 shows the circuit of figure 1 with alternative examples of specific values of the delays,
figure 17 shows a timing diagram for the circuit of figure 16,
figure 18 shows a table of difference values calculated for the circuit of figure 16,
figure 19 shows a timing diagram for the circuit of figure 16 modified with intentional clock skew,
figure 20 shows a table of difference values for the cir- cuit of figure 16 calculated with extended minimum delay value for one path,
figure 21 shows a timing diagram for the circuit of figure 16 modified according to the table of figure 20,
figure 22 shows a table of difference values for the cir¬ cuit of figure 16 calculated with extended minimum delay values for two paths,
figure 23 shows the circuit of figure 16 modified according to the table of figure 22, and
figure 24 shows a timing diagram for the circuit of figure 23.
Detailed Description of Embodiments
Figure 1 illustrates an example of a synchronous digital circuit 1 having four registers 2, 3, 4, and 5 and four blocks of combinational logic 6, 7, 8 and 9. The regis- ters 2, 3, 4, and 5 are also designated FFa, FFb, FF and FFd, and they are clocked from a clock source 10. The clock is subjected to certain insertion delays δa, δb, δc and δd, indicated by the delay blocks 11, 12, 13 and 14, as it is distributed to the registers.
Each of the combinational logic blocks 6, 7, 8 and 9 delays the digital signals passing through them. The delay of a combinational logic block, i.e. the delay from the output of one register to the input of another register, may vary between a shortest combinational delay Dmιn and a longest combinational delay Dmax. Thus the delay of e.g. the block 6, i.e. the delay from the output of register FFa to the input of register FFb, may vary between a shortest combinational delay Dmιn[a,b] and a longest combinational delay Dmax[a,b] .
Very often Dmln is considerably smaller than Dmax which is illustrated by the combinational circuit 15 shown in figure 2 which could be any of the combinational logic blocks 6, 7, 8 and 9 in figure 1. The circuit 15 is con- nected between the output of a register 16 and the input of another register 17, and it is further connected to two external signals 18 and 19. These signals may be asynchronous signals or synchronous signals. However, we assume that they are stable when a change propagates through the circuit. The circuit 15 comprises the AND gates 20, 21 and 22 and an inverter 23. Each gate and inverter is supposed to have a delay of one nanosecond. It is seen that if the output from register 16 e.g. changes from a "1" to a "0" in a situation where the output of the circuit 15 is a "1", the output will change to a "0" independent of the rest of the circuit already after 1 ns because the signal only has to propagate through gate 22. Thus Dmιn[ιs,i7] is 1 ns. In other situations, however, the output signal will also depend on the external signals 18 and 19, the gates 20, 21 and the inverter 23, and it will have to propagate through all gates/inverters before the output is ready. This will take 4 ns and thus Dmax[ι6,i7] is 4 ns.
Figure 3 shows the circuit of figure 1 with specific values of the longest and shortest combinational delays and of the insertion delays of the clock signals. It is seen that all four insertion delays are set to 10 ns corresponding to a traditional zero-skew clocking scheme. Figure 4 illustrates how the timing of the circuit could be.
It should be noted that for reasons of simplicity the time required for the data at the input of a register to latch, i.e. the set-up time, and the time required for the data to appear at the output of the register upon ar- rival of the clock signal are not taken into account. The same is true for the hold time of the register. In practice these times should also be considered, which will complicate the exact calculations but not change any of the following conclusions.
In the example the clock frequency is chosen to 25 MHz, corresponding to a clock period of 40 ns, which is well below the maximum clock frequency of the circuit. At the time t = 0 all four registers are clocked, and their out- put signals are ready. If we look at the combinational logic block connecting the output of FFa to the input of FFb, Dmin[a,b] is 1 ns and Dmax[a,b) is 5 ns, which means that the input of FF may change already after 1 ns but it may also take up to 5 ns before it is ready. This is illus- trated by the shaded area in the upper part of figure 4. Similarly, the signal from FFb to FFC will arrive between 1 and 3 ns, the signal from FFC to FFd also between 1 and 3 ns, and the signal from FFb to FFd (i.e. the direct route) between 3 and 20 ns, which is also illustrated with shaded areas. It is seen that after 20 ns (i.e. Dmax[b,d]) all input signals to the registers are ready for the next clock pulse to arrive.
Thus the clock period (T) in figure 4 can be reduced from the 40 ns to any value down to 20 ns . Values below 20 ns are not possible because FFd should not be clocked before its input signal is guaranteed to be ready. The situation with the clock period reduced to 20 ns, i.e. the clock frequency increased to 50 MHz, is shown in figure 5. It is supposed that Dmax[b,d] cannot be reduced below the 20 ns, and thus 50 MHz is the highest obtainable clock frequency when zero-skew is used.
However, intentional clock skew allows the clock fre- quency to be increased further. Intentional clock skew means that the registers are allowed to be clocked at different times, i.e. the registers will have different δ values. Registers FFa and FFd will normally have to be clocked simultaneously because they represent the input and the output of the entire circuit, but it is seen from figure 5 that the registers FF and FFC may be clocked earlier, because the data at their inputs have been ready for 15 and 17 ns, respectively, prior to the arrival of the clock pulse. Especially, if FFb is clocked earlier, the data at the input of FFd would be ready earlier, and
FFd could thus be clocked earlier with a reduction of the clock period being the result.
Although FFa and FFd are normally clocked simultaneously, as mentioned, it is noted that this is not a necessary condition for the following considerations.
The basic requirements for clock scheduling for the circuit to function correctly can be formulated in the fol- lowing expressions for all values of i, j where there is a combinational path from the output of register i to the input of register j, and where T is the clock cycle time:
δ, - δ, < T- D→j] (1) δ, - c} > -Dm,„[,j]. ( 2 )
According to (1) FFi may be clocked later than FF3 (positive skew), but not more than T - Dmax(1,j], because then the data would not reach FFj before the next clock sig- nal. According to (2) FFi may be clocked before FFD (negative skew), but not more than Dmin[1,3], because then the data would reach FF-j before it is clocked, i.e. a race condition would occur.
The requirement (1) can be used to calculate the smallest usable T. Since (1) must be true for any values of i, j, i.e. for any path from the output of one register to the input of another, it also must be true for combined paths. Thus ∑(δ, - δ) < ∑(T - Dmax[,j]) for any combined path.
As an example, the circuit of figure 3 has two combined paths from its input to its output, i.e. the paths a - b - d (which will be used in the following to denote the path from register FFa through register FF to register FFd) and a - b - c - d, and thus
a - δb) + (c% - δd) ≤(T - Dma ,bj) + (T - Dmax[b,d]) and
a - δb) + (δb - δc) +(δc - δ(f) ≤(T - DmaX[a.b]) + (T - Dmaxfb,cj) + (T - Dmax[C,d]).
Since δa is supposed to be equal to δd, as mentioned above, these expressions can be rewritten to:
δa - δd = 0 ≤2T - (Dmax[a,b] + Dmaxβ,dj) and a " δd = 0 <3T - (Dmax[a,bj) + Dmax[b,c] + Dmaχ[c,dj),
or
T ≥ (Dmaxfa,bJ + Dmax[b.d]) / and
T ' ≥ (Dmaxfa.bj) + Dmax[b,c] + Dmax[c ]) / 3.
Generally, the expression
T≥Σ(D→ ]) /n ( 3 )
must be true for any combination of paths, where n is the number of paths in the combined path.
This means that the clock period must be selected higher than the mean value of Dmax for a loop or a path from input to output of the circuit, and since this must be true for any such path, T must be greater than the mean value of Dmax for the loop/path with the highest mean value of the Dmax values. For the circuit of figure 3 this means that T > (5 ns + 20 ns) / 2 = 12.5 ns. Thus the smallest obtainable value of T can be calculated for any circuit of the above-mentioned type from these expressions.
The idea behind intentional clock skew is that the combinational block having the longest Dmax in the loop or path with the longest total sum of the Dmax values can "borrow" some of the time not utilized (so-called slack) by the other blocks of that loop/path, as long as the above requirement (3) is fulfilled.
Therefore, ideally it should be possible to reduce the clock period of the circuit of figure 3 to 12.5 ns . How- ever, it is easily seen from figure 5 that this would cause a race condition to occur because the signal going from FFb via FFC to FFd would arrive at FFd too early. Intuitively it can be seen that in order to avoid this race condition the intentional clock skew must be limited to the values which are shown in figures 6 and 7, because the clock frequency is actually also limited by the shortest combinational delays, not only the longest. The clock period can only be reduced to 18 ns corresponding to a clock frequency of 55.6 MHz. Although a clock period of 18 ns is better than the original 20 ns, it is still far from the ideal value of 12.5 ns .
It will be seen from figure 7 that the problem is not that the combinational logic connecting FFb to FFd has a long Dmax, but rather the big difference between Dmax and Dmιn (including the parallel route via FFC) , because a clock period below this difference is not possible when race conditions are to be avoided. This can also be seen from the requirements (1) and (2). When requirements (1) and (2) are combined, it is found for any values of i, j that:
-Dmmf. ] ≤T - Dmax[,j], or T ≥ Dmax[,j] -Dmmf,j]. ( 4 )
Thus if the ideal lowest value of T calculated above vio- lates (4), the lowest value of T will instead be limited by this expression. This is also called the "stiffness" of the circuit. Since also this expression must be true for any path of the circuit, the lowest usable clock period can be found by calculating the difference Dmax - Dmιn for each combinational block in the circuit. In case of parallel and/or sequential routes (like FFt - FFd and FF - FFC - FFd in the example of figures 3 and 6) the sum of the Dmax values and the sum of the Dmιn values for each route are calculated, and then -∑Dmιn[1,3] < (nmax T) - ∑Dmaxti,]] must be true for any of the parallel routes, where nmax is the number of sequential paths, i.e. the number of clock periods in the route for which ∑Dmax[1/;)] is calculated. The limiting value is then calculated as the highest Σ Dmax minus the lowest Σ Dmιn divided by the number of clock periods (nmax) in the route with the highest Σ Dmax. Thus the clock period which can be obtained by intentional clock skewing is limited by the formula
Figure imgf000018_0001
Wmax . ( 5 )
In the table of figure 8 the difference values (Diff) according to (5) has been calculated for each combinational block in the circuit (Comb) , and as the highest difference value is 18 ns, this will also be the limit for T in good correspondence with figure 7.
It follows from the above that if the clock period should be reduced further, the mentioned difference values also need to be reduced. It is supposed that the Dmax values cannot be reduced, or they are supposed to be reduced al- ready as much as they can. However, according to the in¬ vention it will often be possible to increase the Dmιn values without increasing the Dmax values, and that has the desired effect of reducing the difference values. Figure 9 shows an example of how this can be done with the circuit from figure 2. In figure 9 the circuit has been modified by the insertion of two buffers 24 and 25 between the input of the circuit and the AND gate 22. When these buffers have a delay of 1 ns similar to the other gates, it is seen that Dmιn for the circuit is in- creased from 1 ns to 3 ns while Dmax is unchanged 4 ns . Thus the difference value for the circuit has been re¬ duced from 3 ns to 1 ns . It can be seen from the table of figure 8 that in order to obtain the ideal value of the clock period of 12.5 ns corresponding to a clock frequency of 80 MHz defined by the longest delays as mentioned above, the Dmm value for the path b - c - d must be extended to 7.5 ns . To avoid path b - d from becoming the new restriction, its Dmin value must be extended to 7.5 ns as well. Since Dmax must be greater than or equal to Dmιn for any path, Dmax[b,c) must also be extended, but that can be done without any influ- ence on the result, because the value is small compared to the longest delays. The result is shown in the table of figure 10. The corresponding circuit and timing diagram are shown in figures 11 and 12.
It will also be seen from figure 12 why the clock period cannot be reduced to values below 12.5 ns when the registers FFa and FFd have to be clocked simultaneously, as will normally be a requirement from surrounding circuitry. Dmax[a,b] + Draax(b(d] must be less than two clock pe- riods, or in other words the clock period cannot be less than the mean value of Dmax for the path having the long¬ est total delay, as has been mentioned earlier.
If, however, this requirement does not exist, the clock period can be reduced further. An example is illustrated in figures 13, 14 and 15 in which the clock period has been reduced to 8 ns corresponding to a clock frequency of 125 MHz. However it must be noted that in this example the clock skew exceeds the clock period, and this will only be possible when no external circuits require synchronism between the input and the output.
To illustrate the calculation of the obtainable clock pe¬ riods, another example will be briefly described. Figure 16 shows a circuit similar to that of figure 3, but now the longest delay is located between FFb and FF-. With zero-skew clocking the shortest clock period is again 20 ns because Dmax(b,c) = 20 ns, and the timing is shown in figure 17.
First the optimal clock period according to (3) is calculated. If it is again supposed that FFa and FFd must be clocked simultaneously, the path with the longest delay is a - b - c - d, and the mean value of Dmax for this path is (7 + 20 + 3) / 3 = 10 ns, and thus the clock period cannot be reduced below this value.
The difference values according to (5) are calculated in the table of figure 18. Here it is especially noted that the value for the two parallel paths from FFb to FFd is calculated as ( (20 + 3) - 1) / 2 = 11 ns according to formula (5) above, because the highest sum of the Dmax values has two components. The highest difference value is 17 ns for the path b - c, and thus with the conventional intentional skew scheme the clock period can be reduced to 17 ns as shown in the timing diagram of figure 19.
In order to reduce the clock period further according to the invention, the highest difference value of 17 ns for the path b - c must be reduced to 10 ns, and therefore Dmin[b,ci is increased to 10 ns . The result is shown in fig¬ ures 20 and 21, from which it will be seen that now the difference value for the path b - d/b - c - d (11 ns) is the limiting factor. Consequently, also this difference value has to be reduced, and Dmin( ,dl is therefore in¬ creased to 3 ns . The final result is shown in the table of figure 22 and the corresponding circuit in figure 23. The timing is illustrated in figure 24.
In the above examples it has been described how much the clock period can be reduced. However, it should be men- tioned that the idea of the invention is to reduce the clock period, and thus increase the clock frequency, but not necessarily as much as possible. In the example just mentioned above, the clock period could be reduced from 17 ns to 10 ns . If, for example, a clock period of 15 ns is needed, a good and safe solution could be to extend Dmin[b, j from 3 ns to 6 ns, which would allow a clock period of 14 ns, thus providing one extra nanosecond as a safety margin.
As illustrated in figure 9, one way of increasing the shortest delay between two registers is to insert one or more cascaded buffers somewhere in the combinational path between the two registers. However, several other possi- bilities exist, and some of them are:
• cascaded buffers at the output of sending register
• cascaded buffers at the input of receiving register
• resizing and rearranging combinatorial gates • latch immediately downstream of the output of the sending register
• latch immediately upstream of the input of the receiving register
• replacing sending register with one with built-in second slave stage, i.e. sending out on opposite edge compared to the receiver sampling and its own input
• replacing receiving register with one with built-in second master stage, i.e. sampling on opposite edge compared to the sender and its own output
• a combination of any of the above.
It should be noted that the invention as described above can be used in the design of a circuit from the begin- ning, or it can be used to improve an existing circuit.
Thus a circuit can be designed by using the existing methods of clock skew scheduling while ignoring the expression (5) in order to obtain an optimal schedule. Then afterwards those of the shortest delays showing a race condition can be increased according to the invention.
Although a preferred embodiment of the present invention has been described and shown, the invention is not restricted to it, but may also be embodied in other ways within the scope of the subject-matter defined in the following claims.

Claims

P a t e n t C l a i m s :
1. A method of modifying the design of a synchronous digital circuit (1) comprising a number of clocked storage devices (2, 3, 4, 5) and a number of combinational logic elements defining combinational paths (6, 7, 8, 9) between at least some of said clocked storage devices, each combinational path from an output of a first one of said clocked storage devices to an input of a second one of said clocked storage devices having a minimum delay value (Dmιn) and a maximum delay value (Dmax) , such that the actual delay of said path assumes a value between the minimum delay value and the maximum delay value, c h a r a c t e r i z e d in that the method comprises the steps of
• identifying the combinational path (6; 7; 8; 9) having the greatest difference between the maximum delay value (Dmax) and the minimum delay value (Dmιrι) , and
• reducing said difference between the maximum delay value and the minimum delay value by increasing the minimum delay value (Dmιn) for said combinational path having the largest difference.
2. A method according to claim 1, c h a r a c t e r i z e d in that the greatest difference in case of parallel paths is calculated as the difference between the highest maximum delay value and the lowest minimum delay value.
3. A method according to claim 2, c h a r a c t e r ¬ i z e d in that the maximum delay value for a sequential path is calculated as the sum of the maximum delay values for the paths comprised in the sequential path, and that the minimum delay value for a sequential path is calcu- lated as the sum of the minimum delay values for the paths comprised in the sequential path.
4. A method according to any one of claims 1 to 3, c h a r a c t e r i z e d in that the step of increasing the minimum delay value for a combinational path is performed by inserting a number of buffers (24, 25) in the combinational path.
5. A method according to any one of claims 1 to 4, c h a r a c t e r i z e d in that it further comprises the steps of
• identifying among sequential paths from an input to an output of the circuit and sequential paths defin- ing loops in the circuit the sequential path having the highest mean value of the maximum delay values
\ "max ,
• calculating said highest mean value of the maximum delay values, • identifying those paths for which the difference between the maximum delay value and the minimum delay value exceeds said highest mean value of the maximum delay values, and
• reducing said differences exceeding the highest mean value of the maximum delay values to be less than or equal to said highest mean value of the maximum delay values.
6. A system for modifying the design of a synchronous digital circuit (1) comprising a number of clocked stor¬ age devices (2, 3, 4, 5) and a number of combinational logic elements defining combinational paths (6, 7, 8, 9) between at least some of said clocked storage devices, each combinational path from an output of a first one of said clocked storage devices to an input of a second one of said clocked storage devices having a minimum delay value (Dmιn) and a maximum delay value (Dma; , such that the actual delay of said path assumes a value between the minimum delay value and the maximum delay value, c h a r a c t e r i z e d in that the system comprises • means for identifying the combinational path (6; 7; 8; 9) having the greatest difference between the maximum delay value (Dmax) and the minimum delay value (Dmιn) , and • means for reducing said difference between the axi- mum delay value and the minimum delay value by increasing the minimum delay value (Dmιn) for said combinational path having the greatest difference.
7. A system according to claim 6, c h a r a c t e r - i z e d in that it is adapted to calculate the greatest difference in case of parallel paths as the difference between the highest maximum delay value and the lowest minimum delay value.
8. A system according to claim 7, c h a r a c t e r i z e d in that it is adapted to calculate the maximum delay value for a sequential path as the sum of the maximum delay values for the paths comprised in the sequential path, and to calculate the minimum delay value for a sequential path as the sum of the minimum delay values for the paths comprised in the sequential path.
9. A system according to any one of claims 6 to 8, c h a r a c t e r i z e d in that it is adapted to in- crease the minimum delay value for a combinational path by the insertion of a number of buffers (24, 25) in the combinational path.
10. A system according to any one of claims 6 to 9, c h a r a c t e r i z e d in that it further comprises • means for identifying among sequential paths from an input to an output of the circuit and sequential paths defining loops in the circuit the sequential path having the highest mean value of the maximum delay values (Dmax) ,
• means for calculating said highest mean value of the maximum delay values,
• means for identifying those paths for which the difference between the maximum delay value and the minimum delay value exceeds said highest mean value of the maximum delay values, and
• means for reducing said differences exceeding the highest mean value of the maximum delay values to be less than or equal to said highest mean value of the maximum delay values.
11. A computer readable medium having stored therein instructions for causing a processing unit to execute the method of any one of claims 1 to 5.
PCT/EP2002/010750 2001-10-29 2002-09-26 Optimization of the design of a synchronous digital circuit WO2003038687A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/493,889 US7302657B2 (en) 2001-10-29 2002-09-26 Optimization of the design of a synchronous digital circuit

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP01610111.5 2001-10-29
EP01610111A EP1308862B1 (en) 2001-10-29 2001-10-29 Optimization of the design of a synchronous digital circuit
US33085601P 2001-11-01 2001-11-01
US60/330,856 2001-11-01

Publications (2)

Publication Number Publication Date
WO2003038687A2 true WO2003038687A2 (en) 2003-05-08
WO2003038687A3 WO2003038687A3 (en) 2004-05-06

Family

ID=26077319

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2002/010750 WO2003038687A2 (en) 2001-10-29 2002-09-26 Optimization of the design of a synchronous digital circuit

Country Status (2)

Country Link
US (1) US7302657B2 (en)
WO (1) WO2003038687A2 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7120883B1 (en) * 2003-05-27 2006-10-10 Altera Corporation Register retiming technique
US7096442B2 (en) * 2003-07-10 2006-08-22 Lsi Logic Corporation Optimizing IC clock structures by minimizing clock uncertainty
US7813459B2 (en) * 2005-10-03 2010-10-12 Spansion Llc Digital data transfer between different clock domains
US7853911B1 (en) * 2005-11-04 2010-12-14 Altera Corporation Method and apparatus for performing path-level skew optimization and analysis for a logic design
US20070225960A1 (en) * 2006-03-27 2007-09-27 Greener Robert J Subchip boundary constraints for circuit layout
US8010932B2 (en) * 2006-07-13 2011-08-30 International Business Machines Corporation Structure for automated transistor tuning in an integrated circuit design
US20080016475A1 (en) * 2006-07-13 2008-01-17 Durham Christopher M Method, System and Program Product for Automated Transistor Tuning in an Integrated Circuit Design
US7945880B1 (en) * 2007-05-30 2011-05-17 Cadence Design Systems, Inc. Constraint based retiming of synchronous circuits
US7864887B2 (en) * 2007-07-11 2011-01-04 The Aerospace Corporation Noncoherent symbol clock recovery subsystem

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5764528A (en) * 1995-06-26 1998-06-09 Nec Corporation Delay optimizing method in logic circuit designing
US5983007A (en) * 1996-11-25 1999-11-09 Lucent Technologies Inc. Low power circuits through hazard pulse suppression
WO2000075815A1 (en) * 1999-06-04 2000-12-14 Telefonaktiebolaget Lm Ericsson (Publ) An arrangement and a method relating to design of circuits
US20010007144A1 (en) * 2000-01-04 2001-07-05 Kabushiki Kaisha Toshiba Hold violation improvement method, semiconductor integrated circuit, and program for executing hold violation improvement method by computer
US20010010092A1 (en) * 2000-01-20 2001-07-26 Nec Corporation Semiconductor integrated circuit with a reduced skew and layout method in design for semiconductor integrated circuit

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02105910A (en) 1988-10-14 1990-04-18 Hitachi Ltd Logic integrated circuit
JP3048471B2 (en) 1992-09-08 2000-06-05 沖電気工業株式会社 Clock supply circuit and clock skew adjustment method
US5572714A (en) * 1992-10-23 1996-11-05 Matsushita Electric Industrial Co., Ltd. Integrated circuit for pipeline data processing
JPH08221315A (en) * 1995-02-15 1996-08-30 Hitachi Ltd Information processor
US5852640A (en) 1995-06-26 1998-12-22 Kliza; Phillip S. Clock distribution apparatus with current sensed skew cancelling
US6553550B1 (en) * 1999-03-05 2003-04-22 Peter Menegay Method and apparatus for computing delay correlation effects in digital circuits
US6424688B1 (en) * 1999-10-27 2002-07-23 Advanced Micro Devices, Inc. Method to transfer data in a system with multiple clock domains using clock skipping techniques
US6754877B1 (en) * 2001-12-14 2004-06-22 Sequence Design, Inc. Method for optimal driver selection
US6782519B2 (en) * 2001-12-18 2004-08-24 Cadence Design Systems, Inc. Clock tree synthesis for mixed domain clocks
JP2004165649A (en) * 2002-10-21 2004-06-10 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5764528A (en) * 1995-06-26 1998-06-09 Nec Corporation Delay optimizing method in logic circuit designing
US5983007A (en) * 1996-11-25 1999-11-09 Lucent Technologies Inc. Low power circuits through hazard pulse suppression
WO2000075815A1 (en) * 1999-06-04 2000-12-14 Telefonaktiebolaget Lm Ericsson (Publ) An arrangement and a method relating to design of circuits
US20010007144A1 (en) * 2000-01-04 2001-07-05 Kabushiki Kaisha Toshiba Hold violation improvement method, semiconductor integrated circuit, and program for executing hold violation improvement method by computer
US20010010092A1 (en) * 2000-01-20 2001-07-26 Nec Corporation Semiconductor integrated circuit with a reduced skew and layout method in design for semiconductor integrated circuit

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
SATHYAMURTHY H ET AL: "SPEEDING UP PIPELINED CIRCUITS THROUGH A COMBINATION OF GATE SIZING AND CLOCK SKEW OPTIMIZATION" IEEE TRANSACTIONS ON COMPUTER AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, IEEE INC. NEW YORK, US, vol. 17, no. 2, February 1998 (1998-02), pages 173-181, XP000802814 ISSN: 0278-0070 cited in the application *
SOYATA T ET AL: "Integration of clock skew and register delays into a retiming algorithm" PROCEEDINGS OF THE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS. (ISCS). CHICAGO, MAY 3 - 6, 1993, NEW YORK, IEEE, US, vol. 2, 3 May 1993 (1993-05-03), pages 1483-1486, XP010115529 ISBN: 0-7803-1281-3 cited in the application *
SOYATA T ET AL: "Synchronous performance and reliability improvement in pipelined ASICs" ASIC CONFERENCE AND EXHIBIT, 1994. PROCEEDINGS., SEVENTH ANNUAL IEEE INTERNATIONAL ROCHESTER, NY, USA 19-23 SEPT. 1994, NEW YORK, NY, USA,IEEE, 19 September 1994 (1994-09-19), pages 383-390, XP010140451 ISBN: 0-7803-2020-4 *

Also Published As

Publication number Publication date
US7302657B2 (en) 2007-11-27
US20050132313A1 (en) 2005-06-16
WO2003038687A3 (en) 2004-05-06

Similar Documents

Publication Publication Date Title
Muttersbach et al. Practical design of globally-asynchronous locally-synchronous systems
KR100887238B1 (en) Apparatus and method for adaptive time borrowing technique in pipeline system
Abbas et al. Latency insensitive design styles for FPGAs
US7302657B2 (en) Optimization of the design of a synchronous digital circuit
US7518408B2 (en) Synchronizing modules in an integrated circuit
US20090271747A1 (en) Logic circuit designing device, logic circuit designing method and logic circuit designing program for asynchronous logic circuit
Chattopadhyay et al. GALDS: a complete framework for designing multiclock ASICs and SoCs
JP2002007322A (en) Phase regulation and control method, and information processor
JP2002524790A (en) Synchronous polyphase clock distribution system
US9584305B2 (en) Deskew FIFO buffer with simplified initialization
US6633995B1 (en) System for generating N pipeline control signals by delaying at least one control signal corresponding to a subsequent data path circuit
US7882385B2 (en) Reducing inefficiencies of multi-clock-domain interfaces using a modified latch bank
EP1308862B1 (en) Optimization of the design of a synchronous digital circuit
Nookala et al. A method for correcting the functionality of a wire-pipelined circuit
Teehan et al. Towards reliable 5Gbps wave-pipelined and 3Gbps surfing interconnect in 65nm FPGAs
Tarawneh et al. An RTL method for hiding clock domain crossing latency
CN212515800U (en) Clock tree, hash engine, computing chip, force plate and encrypted currency mining machine
US7123674B2 (en) Reducing latency and power in asynchronous data transfers
Poornima et al. Functional verification of clock domain crossing in register transfer level
Yoshimi et al. A delay adjustment method for asynchronous circuits with bundled-data implementation considering a latency constraint
Kim et al. Self-timed mesochronous interconnection for high-speed VLSI systems
Kessels Register-communication between mutually asynchronous domains
CN113168205B (en) Apparatus for transaction-based transmit clock gating for low power designs
JPH1115783A (en) Synchronous circuit
US20230087576A1 (en) Domain-selective control component

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 10493889

Country of ref document: US

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP