WO2003014413A1 - Treating device and cleaning method therefor - Google Patents

Treating device and cleaning method therefor Download PDF

Info

Publication number
WO2003014413A1
WO2003014413A1 PCT/JP2002/008089 JP0208089W WO03014413A1 WO 2003014413 A1 WO2003014413 A1 WO 2003014413A1 JP 0208089 W JP0208089 W JP 0208089W WO 03014413 A1 WO03014413 A1 WO 03014413A1
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
cleaning
cleaning gas
gas
processing apparatus
Prior art date
Application number
PCT/JP2002/008089
Other languages
French (fr)
Japanese (ja)
Inventor
Kohei Kawamura
Hidenori Miyoshi
Gishi Chung
Yasuhiro Oshima
Hiroyuki Takahashi
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Publication of WO2003014413A1 publication Critical patent/WO2003014413A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape

Definitions

  • the present invention relates to a processing device capable of efficient cleaning and a method of cleaning the same.
  • CVD chemical vapor deposition
  • the plasma CVD apparatus forms a film by performing CVD on a semiconductor device or the like in a decompressed chamber. Since CVD uses a gas phase reaction, film formation occurs not only on the wafer surface but also on the surface of chamber members (such as inner walls). The film formed on the chamber member causes particles and lowers the yield. Therefore, it is necessary to periodically clean the inside of the chamber to remove the film formed on the champer member.
  • a dry cleaning method of cleaning the chamber ⁇ ⁇ using a cleaning gas in a plasma state is known.
  • a halogen-based gas such as nitrogen trifluoride (NF 3 ) or carbon tetrafluoride (CF 4 ) is used for dry cleaning.
  • halogen radicals such as fluorine radicals in a gas in a plasma state function as a cleaning species.
  • Halogen gas is highly reactive with silicon, and therefore has a high silicon removal rate (etching rate).
  • etching rate silicon removal rate
  • the removal rate of (C), nitrogen (N), etc. is slower than that of silicon.
  • a carbon-containing film such as a SiOC-based film
  • stable gas is removed. Carbon present as etc. is not sufficiently removed. The remaining carbon in the chamber can be seen by the blackening of the chamber wall.
  • Residual substances other than silicon such as carbon as described above can be removed by extending the cleaning time.
  • excessive cleaning not only increases the cleaning time, but also promotes the deterioration of the chamber members, and consequently reduces the productivity of the device.
  • Similar cleaning problems occur not only in plasma processing apparatuses but also in other processing apparatuses.
  • an object of the present invention is to provide a processing apparatus capable of improving productivity and a tallying method thereof.
  • Another object of the present invention is to provide a processing apparatus capable of performing efficient cleaning and a method of talling the processing apparatus.
  • another object of the present invention is to provide a processing apparatus capable of efficiently tallying deposits adhered to a wall surface or the like inside a chamber and a cleaning method thereof.
  • a processing apparatus includes:
  • the cleaning gas removes a substance containing silicon adhering to the inside of the chamber (11) and at least one of carbon and nitrogen.
  • the processing apparatus having the above configuration may further include an activator (12) provided outside the chamber (11) and activating the cleaning gas.
  • the activated cleaning gas may be introduced into the chamber (11).
  • the processing apparatus having the above configuration may further include an activator (12) for activating the tallying gas inside the chamber (11).
  • the oxygen-containing substance is composed of, for example, one of oxygen (O 2 ) and ozone (O 3 ).
  • the halogen-containing substance is made of, for example, a fluorine-based substance.
  • a method for cleaning a processing apparatus includes:
  • the cleaning gas removes a substance containing, for example, silicon adhered to the inside of the chamber (11) and at least one of carbon and nitrogen.
  • the cleaning method of the processing apparatus having the above configuration may further include a step of activating the cleaning gas outside the chamber (11) and supplying the activated cleaning gas into the chamber (11).
  • the cleaning method of the processing apparatus having the above configuration may further include a step of activating the cleaning gas inside the chamber (11).
  • one of oxygen (O 2 ) and ozone ( ⁇ 3 ) is used as the oxygen-containing substance.
  • FIG. 1 is a diagram illustrating a configuration of a processing apparatus according to an embodiment of the present invention.
  • FIG. 2 is a diagram showing a cross-sectional configuration of the chamber shown in FIG.
  • FIG. 3 is a diagram showing the processing steps.
  • FIG. 4 is a diagram showing a modification of the present embodiment. BEST MODE FOR CARRYING OUT THE INVENTION
  • the processing apparatus according to the embodiment of the present invention includes a chamber, and TEO is provided in the chamber.
  • a Si OC: H film is formed on a semiconductor wafer (hereinafter, wafer) by plasma CVD. After the film formation, the inside of the chamber is cleaned with a talling gas containing nitrogen trifluoride (NF 3 ) and oxygen ( ⁇ 2 ).
  • NF 3 nitrogen trifluoride
  • FIG. 1 shows a configuration of a processing apparatus 10 according to the present embodiment.
  • the processing apparatus 10 includes a chamber 11, a cleaning gas line L 1, a process gas line L 2, an exhaust line L 3, and a system controller 100.
  • the chamber 11 is composed of a container that can be reduced in pressure to a vacuum. As described later, plasma CVD is performed inside the chamber 11.
  • NF'3 source S 1 and 0 2 source S 2 are respectively connected to the cleaning line L 1 through a mass flow controller Ml, M2 and valves VI, the V 2.
  • NF 3 and ⁇ 2 are mixed at predetermined ratios by mass flow controllers Ml, M 2, and the like, and are supplied to the channel 11.
  • the cleaning gas line L 1, Akuchibeta 12 is provided with, in ⁇ click Chibeta 12 NF 3 and O 2 are supplied are mixed.
  • the activator 12 activates the cleaning gas inside to generate a plasma. From Akuchibeta 1 2, of the plasma of the cleaning gas containing NF 3 and 0 2, the radical is selectively exhausted. As a result, the channel connected to the exhaust side of the activator 12 Members 1 and 1 are supplied with a cleaning gas mainly containing fluorine radicals and oxygen radicals.
  • the cleaning gas line L1 is divided into, for example, two lines on the exhaust side of the activator 12, and the cleaning gas is introduced into the champ 11 from two places.
  • One end of the process gas line L 2 is connected to the chamber 1 1, and the other end branches, respectively, the TEOS source S 3, 0 and 2 source S 4, it is connected with Ar source S 5, the. TEOS and 0 2 constitutes a process gas, A r is used as a carrier gas.
  • the TEOS sources S 3, 0 2 source S 4 and Ar source S 5 are connected to the tally-enough gas line L 2 via the mass flow controllers — M3, M4, M5 and valves V3, V4, V5, respectively. It is connected.
  • TEOS, 0 2 ⁇ Pi Ar is by the mass flow controller M3, M4, M 5 and the like, Ru are respectively supplied to the chamber 11 at a predetermined mixing ratio.
  • the exhaust line L 3 is connected to a turbo molecular pump (TMP) 13.
  • TMP turbo molecular pump
  • a dry pump is provided downstream of the turbo-molecular pump 13 so that the pressure in the chamber 11 can be reduced to a vacuum level.
  • An automatic pressure controller (APC) 14 is provided between the turbo molecular pump 13 and the chamber 11. The pressure inside the chamber 11 is set to a predetermined pressure by the automatic pressure control device 14. '
  • the system controller 100 controls the entire processing apparatus 10 including the film forming processing operation and the cleaning operation.
  • FIG. 2 is a sectional view of the chamber 11 of the processing apparatus 10 shown in FIG. In order to facilitate understanding, the process gas line L2 is omitted in FIG.
  • the chamber 11 is formed in a substantially cylindrical shape, and is made of, for example, aluminum whose inner surface is oxidized (alumite).
  • the chamber 11 is grounded.
  • Two cleaning gas inlets 15 are provided on the side wall of the chamber 11.
  • the cleaning gas inlet 15 is connected to the cleaning gas line L 1, and is supplied to the chamber 11 through the cleaning gas inlet 15. Is supplied.
  • a goo for loading / unloading a wafer is provided on a side wall of the chamber 11 via a gate valve or the like. Wafers are loaded / unloaded into / out of the champer 11 via the gate.
  • a susceptor 16 is provided at the center of the chamber 11.
  • the susceptor 16 is made of, for example, an insulator such as aluminum nitride and has a substantially disk shape.
  • the wafer W is placed on the upper surface of the susceptor 16. Not shown inside susceptor 16! / An electrostatic chuck electrode is provided, and the mounted wafer W is electrostatically attracted and fixed.
  • a focus ring 17 is provided on the upper surface of the susceptor 16.
  • the four-force sling 17 allows the plasma to be effectively brought into contact with the wafer W placed on the susceptor 16.
  • the susceptor 16 is provided with lift pins (not shown) for transferring the wafer W therethrough.
  • a refrigerant chamber 18 is formed inside the susceptor 16. The refrigerant flows into the refrigerant chamber 18 through a refrigerant pipe. The temperature of the susceptor 16 and the temperature of the wafer W on the susceptor 16 are adjusted by the coolant.
  • the susceptor 16 is connected to a first RF power supply 20 via a first matching unit 19. One end of the first RF power supply 20 is grounded, and an RF voltage can be applied to the susceptor 16.
  • An electrode plate 21 is screwed to an electrode support 22 on the ceiling of the chamber 11.
  • the electrode plate 21 is provided in parallel with and opposed to the susceptor 16.
  • the electrode plate 2i is made of a conductor such as aluminum.
  • a shield ring 23 for protecting the screwed portion is provided on a peripheral portion of the electrode plate 21.
  • the electrode plate 21 is connected to a second RF power supply 25 via a second matching box 24. One end of the second RF power supply 25 is grounded, and an RF voltage can be applied to the electrode plate 21.
  • the electrode plate 21 and the susceptor 16 function as the upper electrode and the lower electrode of the parallel plate type plasma CYD device, respectively.
  • a process gas inlet pipe 26 is provided above the chamber 11 containing the electrode support 22. Have been killed.
  • the process gas introduction pipe 26 is connected to the process gas line L2, and the process gas is introduced into the champ 11 through the process gas introduction pipe 26.
  • a hollow diffusion part 22a for diffusing the process gas is provided at the top of the chamber 11.
  • the electrode plate 21 is provided with a plurality of holes 21 a penetrating the electrode plate 21. The process gas diffused by the diffusion part 22 a is supplied to the wafer W through the hole 2 la of the electrode plate 21.
  • An annular exhaust port 27 surrounding the susceptor 16 is provided at the bottom of the chamber 11.
  • the exhaust port 27 is connected to the exhaust line L3, and the inside of the chamber 11 is exhausted through the exhaust port 27.
  • the system controller 100 controls the APC 14 connected to the exhaust line L3 to keep the inside of the chamber at a predetermined pressure.
  • the system controller 100 detects the end point of cleaning from the opening / closing degree of the control valve of the APC 14 based on the pressure fluctuation in the chamber 11. That is, the degree of opening and closing of the APC 14 becomes constant as the removal of residues such as SiOC: H existing in the chamber 11 progresses.
  • the opening / closing degree of the APC 14 becomes constant, the system controller 100 determines that the cleaning has reached the end point, and ends the cleaning operation.
  • the wafer W is loaded into the chamber 11 and placed on the susceptor 16.
  • the wafer W is fixed by an electrostatic chuck.
  • the system controller 100 opens the valve V5 to start supplying Ar, and applies RF power to the upper electrode (electrode plate 21).
  • the valves V3, V 4 by opening the valves V3, V 4, and supplies the TEO S, 0 2 into the chamber 11.
  • power is applied to the lower electrode (susceptor 16).
  • plasma of the process gas is generated, and a SiOC: H film is formed on the surface of the wafer W.
  • the system controller 100 After a predetermined thickness of the SiOC: H film is formed on the wafer W or after a predetermined time, the system controller 100 turns off the application of the RF power to the lower electrode, and switches the valves V3 and V4. closed to stop the supply of TEOS, 0 2. Then, the electrostatic chuck Is canceled. The system controller 100 stops the supply of Ar by closing the valve V5, and turns off the application of the RF power to the upper electrode. Subsequently, the wafer W is carried out of the chamber 11, and the film forming process ends.
  • the system controller 100 After performing the above-described film forming process on a predetermined number of wafers W, the system controller 100 starts cleaning the chamber 11. Here, the cleaning is performed every period during which a film having a thickness of about 2 m is formed in the champer 11. First, for example, under 2 X 10- 3 P a pressure below, the Damiwe wafer W for cleaning is carried into the chamber 1 1 to the placing on the susceptor 16. The dummy wafer W on the susceptor 16 is fixed by an electrostatic chuck. The wafer W is heated to, for example, about 400 ° C.
  • the chamber 1 1, for example, 50 after the P a pressure of about, at the same time to start the supply of NF 3 and 0 2 by opening the valve V 1, V 2.
  • NF 3 and O 2 are supplied, for example, at a flow rate of 20 ° sccm / 50 sccm (about 4 ′: 1).
  • the pressure is in the range of 5 to 50 ° Pa
  • the ratio of NF 3 (F-containing substance) / ⁇ 2 (O-containing substance) is in the range of 1/1 to 101
  • the total flow rate is 10
  • the thickness may be in the range of 0 sccm to 2000 sccm, and can be appropriately changed depending on the type of film and the amount of film formation.
  • the NF 3 and O 2 fed into 2 are turned into plasma, and radicals in the plasma are selectively supplied into the chamber 11.
  • Si in the Si OC: H film deposited in the champer 11 reacts with fluorine radicals in the cleaning gas to generate a gas such as silane tetrafluoride (Si F 4 ).
  • the generated gas is exhausted from the chamber 11.
  • Carbon (C) in H has low reactivity with fluorine radicals, and may not be easily removed depending on the concentration of fluorine radicals and the bonding state of C.
  • reactivity with oxygen radicals in Riyungugasu is high carbon monoxide (CO), it is converted to carbon dioxide (C0 2) or the like.
  • the gas generated in this way is exhausted from the chamber 11, so that the carbon (C) of the S i OC: H film is also decomposed and removed at the same speed as that of S i OC. Efficient removal is performed.
  • the cleaning proceeds, and the system controller 100 monitors the progress of the cleaning from the state of the control valve of the APC 14.
  • the system controller 100 determines that the cleaning has reached the end point.
  • the system controller 100 turns off the activator 12. Further, the valves VI and V2 are closed to stop the supply of the cleaning gas into the chamber 11. Thereafter, the valve V5 is opened, and the chamber is purged with Ar. Subsequently, after releasing the electrostatic chuck, the supply of Ar is stopped. After that, the dummy wafer W is unloaded from the chamber 11 with the pressure inside the chamber 11 at normal pressure, and the cleaning is completed.
  • S i OC as a cleaning gas for removing H film, according to this embodiment using oxygen (0 2) in addition to the fluorine-based gas, only silicon (S i) And carbon (C) can be removed at the same rate.
  • oxygen (0 2) in addition to the fluorine-based gas
  • Si silicon
  • C carbon
  • the present inventors conducted an experiment to compare a case where NF 3 alone was used for cleaning after forming a 3 m OC film of S i OC: H with a case where cleaning was performed using a mixture of NF 3 O 2 . As a result, it was confirmed that while NF 3 alone required 30 minutes or more to sufficiently clean the chamber, tally Jung was completed in 15 minutes with NF 3 / O 2 mixture.
  • the inside surface of the chamber 11 made of an anode is covered with an oxide film (alumite), and the effect of compensating for the damage of the oxide film due to plasma or the like is obtained by oxygen.
  • oxygen as the cleaning gas, it is possible to not only prevent deterioration of the chamber member due to excessive cleaning, but also to actively delay the deterioration of the chamber member.
  • a cleaning gas containing oxygen it is possible to reduce the cleaning time and the downtime for replacing the champer members, thereby substantially improving the productivity.
  • the cleaning using the cleaning gas containing oxygen can efficiently remove the carbon-containing substance adhering not only in the chamber 11 but also in the piping constituting the exhaust line L3.
  • the present invention is not limited to the above embodiment, and various modifications and applications are possible. Hereinafter, modifications of the above-described embodiment applicable to the present invention will be described.
  • the processing apparatus 10 is configured to form the S i OC: H film. 1
  • the present invention is not limited to this. (: H) film, SiCN film and the like.
  • the present invention is also applicable to the removal of a SiN film. This is because oxygen radicals are highly reactive with nitrogen (N) and easily react to produce gases such as NO 2 and N 2 ⁇ . As a result, the removal rate of N is also increased, and more efficient tally jung is possible.
  • NF 3 and O 2 are used as the cleaning gas.
  • the gas used for cleaning is not limited to this.
  • a fluorine-based gas such as C 2 F 6, or, C 1 2, BC 1 chlorine such as 4 Gas can be used.
  • 0 2 instead, it is possible to use 0 3, NO 2, N 2 0, H 2 0, CO, C0 2 , such as an oxygen-based gas.
  • the cleaning gas plasma is generated by the activator 12 provided outside the chamber 11 and introduced into the champer 11.
  • Cree - an NF 3 and ⁇ 2 is Ngugasu supplied to Chang bar 1 1, applying an RF electrode on at least one sides of the upper electrode or the lower electrode in the chamber 1 1 Then, the plasma may be generated in the chamber 11. Further, the cleaning gas activated by the activator 12 may be further activated as plasma in the chamber 11.
  • the cleaning gas is converted into a plasma by the activator 12, and the radicals in the plasma are selectively supplied into the chamber 11.
  • the activator 12 is not limited to plasma, but may be any mechanism that activates the cleaning gas to generate radicals.
  • the cleaning gas is introduced into the chamber 11 through the two cleaning gas introduction ports 15 provided on the side wall of the chamber 11.
  • three or more cleaning gas inlets 15 may be provided.
  • the gas may be introduced into the chamber 11 from the process gas introduction pipe '26.
  • the present invention is not limited to the parallel plate type, but can be applied to other plasma processing apparatuses such as an ECR type, an CCP type, a helicon type, a microwave type and the like. Further, the present invention is not limited to a plasma processing apparatus, and can be applied to other apparatuses such as an etching apparatus, a sputtering apparatus, and a heat treatment apparatus.
  • the processing apparatus 10 performs processing on a semiconductor wafer.
  • the present invention is not limited to this, and may be applied to an apparatus that performs processing such as a liquid crystal display device. Industrial applicability
  • the present invention can be suitably applied to a processing apparatus that performs a plasma processing such as a film forming process and an etching process on an object to be processed such as a semiconductor wafer and a liquid crystal display device.

Abstract

After an SiOC:H film is formed, the cleaning of the interior of a chamber (11) starts. NF3 and O2 fed from an NF3 source (S1) and an O2 source (S2) are turned into plasma inside an activator (12) on a cleaning gas line (L1), and radicals in the plasma is selectively fed into the chamber (11). Fluorine and oxygen radicals in the cleaning gas remove an Si-containing matter and a C-containing matter deposited to the interior of the chamber (11).

Description

処理装置おょぴそのクリーニング方法 技術分野  Processing equipment and its cleaning method
本発明は、 効率的なクリー-ングの可能な処理装置おょぴそのクリーニング方 法に関する。 背景技術  The present invention relates to a processing device capable of efficient cleaning and a method of cleaning the same. Background art
半導体装置、 液晶表示装置等の電子デバイスの製造には、 種々の化学気相成長 (Chemical Vapor Deposition: C V D) 装置が用いられる。 中でも、 プラズマ C V D装置は、 品質の高い膜形成が可能であり、 広く用いられている。  Various chemical vapor deposition (CVD) devices are used in the manufacture of electronic devices such as semiconductor devices and liquid crystal display devices. Among them, the plasma CVD apparatus is capable of forming a high-quality film and is widely used.
プラズマ C VD装置は、 減圧されたチヤンバ内で半導体ゥ ハ等に C V Dを行 つて成膜する。 C V Dは気相反応を用いるため、 ウェハ表面だけでなく、 チャン バ部材 (内壁など) の表面においても成膜が起きる。 チャンバ部材に形成された 膜は、 パーティクルの原因となり、 歩留まりを低下させる。 従って、 チャンバ内 を定期的にクリーニングして、 チャンパ部材に形成された膜を除去する必要があ る。  The plasma CVD apparatus forms a film by performing CVD on a semiconductor device or the like in a decompressed chamber. Since CVD uses a gas phase reaction, film formation occurs not only on the wafer surface but also on the surface of chamber members (such as inner walls). The film formed on the chamber member causes particles and lowers the yield. Therefore, it is necessary to periodically clean the inside of the chamber to remove the film formed on the champer member.
チャンバ内をクリーニングする方法として、 プラズマ状態のクリーニング用ガ スを用いて、 チャンバ內をクリーニングするドライクリーニング方法が知られて いる。 通常、 ドライクリーニングには、 ハロゲン系ガス、 例えば、 三フッ化窒素 (N F 3) 、 四フッ化炭素 (C F 4) 等が用いられる。 詳細には、 プラズマ状態と したガス中の、 フッ素ラジカル等のハロゲンラジカルがクリーユング種として機 能する。 As a method of cleaning the inside of the chamber, a dry cleaning method of cleaning the chamber 用 い using a cleaning gas in a plasma state is known. Usually, a halogen-based gas such as nitrogen trifluoride (NF 3 ) or carbon tetrafluoride (CF 4 ) is used for dry cleaning. Specifically, halogen radicals such as fluorine radicals in a gas in a plasma state function as a cleaning species.
ハロゲン系ガスは、 シリコンに対する反応性が高く、 従って、 シリコンの除去 速度 (エッチングレート) は高い。 しかし、 一方で、 ハロゲン系ガスの炭素  Halogen gas is highly reactive with silicon, and therefore has a high silicon removal rate (etching rate). However, on the other hand, the halogen-based gas carbon
(C) 、 窒素 (N) 等の除去速度は、 シリコンの場合と比較すると遅い。 例えば、 S i O C系膜等の炭素含有膜の成膜を行った場合、 ハロゲン系ガスで、 シリコン 系物質の除去に十分な時間クリーニングしたとしても、 安定なカ 等として存在する炭素は十分に除去されない。 炭素がチャンバ内に残留している ことは、 チャンバ壁の黒化によつて視認することができる。 The removal rate of (C), nitrogen (N), etc. is slower than that of silicon. For example, when a carbon-containing film such as a SiOC-based film is formed, even if cleaning with a halogen-based gas is performed for a time sufficient to remove silicon-based substances, stable gas is removed. Carbon present as etc. is not sufficiently removed. The remaining carbon in the chamber can be seen by the blackening of the chamber wall.
上記のような、 炭素等のシリコン以外の残留物質は、 クリーニング時間を長く することにより除去可能である。 しかし、 過度のクリーニングは、 クリーニング 時間を増大させるだけでなく、 チャンバ部材の劣化を進行させ、 結果として、 装 置の生産性を低下させる。 同様のクリーニングに関する問題は、 プラズマ処理装. 置に限らず、 他の処理装置においても発生する。  Residual substances other than silicon such as carbon as described above can be removed by extending the cleaning time. However, excessive cleaning not only increases the cleaning time, but also promotes the deterioration of the chamber members, and consequently reduces the productivity of the device. Similar cleaning problems occur not only in plasma processing apparatuses but also in other processing apparatuses.
このように、 従来の処理装置は、 チャンバ内に残留するシリコンと他の物質と が混在する場合、 その除去速度の違いからクリーニングが効率的に行われず、 十 分に高!/、生産性が得られな!/、場合があった。 発明の開示  As described above, in the conventional processing apparatus, when silicon remaining in the chamber and other substances are mixed, cleaning is not performed efficiently due to a difference in the removal rate, and is sufficiently high! /, Productivity was not obtained! /, In some cases. Disclosure of the invention
上記事情を鑑みて、 本発明は、 生産性の向上が可能な処理装置およびそのタリー 二ング方法を提供することを目的とずる。  In view of the above-mentioned circumstances, an object of the present invention is to provide a processing apparatus capable of improving productivity and a tallying method thereof.
また、 本発明は、 効率的なクリーニングが可能な処理装置おょぴそのタリーニン グ方法を提供することを目的とする。  Another object of the present invention is to provide a processing apparatus capable of performing efficient cleaning and a method of talling the processing apparatus.
さらに、 本発明は、 チャンバ内部の壁面等に付着した堆積物を効率的なタリー二 ングが可能な処理装置およびそのクリーニング方法を提供することを目的とする。 上記目的を達成するため、 本発明の第 1の観点に係る処理装置は、  Further, another object of the present invention is to provide a processing apparatus capable of efficiently tallying deposits adhered to a wall surface or the like inside a chamber and a cleaning method thereof. To achieve the above object, a processing apparatus according to a first aspect of the present invention includes:
内部で被処理体に所定の処理を施すチャンパ (1 1 ) と、  A champer (1 1) for internally performing a predetermined process on an object to be processed,
前記チャンバ (1 1 ) に設けられ、 ハロゲン含有物質と酸素含有物質とを含む クリーニングガスを前記チャンパ ( 1 1 ) 内に導入するためのクリーニングガス 導入部 (1 5 ) と、  A cleaning gas introduction unit (15) provided in the chamber (11) for introducing a cleaning gas containing a halogen-containing substance and an oxygen-containing substance into the champer (11);
を備える、 ことを特徴とする。 It is characterized by having.
上記構成の処理装置において、 例えば、 前記クリーニングガスにより、 前記チ ヤンバ (1 1 ) の内部に付着したシリコンと、 少なくとも炭素または窒素のいず れか一方と、 を含む物質を除去する。  In the processing apparatus having the above-described configuration, for example, the cleaning gas removes a substance containing silicon adhering to the inside of the chamber (11) and at least one of carbon and nitrogen.
上記構成の処理装置は、 さらに、 前記チャンバ (1 1 ) の外部に設けられ、 前記 クリーニングガスを活性化するァクチベータ ( 1 2 ) を備えてもよく、 活性化された前記クリーニングガスが前記チャンバ (1 1) 内に導入されても よい。 The processing apparatus having the above configuration may further include an activator (12) provided outside the chamber (11) and activating the cleaning gas. The activated cleaning gas may be introduced into the chamber (11).
上記構成の処理装置は、 さらに、 前記チャンバ (1 1) の内部で、 前記タリー二 ングガスを活性化するァクチベータ (1 2) を備えてもよい。  The processing apparatus having the above configuration may further include an activator (12) for activating the tallying gas inside the chamber (11).
上記構成の処理装置において、 前記酸素含有物質は、 例えば、 酸素 (02) また はオゾン (O3) のいずれか一方から構成される。 In the processing apparatus having the above-described configuration, the oxygen-containing substance is composed of, for example, one of oxygen (O 2 ) and ozone (O 3 ).
上記構成の処理装置において、 前記ハ,ロゲン含有物質は、 例えば、 フッ素系物質 力 ら構成される。  In the processing apparatus having the above-described configuration, the halogen-containing substance is made of, for example, a fluorine-based substance.
上記目的を達成するため、 本発明の第 2の観点に係る処理装置のクリーニング 方法は、  To achieve the above object, a method for cleaning a processing apparatus according to a second aspect of the present invention includes:
チャンバ (1 1) .の內部で被処理体に所定の処理を施す処理装置のクリーニング 方法であって、  A method of cleaning a processing apparatus for performing a predetermined process on an object to be processed in a part of a chamber (11).
前記チャンバ (1 1) 内に、 ハロゲン含有物質と酸素含有物質とを含むタリ ユングガスを導入する工程を備える、 ことを特徴とす.る。 : A step of introducing a talung gas containing a halogen-containing substance and an oxygen-containing substance into the chamber (11). :
上記構成の処理装置のクリーニング方法において、 前記クリーニングガスにより、 例えば、 前記チャンバ (1 1) の内部に付着したシリコンと、 少なくとも炭素ま たは窒素のいずれか一方と、 を含む物質を除去する。  In the cleaning method for a processing apparatus having the above configuration, the cleaning gas removes a substance containing, for example, silicon adhered to the inside of the chamber (11) and at least one of carbon and nitrogen.
ことを特徴とする。  It is characterized by the following.
上記構成の処理装置のクリーニング方法は、 さらに、 前記クリーニングガスを前 記チャンバ (1 1) の外部で活性化して、 前記チャンバ (1 1) 内に供給するェ 程を備えてもよい。  The cleaning method of the processing apparatus having the above configuration may further include a step of activating the cleaning gas outside the chamber (11) and supplying the activated cleaning gas into the chamber (11).
上記構成の処理装置のクリーニング方法は、 さらに、 前記チャンバ (1 1) の内 部で前記クリーニングガスを活性化する工程を備えてもよい。  The cleaning method of the processing apparatus having the above configuration may further include a step of activating the cleaning gas inside the chamber (11).
上記構成の処理装置のクリーニング方法において、 前記酸素含有物質として、 例 えば、 酸素 (02) およびオゾン (〇3) のいずれか一方を用いる。 In the cleaning method of the processing apparatus having the above configuration, for example, one of oxygen (O 2 ) and ozone (〇 3 ) is used as the oxygen-containing substance.
上記構成の処理装置のクリーニング方法において、 前記ハロゲン含有物質として、 例えば、 フッ素系物質を用いる。 図面の簡単な説明 図 1は、 本発明の実施の形態にかかる処理装置の構成を示す図である。 In the cleaning method for a processing apparatus having the above configuration, for example, a fluorine-based substance is used as the halogen-containing substance. BRIEF DESCRIPTION OF THE FIGURES FIG. 1 is a diagram illustrating a configuration of a processing apparatus according to an embodiment of the present invention.
図 2は、 図 1に示すチャンバの断面構成を示す図である。  FIG. 2 is a diagram showing a cross-sectional configuration of the chamber shown in FIG.
図 3は、 処理工程を示す図である。  FIG. 3 is a diagram showing the processing steps.
図 4は、 本実施の形態の変形例を示す図である。 発明を実施するための最良の形態  FIG. 4 is a diagram showing a modification of the present embodiment. BEST MODE FOR CARRYING OUT THE INVENTION
本発明の実施の形態に係る処理装置について、 以下図面を参照して説明する。 本発明の実施の形態にかかる処理装置はチヤンバを備え、 チヤンバ内で T E O Hereinafter, a processing apparatus according to an embodiment of the present invention will be described with reference to the drawings. The processing apparatus according to the embodiment of the present invention includes a chamber, and TEO is provided in the chamber.
S (TetraEthyl Ortho Silicate) 、 酸素 (02) をプロセスガスとして、 半導体 ウェハ (以下、 ウェハ) に S i OC : H膜をプラズマ CVDにより成膜する。 成 膜後にチャンバ内は、 三フッ化窒素 (NF3) および酸素 (〇2) を含むタリー- ングガスによりクリーユングされる。 Using a process gas of S (TetraEthyl Ortho Silicate) and oxygen (O 2 ), a Si OC: H film is formed on a semiconductor wafer (hereinafter, wafer) by plasma CVD. After the film formation, the inside of the chamber is cleaned with a talling gas containing nitrogen trifluoride (NF 3 ) and oxygen (〇 2 ).
図 1に、 本実施の形態に係る処理装置 10の構成を示す。 図 1に示すように、 処理装置 10は、 チャンバ 1 1と、 クリーニングガスライン L 1と、 プロセスガ スライン L 2と、 排気ライン L 3と、 システムコントローラ 100と、 から構成 される。  FIG. 1 shows a configuration of a processing apparatus 10 according to the present embodiment. As shown in FIG. 1, the processing apparatus 10 includes a chamber 11, a cleaning gas line L 1, a process gas line L 2, an exhaust line L 3, and a system controller 100.
チャンバ 11は、 真空まで減圧可能な容器から構成される。 後述するように、 チャンバ 11の内部で、 プラズマ CVDが行われる。  The chamber 11 is composed of a container that can be reduced in pressure to a vacuum. As described later, plasma CVD is performed inside the chamber 11.
クリーニングガスライシ L 1の一端はチャンバ 1 1に接続され、 その他端は N F3源 S 1および 02源 S 2に分岐して接続されている。 NF'3源 S 1および 02源 S 2は、 それぞれ、 マスフローコントローラ Ml、 M2およびバルブ VI、 V 2 を介してクリーニングライン L 1に接続されている。 NF3および〇2は、 マスフ ローコントローラ Ml、 M2等により、 それぞれ所定の比率で混合されて、 チヤ ンノ 11に供給される。 One end of the cleaning gas license L 1 is connected to the chamber 11, and the other end is branched and connected to the NF 3 source S 1 and the O 2 source S 2. NF'3 source S 1 and 0 2 source S 2 are respectively connected to the cleaning line L 1 through a mass flow controller Ml, M2 and valves VI, the V 2. NF 3 and 〇 2 are mixed at predetermined ratios by mass flow controllers Ml, M 2, and the like, and are supplied to the channel 11.
クリーニングガスライン L 1には、 ァクチベータ 12が設けられており、 ァク チベータ 12には NF3および O2が混合されて供給される。 ァクチベータ 12は、 その内部でクリーエングガスを活性化してプラズマを生成する。 ァクチベータ 1 2からは、 NF3および 02を含むクリーニングガスのプラズマの内、 ラジカルが 選択的に排気される。 これにより、 ァクチベータ 12の排気側に接続されたチヤ ンバ 1, 1には、 フッ素ラジカルおよび酸素ラジカルを主としたクリーユングガス が供給される。 ここで、 クリーニングガスライン L 1は、 ァクチベータ 12の排 気側で、 例えば、 2本のラインに分かれ、 チャンパ 11内に 2力所からクリ一二 ングガスを導入する。 The cleaning gas line L 1, Akuchibeta 12 is provided with, in § click Chibeta 12 NF 3 and O 2 are supplied are mixed. The activator 12 activates the cleaning gas inside to generate a plasma. From Akuchibeta 1 2, of the plasma of the cleaning gas containing NF 3 and 0 2, the radical is selectively exhausted. As a result, the channel connected to the exhaust side of the activator 12 Members 1 and 1 are supplied with a cleaning gas mainly containing fluorine radicals and oxygen radicals. Here, the cleaning gas line L1 is divided into, for example, two lines on the exhaust side of the activator 12, and the cleaning gas is introduced into the champ 11 from two places.
プロセスガスライン L 2の一端はチャンバ 1 1に接続され、 その他端は分岐し て、 それぞれ、 TEOS源 S 3と、 02源 S 4と、 Ar源 S 5と、 に接続されてい る。 TEOSおよび 02はプロセスガスを構成し、 A rはキャリアガスとして用い られている。 One end of the process gas line L 2 is connected to the chamber 1 1, and the other end branches, respectively, the TEOS source S 3, 0 and 2 source S 4, it is connected with Ar source S 5, the. TEOS and 0 2 constitutes a process gas, A r is used as a carrier gas.
TEOS源 S 3、 02源 S 4及び Ar源 S 5は、 それぞれ、 マスフローコント口 —ラ M 3、 M4、 M 5およびバルブ V 3、 V4、 V 5を介してタリーエングガス ライン L 2に接続されている。 TEOS、 02及ぴ Arは、 マスフローコントロー ラ M3、 M4、 M 5等により、 それぞれ所定の混合比でチャンバ 11に供給され る。 The TEOS sources S 3, 0 2 source S 4 and Ar source S 5 are connected to the tally-enough gas line L 2 via the mass flow controllers — M3, M4, M5 and valves V3, V4, V5, respectively. It is connected. TEOS, 0 2及Pi Ar is by the mass flow controller M3, M4, M 5 and the like, Ru are respectively supplied to the chamber 11 at a predetermined mixing ratio.
排気ライン L 3には、 ターボ分子ポンプ (TMP: Turbo Molecular Pump) 1 3が接続されている。 ターボ分子ポンプ 13の下流には、 ドライポンプが設けら れ、 チャンバ 1 1内を真空程度まで減圧可能である。 ターボ分子ポンプ 13とチ ヤンバ 11との間には、 自動圧力制御装置 (APC: Auto Pressure Controlle r) 14が設けられている。 自動圧力制御装置 14により、 チャンバ 11内は、 所 定の圧力とされる。 '  The exhaust line L 3 is connected to a turbo molecular pump (TMP) 13. A dry pump is provided downstream of the turbo-molecular pump 13 so that the pressure in the chamber 11 can be reduced to a vacuum level. An automatic pressure controller (APC) 14 is provided between the turbo molecular pump 13 and the chamber 11. The pressure inside the chamber 11 is set to a predetermined pressure by the automatic pressure control device 14. '
システムコントローラ 100.は、 成膜処理動作及ぴクリーニング動作を含めた、 処理装置 10全体の制御を行う。  The system controller 100 controls the entire processing apparatus 10 including the film forming processing operation and the cleaning operation.
図 2は、 図 1に示す処理装置 10のチャンバ 1 1の断面図である。 なお、 理解 を容易にするため、 図 2中では、 プロセスガスライン L 2は省略する。  FIG. 2 is a sectional view of the chamber 11 of the processing apparatus 10 shown in FIG. In order to facilitate understanding, the process gas line L2 is omitted in FIG.
図 2に示すように、 チャンバ 11は略円筒形状に形成され、 例えば、 内部表面 が酸化処理 (アルマイト) されたアルミニウムから構成されている。 また、 チヤ ンバ 1 1は、 接地されている。  As shown in FIG. 2, the chamber 11 is formed in a substantially cylindrical shape, and is made of, for example, aluminum whose inner surface is oxidized (alumite). The chamber 11 is grounded.
チャンバ 11の側壁には、 2つのクリーニングガス導入口 15が設けられてい る。 クリーニングガス導入口 15は、 クリーニングガスライン L 1に接続されて おり、 クリ一エングガス導入口 15を介してチャンバ 11内にクリーユングガス が供給される。 Two cleaning gas inlets 15 are provided on the side wall of the chamber 11. The cleaning gas inlet 15 is connected to the cleaning gas line L 1, and is supplied to the chamber 11 through the cleaning gas inlet 15. Is supplied.
また、 チャンバ 1 1の側壁には、 ゲートバルブ等を介してウェハの搬入出用の グートが設けられている。 ゲートを介して、 チャンパ 1 1内に/外にウェハが搬 入 Z搬出される。  Further, a goo for loading / unloading a wafer is provided on a side wall of the chamber 11 via a gate valve or the like. Wafers are loaded / unloaded into / out of the champer 11 via the gate.
チャンバ 1 1の中央には、 サセプタ 1 6が設けられている。 サセプタ 1 6は、 例えば、 窒化アルミニウム等の絶縁体から構成され、 ほぼ円盤状に形成されてい る。 サセプタ 1 6の上面にはウェハ Wが戴置される。 サセプタ 1 6の内部には図 示しな!/、静電チヤック電極が設けられ、 載置されたゥェハ Wを静電的に吸着して 固定する。  A susceptor 16 is provided at the center of the chamber 11. The susceptor 16 is made of, for example, an insulator such as aluminum nitride and has a substantially disk shape. The wafer W is placed on the upper surface of the susceptor 16. Not shown inside susceptor 16! / An electrostatic chuck electrode is provided, and the mounted wafer W is electrostatically attracted and fixed.
サセプタ 1 6の上面には、 フォーカスリング 1 7が設けられている。 フォー力 スリング 1 7により、 サセプタ 1 6上に載置されたウェハ Wに、 効果的にプラズ マを接触させることができる。 また、 サセプタ 1 6は、 ウェハ Wの受け渡し用の 図示しないリフトピンが貫通可能に設けられている。 , サセプタ 1 6の内部には、 冷媒室 1 8が形成されている。 冷媒室 1 8には、 冷 媒管を通って冷媒が流れている。 サセプタ 1 6及びサセプタ 1 6上のウェハ Wの 温度は、 冷媒によって調整される。  A focus ring 17 is provided on the upper surface of the susceptor 16. The four-force sling 17 allows the plasma to be effectively brought into contact with the wafer W placed on the susceptor 16. The susceptor 16 is provided with lift pins (not shown) for transferring the wafer W therethrough. A refrigerant chamber 18 is formed inside the susceptor 16. The refrigerant flows into the refrigerant chamber 18 through a refrigerant pipe. The temperature of the susceptor 16 and the temperature of the wafer W on the susceptor 16 are adjusted by the coolant.
サセプタ 1 6は、 第 1の整合器 1 9を介して第 1の R F電源 2 0が接続されて いる。 第 1の R F電源 2 0の一端は接地され、 サセプタ 1 6には、 R F電圧が印 加可能である。  The susceptor 16 is connected to a first RF power supply 20 via a first matching unit 19. One end of the first RF power supply 20 is grounded, and an RF voltage can be applied to the susceptor 16.
チャンバ 1 1の天井部には、 電極板 2 1が電極支持体 2 2にねじ止めされてい る。 電極板 2 1は、 サセプタ 1 6に対して平行に、 かつ、 対向して設けられてい る。 電極板 2 iは、 アルミニウム等の導電体から構成される。 また、 電極板 2 1 の周縁部には、 ねじ止め部分を保護するためのシールドリング 2 3が設けられて いる。  An electrode plate 21 is screwed to an electrode support 22 on the ceiling of the chamber 11. The electrode plate 21 is provided in parallel with and opposed to the susceptor 16. The electrode plate 2i is made of a conductor such as aluminum. Further, a shield ring 23 for protecting the screwed portion is provided on a peripheral portion of the electrode plate 21.
電極板 2 1は、 第 2の整合器 2 4を介して第 2の R F電源 2 5に接続されてい る。 第 2の R F電源 2 5の一端は接地され、 電極板 2 1には、 R F電圧が印加可 能である。 このように、 電極板 2 1及びサセプタ 1 6は、 平行平板型プラズマ C YD装置の上部電極及ぴ下部電極としてそれぞれ機能する。  The electrode plate 21 is connected to a second RF power supply 25 via a second matching box 24. One end of the second RF power supply 25 is grounded, and an RF voltage can be applied to the electrode plate 21. Thus, the electrode plate 21 and the susceptor 16 function as the upper electrode and the lower electrode of the parallel plate type plasma CYD device, respectively.
電極支持体 2 2を含むチャンバ 1 1の上部には、 プロセスガス導入管 2 6が設 けられている。 プロセスガス導入管 26は、 プロセスガスライン L 2に接続され、 プロセスガス導入管 26を介して、 プロセスガスがチャンパ 1 1内に導入される。 チャンバ 1 1の上部には、 プロセスガスを拡散させるための中空の拡散部 22 a が設けられている。 電極板 21には、 電極板 21を貫通する複数の穴 21 aが設 けられている。 拡散部 22 aによって拡散されたプロセスガスは、 電極板 21の 穴 2 l aを介して、 ウェハ Wに供給される。 A process gas inlet pipe 26 is provided above the chamber 11 containing the electrode support 22. Have been killed. The process gas introduction pipe 26 is connected to the process gas line L2, and the process gas is introduced into the champ 11 through the process gas introduction pipe 26. At the top of the chamber 11, a hollow diffusion part 22a for diffusing the process gas is provided. The electrode plate 21 is provided with a plurality of holes 21 a penetrating the electrode plate 21. The process gas diffused by the diffusion part 22 a is supplied to the wafer W through the hole 2 la of the electrode plate 21.
チャンバ 11の底部には、 サセプタ 16を包囲する、 環状の排気口 27が設け られている。 排気口 27は、 排気ライン L 3に接続され、 排気口 27を介して、 チャンバ 1 1内は排気される。 システムコントローラ 100は、 排気ライン L 3 に接続された APC 14を制御して、 チャンバ内を所定の圧力に保つ。  An annular exhaust port 27 surrounding the susceptor 16 is provided at the bottom of the chamber 11. The exhaust port 27 is connected to the exhaust line L3, and the inside of the chamber 11 is exhausted through the exhaust port 27. The system controller 100 controls the APC 14 connected to the exhaust line L3 to keep the inside of the chamber at a predetermined pressure.
また、 システムコントローラ 100は、 クリーニング時には、 チャンバ 11内 の圧力変動に基づいた APC 14の制御弁の開閉度から、 クリーニングの終点を 検出する。 すなわち、 チャンバ 11内に存在する S i OC: H等の残留物の除去 が進むにつれ、 APC 14の開閉度は一定となる。 システムコントローラ 100 は、 APC 14の開閉度が一定となった時点で、 クリーニングが終点に達したと 判断して、 クリーニング動作を終了する。  Further, at the time of cleaning, the system controller 100 detects the end point of cleaning from the opening / closing degree of the control valve of the APC 14 based on the pressure fluctuation in the chamber 11. That is, the degree of opening and closing of the APC 14 becomes constant as the removal of residues such as SiOC: H existing in the chamber 11 progresses. When the opening / closing degree of the APC 14 becomes constant, the system controller 100 determines that the cleaning has reached the end point, and ends the cleaning operation.
次に、 処理装置 10のクリーニング時の動作に関して、 図 1および図 2、 なら びに、 図 3に示す工程表を参照して説明する。 なお、 以下に示す工程は一例であ り、 これに限られない。  Next, the cleaning operation of the processing apparatus 10 will be described with reference to FIGS. 1 and 2, and a process chart shown in FIG. In addition, the process shown below is an example, and is not limited to this.
まず、 チャンバ 11内へウェハ Wが搬入され、 サセプタ 16上に載置される。 ウェハ Wは、 静電チャックにより固定される。 その後、 システムコントローラ 1 00は、 バルブ V 5を開放して A rの供給を開始するとともに、 上部電極 (電極 板 21) に RF電力を印加する。 続いて、 バルブ V3、 V 4を開放して、 TEO S、 02をチャンバ 11内に供給する。 続いて、 下部電極 (サセプタ 16) に電力 を印加する。 これにより、 プロセスガスのプラズマが生成し、 ウェハ W表面で反 応して S i OC : H膜が形成される。 First, the wafer W is loaded into the chamber 11 and placed on the susceptor 16. The wafer W is fixed by an electrostatic chuck. Thereafter, the system controller 100 opens the valve V5 to start supplying Ar, and applies RF power to the upper electrode (electrode plate 21). Subsequently, by opening the valves V3, V 4, and supplies the TEO S, 0 2 into the chamber 11. Subsequently, power is applied to the lower electrode (susceptor 16). As a result, plasma of the process gas is generated, and a SiOC: H film is formed on the surface of the wafer W.
所定厚さの S i OC : H膜がウェハ W上に成膜された後、 または、 所定時間後、 システムコントローラ 100は、 下部電極への RF電力の印加をオフとし、 バル ブ V3、 V4を閉鎖して、 TEOS、 02の供給を止める。 その後、 静電チャック は解除される。 システムコントローラ 100は、 バルブ V 5の閉鎖により A rの 供給を停止するとともに、 上部電極への RF電力の印加をオフとする。 続いて、 ウェハ Wはチャンバ 11外に搬出され、 成膜処理は終了する。 After a predetermined thickness of the SiOC: H film is formed on the wafer W or after a predetermined time, the system controller 100 turns off the application of the RF power to the lower electrode, and switches the valves V3 and V4. closed to stop the supply of TEOS, 0 2. Then, the electrostatic chuck Is canceled. The system controller 100 stops the supply of Ar by closing the valve V5, and turns off the application of the RF power to the upper electrode. Subsequently, the wafer W is carried out of the chamber 11, and the film forming process ends.
上記のような成膜処理を所定枚数のウェハ Wに施した後、 システムコントロー ラ 100は、 チャンバ 11のクリーニングを開始する。 ここで、 クリーニングは、 チャンパ 1 1内に 2 m程度の厚さの膜が形成される程度の期間毎に行われる。 まず、 例えば、 2 X 10— 3P a以下の圧力下で、 クリーニング用のダミーゥェ ハ Wをチャンバ 1 1内に搬入してサセプタ 16上に戴置する。 サセプタ 16上の ダミーウェハ Wは、 静電チャックにより固定される。 ウェハ Wは、 例えば、 40 0°C程度に加熱される。 After performing the above-described film forming process on a predetermined number of wafers W, the system controller 100 starts cleaning the chamber 11. Here, the cleaning is performed every period during which a film having a thickness of about 2 m is formed in the champer 11. First, for example, under 2 X 10- 3 P a pressure below, the Damiwe wafer W for cleaning is carried into the chamber 1 1 to the placing on the susceptor 16. The dummy wafer W on the susceptor 16 is fixed by an electrostatic chuck. The wafer W is heated to, for example, about 400 ° C.
続いて、 チャンバ 1 1内を、 例えば、 50 P a程度の圧力とした後、 バルブ V 1、 V 2を開放して NF3および 02の供給を同時に開始する。 ここで、 NF3、 O 2は、 例えば、 20◦ s c c m/50 s c cm (約 4': 1) の流量で供給される。 なお、 クリーニングにおいて、 圧力は 5〜50◦ P aの範囲で、 NF3 (F含有 物質) /〇2 (O含有物質) の比は、 1/10〜10 1の範囲で、 総流量は 10 0 s c cm〜2000 s c c mの範囲のいずれでもよく、 製膜種や製膜量によつ て適宜変更可能である。 Then, the chamber 1 1, for example, 50 after the P a pressure of about, at the same time to start the supply of NF 3 and 0 2 by opening the valve V 1, V 2. Here, NF 3 and O 2 are supplied, for example, at a flow rate of 20 ° sccm / 50 sccm (about 4 ′: 1). In cleaning, the pressure is in the range of 5 to 50 ° Pa, the ratio of NF 3 (F-containing substance) / 〇 2 (O-containing substance) is in the range of 1/1 to 101, and the total flow rate is 10 The thickness may be in the range of 0 sccm to 2000 sccm, and can be appropriately changed depending on the type of film and the amount of film formation.
NF3、 O 2ガスの供給の後、 ァクチベータ 12をオンとする。 ァクチベータ 1After the supply of the NF 3 and O 2 gas, the activator 12 is turned on. Activator 1
2に懊給された N F 3および O 2はプラズマとされ、 プラズマ中のラジカルが選択 的にチャンバ 1 1内に供給される。 The NF 3 and O 2 fed into 2 are turned into plasma, and radicals in the plasma are selectively supplied into the chamber 11.
このとき、 チャンパ 11内に堆積した S i OC : H膜中の S iは、 クリーニン グガス中のフッ素ラジカルと反応して、 4フッ化シラン (S i F4) 等のガスを生 成する。 生成したガスは、 チャンバ 11内から排気される。 At this time, Si in the Si OC: H film deposited in the champer 11 reacts with fluorine radicals in the cleaning gas to generate a gas such as silane tetrafluoride (Si F 4 ). The generated gas is exhausted from the chamber 11.
—方、 S i OC: H中の炭素 (C) は、 フッ素ラジカルとの反応性が低く、 フ ッ素ラジカルの濃度や Cの結合状態によって、 除去されにくい場合がある。 しか し、 リーユングガス中の酸素ラジカルとの反応性は高く、 一酸化炭素 (CO) 、 二酸化炭素 (C02) 等に変換される。 このように生成したガスは、 チャンパ 1 1 内から排気され、 従って、 S i OC : H膜の炭素 (C) も、 S iと同等の速度で · 分解除去され、 S i OC: H膜の効率的な除去が行われる。 以上のように、 クリーニングは進行し、 システムコントローラ 1 0 0は、 A P C 1 4の制御弁の様子から、 クリーニングの進行状況をモニタしている。 システ ムコントローラ 1 0 0は、 クリーニングが終点に達したと判別すると、 ァクチべ ータ 1 2をオフとする。 さらに、 バルブ V I、 V 2を閉鎖してクリーニングガス のチャンバ 1 1内への供給を止める。 その後、 バルブ V 5を開放して A rにより チャンバ内をパージする。 続いて、 静電チャックを解除した後、 A rの供給を停 止する。 その後、 チャンバ 1 1内を常圧として、 ダミーウェハ Wをチャンバ 1 1 内 ら搬出し、 以上でクリーニングは終了する。 —Si OC: Carbon (C) in H has low reactivity with fluorine radicals, and may not be easily removed depending on the concentration of fluorine radicals and the bonding state of C. However, reactivity with oxygen radicals in Riyungugasu is high carbon monoxide (CO), it is converted to carbon dioxide (C0 2) or the like. The gas generated in this way is exhausted from the chamber 11, so that the carbon (C) of the S i OC: H film is also decomposed and removed at the same speed as that of S i OC. Efficient removal is performed. As described above, the cleaning proceeds, and the system controller 100 monitors the progress of the cleaning from the state of the control valve of the APC 14. When the system controller 100 determines that the cleaning has reached the end point, the system controller 100 turns off the activator 12. Further, the valves VI and V2 are closed to stop the supply of the cleaning gas into the chamber 11. Thereafter, the valve V5 is opened, and the chamber is purged with Ar. Subsequently, after releasing the electrostatic chuck, the supply of Ar is stopped. After that, the dummy wafer W is unloaded from the chamber 11 with the pressure inside the chamber 11 at normal pressure, and the cleaning is completed.
以上説明したように、 S i O C : H膜を除去するためのクリーニングガスとし て、 フッ素系ガスの他に酸素 (02) を用いる本実施の形態によれば、 シリコン ( S i ) だけでなく、 炭素 (C) も同等の速度で除去することができる。 これに より、 Cの除去のために長時間のクリーニングを行うことなく、 チャンバ部材の 劣化等が抑えられた、 チャンパ 1 1内の効率的なクリーニングが可能となる。 本発明者らは、 S i O C: H膜を 3 m製膜した後に、 N F 3単独でタリーニン グした場合と、 N F 3 O 2混合でクリーニングした場合と、 を比較する実験を行 つた。 その結果、 チャンバを十分にクリーニングするのに N F 3単独では 3 0分以 上要するのに対し、 N F 3/O 2混合ではタリーユングは 1 5分で終了することを 確認した。 As described above, S i OC: as a cleaning gas for removing H film, according to this embodiment using oxygen (0 2) in addition to the fluorine-based gas, only silicon (S i) And carbon (C) can be removed at the same rate. As a result, the cleaning of the chamber 11 can be efficiently performed without deterioration of the chamber member and the like, without performing cleaning for a long time to remove C. The present inventors conducted an experiment to compare a case where NF 3 alone was used for cleaning after forming a 3 m OC film of S i OC: H with a case where cleaning was performed using a mixture of NF 3 O 2 . As a result, it was confirmed that while NF 3 alone required 30 minutes or more to sufficiently clean the chamber, tally Jung was completed in 15 minutes with NF 3 / O 2 mixture.
また、 ァノレミニゥムからなるチャンバ 1 1の内側の表面は、 酸化皮膜 (アルマ ィト) で覆われており、 酸素により、 プラズマ等による酸化皮膜のダメージが補 償される効果も得られる。 このように、 酸素をクリーニングガスの用いることに より、 過度のクリ一二ングによるチャンバ部材の劣化を防ぐだけでなく、 積極的 にチャンバ部材の劣化を遅らせることも可能となる。 このように、 酸素を含むク リーユングガスでチャンバ 1 1の内部をクリーニングすることにより、 クリー二 ング時間、 および、 チャンパ部材の交換のためのダウンタイムを削減でき、 実質 的な生産性の向上が可能となる。  Further, the inside surface of the chamber 11 made of an anode is covered with an oxide film (alumite), and the effect of compensating for the damage of the oxide film due to plasma or the like is obtained by oxygen. As described above, by using oxygen as the cleaning gas, it is possible to not only prevent deterioration of the chamber member due to excessive cleaning, but also to actively delay the deterioration of the chamber member. In this way, by cleaning the inside of the chamber 11 with a cleaning gas containing oxygen, it is possible to reduce the cleaning time and the downtime for replacing the champer members, thereby substantially improving the productivity. Becomes
また、 酸素を含むクリーニングガスを用いたクリーニングにより、 チャンバ 1 1内のみならず、 排気ライン L 3を構成する配管内に付着した炭素含有物質を効 率よく除去することができる。 本発明は、 上記の実施の形態に限られず、 種々の変形、 応用が可能である。 以 下、 本発明に適用可能な上記の実施の形態の変形態様について、 説明する。 In addition, the cleaning using the cleaning gas containing oxygen can efficiently remove the carbon-containing substance adhering not only in the chamber 11 but also in the piping constituting the exhaust line L3. The present invention is not limited to the above embodiment, and various modifications and applications are possible. Hereinafter, modifications of the above-described embodiment applicable to the present invention will be described.
上記実施の形態では、 処理装置 10は、 S i OC: H膜を成膜するものとした 1 これに限らず、 シリコン (S i) および炭素 (C) を含む膜であれば、 S i C (: H) 膜、 S i CN膜等であってもよい。  In the above-described embodiment, the processing apparatus 10 is configured to form the S i OC: H film. 1 The present invention is not limited to this. (: H) film, SiCN film and the like.
また、 本発明は、 S i N膜の除去にも適用可能である。 というのは、 酸素ラジ カルは、 窒素 (N) との反応性も高く、 容易に反応して NO 2、 N 2〇等のガスを 生成するからである。 これにより、 Nの除去速度も高められ、 より効率的なタリ 一ユングが可能となる。 The present invention is also applicable to the removal of a SiN film. This is because oxygen radicals are highly reactive with nitrogen (N) and easily react to produce gases such as NO 2 and N 2 〇. As a result, the removal rate of N is also increased, and more efficient tally jung is possible.
上記実施の形態では、 クリーニングガスとして、 NF3と O2とを用いるものと した。 し力 し、 クリーニングに用いるガスは、 これに限られない。 例えば、 NF 3の代わりに、 F2、 SF6、 CF4、 C4F8、 C5F8、 C2F 6等のフッ素系ガス、 または、 C 12、 BC 14等の塩素系ガスを使用することができる。 また、 02の代 わりに、 03、 NO2、 N20、 H20、 CO、 C02等の酸素系ガスを用いることが できる。 In the above embodiment, NF 3 and O 2 are used as the cleaning gas. However, the gas used for cleaning is not limited to this. For example, instead of NF 3, F 2, SF 6 , CF 4, C 4 F 8, C 5 F 8, a fluorine-based gas such as C 2 F 6, or,, C 1 2, BC 1 chlorine such as 4 Gas can be used. Further, 0 2 instead, it is possible to use 0 3, NO 2, N 2 0, H 2 0, CO, C0 2 , such as an oxygen-based gas.
上記実施の形態では、 クリ一二ングガスのプラズマをチャンバ 11の外部に設 置したァクチベータ 12により発生させて、 チャンパ 11内に導入するものとし た。 しかし、 図 4に示すように、 クリー-ングガスである NF 3と〇2とをチャン バ 1 1内に供給して、 チャンバ 1 1内の上部電極または下部電極の少なくとも一 方に RF電極を印加して、 チャンバ 1 1内でプラズマを発生させる構成としても よい。 また、 ァクチベータ 12で活性化したクリーニングガスを、 チャンバ 11 内でさらにプラズマとして活性化してもよい。 In the above-described embodiment, the cleaning gas plasma is generated by the activator 12 provided outside the chamber 11 and introduced into the champer 11. However, as shown in FIG. 4, Cree - an NF 3 and 〇 2 is Ngugasu supplied to Chang bar 1 1, applying an RF electrode on at least one sides of the upper electrode or the lower electrode in the chamber 1 1 Then, the plasma may be generated in the chamber 11. Further, the cleaning gas activated by the activator 12 may be further activated as plasma in the chamber 11.
上記実施の形態では、 クリーニングガスは、 ァクチベータ 12により、 プラズ マとされ、 プラズマ中のラジカルが選択的にチャンバ 11内に供給されるものと した。 しかし、 ァクチベータ 12は、 プラズマに限らず、 クリーユングガスを活 性化してラジカルを発生させる機構であればよい。  In the above embodiment, the cleaning gas is converted into a plasma by the activator 12, and the radicals in the plasma are selectively supplied into the chamber 11. However, the activator 12 is not limited to plasma, but may be any mechanism that activates the cleaning gas to generate radicals.
上記実施の形態では、 クリーニングガスは、 チャンバ 1 1の側壁に設けた 2つ のクリ^ ~ニングガス導入口 15からチャンバ 11内に導入するものとした。 しか し、 クリーニングガス導入口 15は 3つ以上設けてもよく、 また、 クリーニング ガスはプロセスガスと同様に、 プロセスガス導入管' 26からチャンバ 11内に導 入するようにしてもよい。 し力 し、 この場合、 チャンバ 1 1の天井部分の堆積物 の除去されにくくなることは勿論である。 In the above embodiment, the cleaning gas is introduced into the chamber 11 through the two cleaning gas introduction ports 15 provided on the side wall of the chamber 11. However, three or more cleaning gas inlets 15 may be provided. Like the process gas, the gas may be introduced into the chamber 11 from the process gas introduction pipe '26. However, in this case, it is of course difficult to remove the deposits on the ceiling portion of the chamber 11.
さらに、 本発明は、 平行平板型に限らず、 ECR型、 I CP型、 ヘリコン型、 マイクロ波型等、 他のプラズマ処理装置にも適用することができる。 また、 ブラ ズマ処理装置に限らず、 エッチング装置、 スパッタ装置、 熱処理装置等の他の装 置にも適用可能である。  Further, the present invention is not limited to the parallel plate type, but can be applied to other plasma processing apparatuses such as an ECR type, an CCP type, a helicon type, a microwave type and the like. Further, the present invention is not limited to a plasma processing apparatus, and can be applied to other apparatuses such as an etching apparatus, a sputtering apparatus, and a heat treatment apparatus.
上記実施の形態では、 処理装置 10は、 半導体ウェハに処理を施すものとした 、 これに限らず、 液晶表示装置等の処理を行う装置に適用しても良い。 産業上の利用の可能性  In the above embodiment, the processing apparatus 10 performs processing on a semiconductor wafer. However, the present invention is not limited to this, and may be applied to an apparatus that performs processing such as a liquid crystal display device. Industrial applicability
本発明は、 半導体ウェハ、 液晶表示装置等の被処理体に成膜処理、 エッチング 処理等のブラズマ処理を施す処理装置に好適に適用することができる。  INDUSTRIAL APPLICABILITY The present invention can be suitably applied to a processing apparatus that performs a plasma processing such as a film forming process and an etching process on an object to be processed such as a semiconductor wafer and a liquid crystal display device.
本発明は、 2001年 8月 7日に出願された特願 2001-239720号に 基づき、 その明細書、 特許請求の範囲、 図面おょぴ要約書を含む。 上記出願にお ける開示は、 本明細書中にその全体が参照として含まれる。  The present invention is based on Japanese Patent Application No. 2001-239720 filed on Aug. 7, 2001, and includes the specification, claims, and drawings and abstract. The disclosure in the above application is incorporated herein by reference in its entirety.

Claims

請求の範囲 The scope of the claims
1.. 内部で被処理体に所定の処理を施すチャンバ (11) と、 1 .. A chamber (11) for internally performing a predetermined process on a workpiece,
前記チャンバ (1 1) に設けられ、 ハロゲン含有物質と酸素含有物質とを含む クリーニングガスを前記チャンバ (1 1) 内に導入するためのクリーニングガス 導入部 (15) と、  A cleaning gas introduction unit (15) provided in the chamber (11) for introducing a cleaning gas containing a halogen-containing substance and an oxygen-containing substance into the chamber (11);
を備える、 ことを特徴とする処理装置。  A processing device comprising:
2. 前記クリーニングガスは、 前記チャンパ (11) の内部に付着した、 少なく とも炭素または窒素のいずれか一方を含む物質を除去する、 ことを特徴とする請 求項 1に記載の処理装置。  2. The processing apparatus according to claim 1, wherein the cleaning gas removes a substance attached to the inside of the champ (11) and containing at least one of carbon and nitrogen.
3. さらに、 前記チャンバ (1 1) の外部に設けられ、 前記クリーニングガスを 活性化するァクチベータ (12) を備え、  3. An activator (12) provided outside the chamber (11) for activating the cleaning gas,
活性化された前記クリーニングガスが前記チャンバ (11) 内に導入される、 ことを特徴とする請求項 1または 2に記載の処理装置。  3. The processing apparatus according to claim 1, wherein the activated cleaning gas is introduced into the chamber (11).
4. さらに、 前記チャンバ (1 1) の内部で、 前記クリーニングガスを活性化す るァクチベータ (12) を備える、 ことを特徴とする請求項 1または 2に記載の  4. The method according to claim 1, further comprising an activator (12) for activating the cleaning gas inside the chamber (11).
5. 前記酸素含有物質は、 酸素 (02) またはオゾン (03) のいずれか一方から 構成される、 ことを特徴とする請求項 1乃至 4のいずれか 1項に記載の処理装置。5. The oxygen-containing material, oxygen (0 2), ozone (0 3) constituted from either, that the processing device according to any one of claims 1 to 4, characterized in.
6. 前記ハロゲン含有物質は、 フッ素系物質から構成される、 請求項 1乃至 5の いずれか 1項に記載の処理装置。 6. The processing apparatus according to any one of claims 1 to 5, wherein the halogen-containing substance is made of a fluorine-based substance.
7. チャンバ (1 1) の内部で被処理体に所定の処理を施す処理装置のクリ一二 ング方法であって、  7. A cleaning method for a processing apparatus for performing a predetermined process on a processing object inside a chamber (11),
前記チャンバ (1 1) 内に、 ハロゲン含有物質と酸素含有物質とを含むタリー ニングガスを導入する工程を備える、 ことを特徴とする処理装置のクリーニング 方法。  A method for cleaning a processing apparatus, comprising a step of introducing a cleaning gas containing a halogen-containing substance and an oxygen-containing substance into the chamber (11).
8. 前記クリーニングガスにより、 前記チャンバ (1 1) の内部に付着した少な くとも炭素または窒素のいずれか一方を含む物質を除去する、 ことを特徴とする 請求項 7に記載の処理装置のクリーニング方法。 8. The cleaning apparatus according to claim 7, wherein the cleaning gas removes a substance containing at least one of carbon and nitrogen attached to the inside of the chamber (11). Method.
9. さらに、 前記クリーニングガスを前記チャンパ (1 1) の外部で活性化して、 前記チャンバ (1 1) 内に供給する工程を備える、 ことを特徴とする請求項 7ま たは 8に記載の処理装置のクリ一ユング方法。 9. The method according to claim 7, further comprising activating the cleaning gas outside the champer (11) and supplying the activated cleaning gas into the chamber (11). Clearing method for processing equipment.
10. さらに、 前記チャンパ (11) の内部で前記クリーニングガスを活性化す る工程を備える、 ことを特徴とする請求項 7または 8に記載の処理装置のタリー ユング方法。  10. The method according to claim 7 or 8, further comprising a step of activating the cleaning gas inside the champer (11).
11. 前記酸素含有物質として、 酸素 (O2) またはオゾン (03) のいずれか一 方を用いる、 ことを特徴とする請求項 7乃至 10のいずれか 1項に記載の処理装 置のクリーニング方法。 11. The cleaning apparatus according to claim 7, wherein one of oxygen (O 2 ) and ozone (O 3 ) is used as the oxygen-containing substance. Method.
12. 前記ハロゲン含有物質として、 フッ素系物質を用いる、 ことを特徴とする 請求項 7乃至 11のいずれか 1項に記載の処理装置のクリーニング方法。  12. The method for cleaning a processing apparatus according to claim 7, wherein a fluorine-based substance is used as the halogen-containing substance.
PCT/JP2002/008089 2001-08-07 2002-08-07 Treating device and cleaning method therefor WO2003014413A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2001-239720 2001-08-07
JP2001239720 2001-08-07

Publications (1)

Publication Number Publication Date
WO2003014413A1 true WO2003014413A1 (en) 2003-02-20

Family

ID=19070425

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2002/008089 WO2003014413A1 (en) 2001-08-07 2002-08-07 Treating device and cleaning method therefor

Country Status (1)

Country Link
WO (1) WO2003014413A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10178004A (en) * 1996-11-13 1998-06-30 Applied Materials Inc Method and apparatus for cleaning surface in substrate process system
JPH1187248A (en) * 1997-09-02 1999-03-30 Sharp Corp Plasma-cleaning device
JP3084024B1 (en) * 1999-12-08 2000-09-04 株式会社半導体先端テクノロジーズ Plasma CVD apparatus chamber cleaning method and plasma CVD apparatus
JP2000299289A (en) * 1999-01-12 2000-10-24 Central Glass Co Ltd Cleaning gas and cleaning method of vacuum processing apparatus
JP2002100618A (en) * 2000-07-18 2002-04-05 Showa Denko Kk Cleaning gas for semiconductor manufacturing apparatus and its method of cleaning

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10178004A (en) * 1996-11-13 1998-06-30 Applied Materials Inc Method and apparatus for cleaning surface in substrate process system
JPH1187248A (en) * 1997-09-02 1999-03-30 Sharp Corp Plasma-cleaning device
JP2000299289A (en) * 1999-01-12 2000-10-24 Central Glass Co Ltd Cleaning gas and cleaning method of vacuum processing apparatus
JP3084024B1 (en) * 1999-12-08 2000-09-04 株式会社半導体先端テクノロジーズ Plasma CVD apparatus chamber cleaning method and plasma CVD apparatus
JP2002100618A (en) * 2000-07-18 2002-04-05 Showa Denko Kk Cleaning gas for semiconductor manufacturing apparatus and its method of cleaning

Similar Documents

Publication Publication Date Title
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
TWI674617B (en) Method for performing plasma treatment process after plasma cleaning process
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7550090B2 (en) Oxygen plasma clean to remove carbon species deposited on a glass dome surface
US7862683B2 (en) Chamber dry cleaning
CN104882360B (en) Cleaning method of plasma processing apparatus
US20060090773A1 (en) Sulfur hexafluoride remote plasma source clean
US20050155625A1 (en) Chamber cleaning method
JPH04245627A (en) Cleaning method of processing vessel
US6967171B2 (en) Insulation film etching method
JP2009188198A (en) Method of manufacturing semiconductor device and substrate treatment apparatus
JP5548028B2 (en) Deposition chamber remote cleaning method
US5972799A (en) Dry etching method
US6830631B2 (en) Method for the removing of adsorbed molecules from a chamber
CN111719137B (en) Method for cleaning film forming apparatus
JP2006319041A (en) Plasma cleaning method and method for forming film
JP2004128281A (en) Substrate treatment method and apparatus thereof
US20110114114A1 (en) Cleaning method of apparatus for depositing carbon containing film
CN112635317A (en) Etching method, method for removing damaged layer, and storage medium
JP2006319042A (en) Plasma cleaning method and method for forming film
KR20010104260A (en) Gas reactions to eliminate contaminates in a cvd chamber
US20050170196A1 (en) Substrate having catalyst layer thereon and method of cleaning reaction chamber using the same
WO2003014413A1 (en) Treating device and cleaning method therefor
JP3820212B2 (en) Method for conditioning a CVD chamber after CVD chamber cleaning
JPH0529285A (en) Cleaning method and semiconductor manufacturing device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BY BZ CA CH CN CO CR CU CZ DE DM DZ EC EE ES FI GB GD GE GH HR HU ID IL IN IS JP KE KG KP KR LC LK LR LS LT LU LV MA MD MG MN MW MX MZ NO NZ OM PH PL PT RU SD SE SG SI SK SL TJ TM TN TR TZ UA UG US UZ VC VN YU ZA ZM

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ UG ZM ZW AM AZ BY KG KZ RU TJ TM AT BE BG CH CY CZ DK EE ES FI FR GB GR IE IT LU MC PT SE SK TR BF BJ CF CG CI GA GN GQ GW ML MR NE SN TD TG

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP