WO2002072286A1 - Combined plasma/liquid cleaning of substrates - Google Patents

Combined plasma/liquid cleaning of substrates Download PDF

Info

Publication number
WO2002072286A1
WO2002072286A1 PCT/US2002/007068 US0207068W WO02072286A1 WO 2002072286 A1 WO2002072286 A1 WO 2002072286A1 US 0207068 W US0207068 W US 0207068W WO 02072286 A1 WO02072286 A1 WO 02072286A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
liquid rinse
predetermined areas
plasma
cleaning
Prior art date
Application number
PCT/US2002/007068
Other languages
French (fr)
Inventor
Gary S. Selwyn
Ivars Henins
Original Assignee
The Regents Of The University Of California
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US09/803,065 priority Critical patent/US6546938B2/en
Application filed by The Regents Of The University Of California filed Critical The Regents Of The University Of California
Priority to CA002440254A priority patent/CA2440254A1/en
Priority to JP2002571238A priority patent/JP2004536446A/en
Publication of WO2002072286A1 publication Critical patent/WO2002072286A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/02Cleaning by the force of jets or sprays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Definitions

  • the present invention generally relates to the cleaning of substrates in the manufacture of electronic circuits, and more specifically to the cleaning of metallic films from substrates.
  • This invention was made with Government support under Contract No. -7405-ENG-36 awarded by the U.S. Department of Energy. The Government has certain rights in the invention.
  • Modern integrated circuits and computer chips have two levels of fabrication: the "front-end-of-line” , (FEOL) , which consists of structured portions of silicon and silicon-containing compounds, which are built into the silicon wafers using lithography, thin film deposition, and ion implantation techniques; and the "back-end-of line”, (BEOL) , components, which wire or connect different regions of a device or different devices, and which are built on top of the wafer surface.
  • FEOL front-end-of-line
  • BEOL back-end-of line
  • circuits are commonly "shrunk” in the FEOL fabrication process, as this reduces the electron travel distance, and better conductors are used in the BEOL. This is especially- important since smaller lines carrying current also have greater resistance.
  • the BEOL fabrication process has employed aluminum metallurgy in interconnection technology for the reason that aluminum can be easily deposited and etched to make the required wires and contacts needed to connect different devices and different portions of the same device.
  • copper in place of aluminum provides an important advantage because copper has a lower resistivity than does aluminum. This lower resistivity of copper allows faster interconnection response due to the resultant lower resistance/capacitance (RC) time constant. Copper is also more resistant to corrosion than aluminum circuitry.
  • copper interconnects on a silicon or other semiconductor device presents certain technological problems .
  • copper cannot be plasma etched because the halide salts of copper are involatile at the relatively low temperatures required for silicon processing.
  • aluminum etching is often accomplished by exposing the Al film on a substrate to chlorine-containing plasma, which generates atomic chlorine. The chemical reaction of the plasma-generated atomic chlorine with the Al on the substrate produces the reaction product, A1C1 3 .
  • This aluminum salt has sufficiently high vapor pressure that it is pumped away by the vacuum system that is always used in low pressure plasma etching.
  • the chlorine-containing feedgas is typically a mix of BC1 3 and/or Cl 2 .
  • the wafer is heated to 200 - 300 deg. C, which increases the vapor pressure of the Al salt, resulting in its evaporation from the wafer. These temperatures are acceptable to a wafer and do not cause harm to the materials present on the wafer, nor the structure of the device.
  • copper when exposed to a chlorine or fluorine- containing reactive plasma, copper forms a reaction product, which has a low vapor pressure and thus cannot be pumped away by the vacuum system.
  • the present invention relates to another step in copper interconnect technology in which directionality is not required.
  • directionality is not required.
  • the presence of copper on these other portions of the silicon wafer i.e., the beveled edge of the wafer, the backside of the wafer, and the outermost circumference of the wafer in the so-called "edge exclusion” zone
  • copper in these regions can contaminate the equipment used for processing wafers.
  • Copper contamination within a process tool could be a cause of yield loss because stray copper can change the electrical properties of the bulk silicon and alter the operation of a device.
  • a barrier layer usually titanium.
  • this protection is missing from the edges of the wafer and from the backside of the wafer.
  • it is vitally important to remove the copper film from the front edge exclusion zone, the wafer bevel, and the rear edges of the wafer so that serious contamination problems are prevented.
  • Current means of achieving this require exposure of the wafer to acid sprays, because such acids are capable of dissolving copper. This approach works, but is labor intensive, requires additional process steps, and also generates hazardous chemical waste.
  • the present invention provides a cost-effective alternative to the use of these chemical solvents, which are presently used to remove contaminant copper from the beveled edge, front-side edge exclusion zone and the backside of silicon wafers. It allows edge cleaning of substrates and cleaning of selected portions of the substrate using a plasma and does not require exposure of the substrate to hazardous solvents or acids. It is a unique merging of both dry plasma technology with a gentle liquid rinsing process, something that would be impossible to achieve using conventional, low pressure plasmas, because this plasma process operates at pressures at, or close to atmospheric pressure. It partly accomplishes this through use of the technology described in U.S. Patent Number 5,961,772, issued October 15, 1999, to Selwyn et al . The teachings of this patent are included herein for all purposes. It therefore an object of the present invention to provide for isotropic cleaning of copper films from substrates .
  • the apparatus of this invention for the cleaning of substrates comprises a rotating chuck for holding said substrate for cleaning with an atmospheric pressure plasma jet spaced apart from the substrate for causing a plasma to impinge upon predetermined areas of the substrate.
  • a nozzle sprays a liquid rinse onto the predetermined areas of the substrate while the substrate is sequentially or simultaneously exposed to the plasma.
  • the apparatus for the cleaning of substrates comprises a rotating chuck for holding the substrate for cleaning with an atmospheric pressure plasma jet spaced apart from the substrate for causing a plasma to impinge upon predetermined areas of the substrate.
  • a first nozzle sprays a liquid rinse onto the predetermined areas of the substrate, and a second nozzle blows a gas onto the predetermined areas of the substrate .
  • the method for the cleaning of substrates comprises the steps of rotating the substrate to be cleaned on a chuck; impinging a plasma from an atmospheric pressure plasma jet onto predetermined areas of the substrate; and spraying a liquid rinse onto the predetermined areas of the substrate.
  • the method for the cleaning of substrates comprises the steps of spot-positioning an atmospheric pressure plasma jet to treat predetermined regions of the substrate and rinsing the predetermined regions of the substrate with a liquid rinse for removing a preselected portion of film from the substrate.
  • Apparatus for the cleaning of substrates comprises a chuck for holding the substrate for cleaning in a stationary position, with an atmospheric pressure plasma jet spaced apart from the substrate for causing a plasma to impinge upon predetermined areas of the substrate .
  • a spray of a liquid rinse impinges onto the predetermined areas of the substrate.
  • the atmospheric pressure plasma jet and the spray of a liquid rinse are rotated so that the plasma and the liquid rinse reach predetermined regions of the substrate .
  • FIGURE 1 is a schematical illustration of one embodiment of the present invention showing the plasma jet processing an area of a wafer followed by a rinsing step.
  • FIGURE 2 is a schematical illustration of another embodiment of the present invention in which the rinsing step is followed by a drying step.
  • the present invention provides for the method and apparatus for the selective localized removal of thin film from the edges, back surfaces, and portions of the front surface of silicon wafers or other substrates.
  • the invention accomplishes this through the utilization of simultaneous, and yet sequential, plasma and liquid rinse spray processing.
  • the invention can be understood most easily through reference to the drawings.
  • FIG. 1 there can be seen a schematical illustration of one embodiment of the present invention.
  • substrate 11 representing any substrate appropriate for this processing, is held and rotated by rotating chuck 1_2.
  • APPJ Atmospheric Pressure Plasma Jet
  • APPJ 13_ processes substrate 1_1 by converting Copper (Cu) , as an example, to Copper Chloride (CuCl 2 ) .
  • Cu Copper
  • CuCl 2 Copper Chloride
  • liquid rinse 15 As substrate 11 rotates, the created CuCl2 is dissolved in liquid rinse 15 sprayed by nozzle 14 and falls into collector 16. This accomplishes the needed removal of the copper film by repeated passage of the wafer region through both the plasma jet gas (containing atomic chlorine) and the spray of liquid rinse 15, which dissolves the copper salt formed by the passage of the wafer through the plasma effluent.
  • Liquid rinse 15 can be any liquid phase chemical that is effective in dissolving the copper salts or other contaminant to be removed from substrate 1_1.
  • liquid rinse 15_ can be water, deionized or distilled.
  • liquid rinse 15_ could contain a chelating agent to enhance the solubility of the plasma-generated salt of the contaminant. Rotation of substrate 11 performs several important functions.
  • the preferred embodiment of the present invention provides a means for removal of copper films from the beveled edge of silicon wafers.
  • the edge of substrate 1_1 is exposed to a halogen containing plasma effluent from APPJ 13_ while rotating on rotating chuck 1_2, converting the copper film to copper halide.
  • nozzle 14 sprays liquid rinse 15 toward spinning substrate 11, dissolving the created copper halide.
  • APPJ 13_ is described completely in U.S Patent No. 5,961,772.
  • the application of APPJ 13 to the current invention allows the invention to function with a great deal of efficacy and allows removal even of thick copper films through this sequential process approach.
  • Use of conventional, low pressure plasmas to achieve the same would be extremely onerous, as a liquid rinse spray cannot be used in low pressure processing chambers owing to the high vapor pressure of the liquid rinse, which would result in plasma interaction with the liquid rinse vapor.
  • removing the substrate to a separate chamber for rinsing would require multiple passages through vacuum loadlocks, as the liquid rinse spray must be done at atmospheric pressure and the plasma interaction would normally require pressure in the range of 1 to 100 mTorr.
  • FIG. 2 Another embodiment of the present invention is shown as a schematical illustration in Figure 2, and is intended to provide additional drying of desired sections of substrate _11 before the section again encounters APPJ 13.
  • gas nozzle 1_7 is illustrated.
  • gas nozzle would spray nitrogen or other drying gas onto substrate 11 before the treated section again encounters APPJ 1_3. This is to assist drying of the section of substrate 1_1 before retreatment, when required. Complete drying of the treated region normally is not required for operation. Simply removing the majority of the sprayed water solution usually is sufficient .
  • the major advantage of the present invention over prior art wafer cleaning methods is that the regions in which copper removal is required can be selected easily by engineering the flow of gas from APPJ 13_ to substrate 11. Also, there is no need for heating of the wafers to high temperatures.
  • the invention is clearly superior to acid-based cleaning processes in both that no masking is required and that no hazardous or toxic waste, other than dissolved CuCl 2 , is generated. In addition, by aligning APPJ 13 with the edge of substrate 11, this region may be treated locally.
  • the present invention is not a batch process, the likelihood of wafer-to-wafer contamination is low. In such batch processes, copper dissolved from the substrate may remain in the tank and may contaminate other substrates unless high volumes of a liquid rinse are used, adding to the generation of liquid, chemical waste.
  • the most obvious application of the present invention is for selective removal of copper films from the back surface, edges and other selected regions of the front surface of substrates 11.
  • the present invention can be used also to remove any metal or nonmetal film that can be converted into a soluble chemical by exposure to the plasma from APPJ 13_, and the soluble chemical then removed by dissolution in deionized water, or other solvent as liquid rinse 15.
  • Other applications may be in the recycling or repair of architectural window glass or computer packaging substrates, and in the flat panel display industry. It is to be understood that with reference to Figures 1 and 2 that substrate 11 could be held stationary and APPJ 1_3, liquid spray 15 and gas nozzle 1_7 rotated to achieve the same result. The drawings make it clear that this could be done when such an arrangement is warranted.

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

Apparatus and method for cleaning substrates. A substrate (11) is held and rotated by a chuck (12) and an atmospheric pressure plasma jet (13) places a plasma onto predetermined areas of the substrate (11). Subsequently liquid rinse (15) is sprayed onto the predetermined areas. In one embodiment, a nozzle sprays a gas onto the predetermined areas to assist in drying the predetermined areas when needed.

Description

COMBINED PLASMA/LIQUID CLEANING OF SUBSTRATES
The present invention generally relates to the cleaning of substrates in the manufacture of electronic circuits, and more specifically to the cleaning of metallic films from substrates. This invention was made with Government support under Contract No. -7405-ENG-36 awarded by the U.S. Department of Energy. The Government has certain rights in the invention.
BACKGROUND OF THE INVENTION
Modern integrated circuits and computer chips have two levels of fabrication: the "front-end-of-line" , (FEOL) , which consists of structured portions of silicon and silicon-containing compounds, which are built into the silicon wafers using lithography, thin film deposition, and ion implantation techniques; and the "back-end-of line", (BEOL) , components, which wire or connect different regions of a device or different devices, and which are built on top of the wafer surface. Back-end-of-line fabrication typically involves a number of metallization and dielectric isolation films or steps.
In order to make a device operate faster, circuits are commonly "shrunk" in the FEOL fabrication process, as this reduces the electron travel distance, and better conductors are used in the BEOL. This is especially- important since smaller lines carrying current also have greater resistance. Traditionally, the BEOL fabrication process has employed aluminum metallurgy in interconnection technology for the reason that aluminum can be easily deposited and etched to make the required wires and contacts needed to connect different devices and different portions of the same device. However, the use of copper in place of aluminum provides an important advantage because copper has a lower resistivity than does aluminum. This lower resistivity of copper allows faster interconnection response due to the resultant lower resistance/capacitance (RC) time constant. Copper is also more resistant to corrosion than aluminum circuitry.
Unfortunately, copper interconnects on a silicon or other semiconductor device presents certain technological problems . As opposed to aluminum, copper cannot be plasma etched because the halide salts of copper are involatile at the relatively low temperatures required for silicon processing. In contrast, aluminum etching is often accomplished by exposing the Al film on a substrate to chlorine-containing plasma, which generates atomic chlorine. The chemical reaction of the plasma-generated atomic chlorine with the Al on the substrate produces the reaction product, A1C13. This aluminum salt has sufficiently high vapor pressure that it is pumped away by the vacuum system that is always used in low pressure plasma etching. The chlorine-containing feedgas is typically a mix of BC13 and/or Cl2. To aid in the volatilization and removal of the Al salt, the wafer is heated to 200 - 300 deg. C, which increases the vapor pressure of the Al salt, resulting in its evaporation from the wafer. These temperatures are acceptable to a wafer and do not cause harm to the materials present on the wafer, nor the structure of the device. Unlike Al , when exposed to a chlorine or fluorine- containing reactive plasma, copper forms a reaction product, which has a low vapor pressure and thus cannot be pumped away by the vacuum system. This inhibits, and essentially stops, the reaction process because the buildup of the copper fluoride (CuF2) or copper chloride (CuCl2) films form a passivating surface film on the wafer, which prevents reactive chlorine or fluorine atoms from reaching the unreacted copper surface. It would be possible to etch copper in plasmas, by subjecting the wafer to very high temperatures, in the range of 400-700 C. At these temperatures, the copper chloride has sufficient vapor pressure to be pumped away. However, these high temperatures irreversibly damage the devices on the wafer. Because of this, other techniques are required to etch copper. While these techniques are meticulous, and typically involve the use of multiple lift-off steps, in which a layer below the copper film is attacked and then peeled off, no suitable alternative exists at this time. This is because the etching process for the interconnect levels on the wafer needs to achieve high directionality (anisotropy) to produce fine lines. The present invention relates to another step in copper interconnect technology in which directionality is not required. As part of the developed art in copper process technologies for silicon wafers, it has been shown that it is important to remove unwanted copper film deposits from the beveled edge of a wafer, as well as from the back side edge, and the front edge of the wafer. The copper deposited in these regions is the result of film deposition method used for putting copper films on silicon wafers. Whereas copper is desirable as an interconnect material, the presence of copper on these other portions of the silicon wafer (i.e., the beveled edge of the wafer, the backside of the wafer, and the outermost circumference of the wafer in the so-called "edge exclusion" zone) is considered undesirable because copper in these regions can contaminate the equipment used for processing wafers. Accordingly, it is desirable to remove any copper present in the "edge-exclusion" zone, which typically is 2-4 mm from the periphery of the wafer, and from the other cited regions of the wafer. If not removed from the wafer, copper present in these regions could contaminate the robotic handling system for the tools with which the wafers are processed.
Copper contamination within a process tool could be a cause of yield loss because stray copper can change the electrical properties of the bulk silicon and alter the operation of a device. On the front surface of the wafer, protection of the silicon is achieved by using a barrier layer, usually titanium. However, this protection is missing from the edges of the wafer and from the backside of the wafer. In sum, it is vitally important to remove the copper film from the front edge exclusion zone, the wafer bevel, and the rear edges of the wafer so that serious contamination problems are prevented. Current means of achieving this require exposure of the wafer to acid sprays, because such acids are capable of dissolving copper. This approach works, but is labor intensive, requires additional process steps, and also generates hazardous chemical waste.
The present invention provides a cost-effective alternative to the use of these chemical solvents, which are presently used to remove contaminant copper from the beveled edge, front-side edge exclusion zone and the backside of silicon wafers. It allows edge cleaning of substrates and cleaning of selected portions of the substrate using a plasma and does not require exposure of the substrate to hazardous solvents or acids. It is a unique merging of both dry plasma technology with a gentle liquid rinsing process, something that would be impossible to achieve using conventional, low pressure plasmas, because this plasma process operates at pressures at, or close to atmospheric pressure. It partly accomplishes this through use of the technology described in U.S. Patent Number 5,961,772, issued October 15, 1999, to Selwyn et al . The teachings of this patent are included herein for all purposes. It therefore an object of the present invention to provide for isotropic cleaning of copper films from substrates .
It is another object of the present invention to provide for cleaning of copper films from specific regions of a substrate.
It is yet another object of the present invention to provide apparatus that allows copper to be used in integrated circuit fabrication.
It is yet another object of the present invention to provide an approach by which atmospheric pressure plasma processing is alternated with a liquid rinsing step to achieve material process capability that would either not be possible separately, or which would be onerous to achieve using a sequential low-pressure plasma, followed by a rinsing step. Additional objects, advantages and novel features of the invention will be set forth in part in the description which follows, and in part will become apparent to those skilled in the art upon examination of the following or may be learned by practice of the invention. The objects and advantages of the invention may be realized and attained by means of the instrumentalities and combinations particularly pointed out in the appended claims. SUMMARY OF THE INVENTION
To achieve the foregoing and other objects, and in accordance with the purposes of the present invention, as embodied and broadly described herein, the apparatus of this invention for the cleaning of substrates comprises a rotating chuck for holding said substrate for cleaning with an atmospheric pressure plasma jet spaced apart from the substrate for causing a plasma to impinge upon predetermined areas of the substrate. A nozzle sprays a liquid rinse onto the predetermined areas of the substrate while the substrate is sequentially or simultaneously exposed to the plasma.
In another aspect of the present invention and in accordance with its principles and purposes the apparatus for the cleaning of substrates comprises a rotating chuck for holding the substrate for cleaning with an atmospheric pressure plasma jet spaced apart from the substrate for causing a plasma to impinge upon predetermined areas of the substrate. A first nozzle sprays a liquid rinse onto the predetermined areas of the substrate, and a second nozzle blows a gas onto the predetermined areas of the substrate . In yet another aspect of the present invention and in accordance with its principles and purposes the method for the cleaning of substrates comprises the steps of rotating the substrate to be cleaned on a chuck; impinging a plasma from an atmospheric pressure plasma jet onto predetermined areas of the substrate; and spraying a liquid rinse onto the predetermined areas of the substrate.
In yet another aspect of the present invention and in accordance with its principles and purposes the method for the cleaning of substrates comprises the steps of spot-positioning an atmospheric pressure plasma jet to treat predetermined regions of the substrate and rinsing the predetermined regions of the substrate with a liquid rinse for removing a preselected portion of film from the substrate.
In still another aspect of the present invention and in accordance with its principles and purposes Apparatus for the cleaning of substrates comprises a chuck for holding the substrate for cleaning in a stationary position, with an atmospheric pressure plasma jet spaced apart from the substrate for causing a plasma to impinge upon predetermined areas of the substrate . A spray of a liquid rinse impinges onto the predetermined areas of the substrate. Wherein the atmospheric pressure plasma jet and the spray of a liquid rinse are rotated so that the plasma and the liquid rinse reach predetermined regions of the substrate .
BRIEF DESCRIPTION OF THE DRAWINGS The accompanying drawings, which are incorporated in and form a part of the specification, illustrate the embodiments of the present invention and, together with the description, serve to explain the principles of the invention. In the drawings: FIGURE 1 is a schematical illustration of one embodiment of the present invention showing the plasma jet processing an area of a wafer followed by a rinsing step.
FIGURE 2 is a schematical illustration of another embodiment of the present invention in which the rinsing step is followed by a drying step.
DETAILED DESCRIPTION The present invention provides for the method and apparatus for the selective localized removal of thin film from the edges, back surfaces, and portions of the front surface of silicon wafers or other substrates. The invention accomplishes this through the utilization of simultaneous, and yet sequential, plasma and liquid rinse spray processing. The invention can be understood most easily through reference to the drawings.
Referring first to Figure 1, there can be seen a schematical illustration of one embodiment of the present invention. As shown, substrate 11, representing any substrate appropriate for this processing, is held and rotated by rotating chuck 1_2. At any one instant of time, the output of Atmospheric Pressure Plasma Jet (APPJ) , 13, containing a flow of atomic chlorine formed by the reaction of the electrons in the plasma source with the feedstock, is directed at one portion of substrate 11 and water nozzle 14 sprays liquid rinse lj> at a another portion. With rotating chuck 12 rotating substrate 11 in the direction shown, APPJ 13_ processes substrate 1_1 by converting Copper (Cu) , as an example, to Copper Chloride (CuCl2) . As substrate 11 rotates, the created CuCl2 is dissolved in liquid rinse 15 sprayed by nozzle 14 and falls into collector 16. This accomplishes the needed removal of the copper film by repeated passage of the wafer region through both the plasma jet gas (containing atomic chlorine) and the spray of liquid rinse 15, which dissolves the copper salt formed by the passage of the wafer through the plasma effluent.
Liquid rinse 15 can be any liquid phase chemical that is effective in dissolving the copper salts or other contaminant to be removed from substrate 1_1. For many applications, liquid rinse 15_ can be water, deionized or distilled. When dealing with cleaning or removal of radioactive or other poorly solvated heavy metal contaminants, such as lead, liquid rinse 15_ could contain a chelating agent to enhance the solubility of the plasma-generated salt of the contaminant. Rotation of substrate 11 performs several important functions. Among these are functions are the following: (1) the rotation allows APPJ 13_ to remain stationary with respect to substrate 1_1, while a desired section of substrate 1_1 is exposed to the generated plasma; (2) a spray of liquid rinse 15 is directed onto a desired section of substrate 11 after it has been processed by APPJ 13_; (3) centrifugal velocity, caused by the rotation of substrate 11, assists in the removal of the dissolved copper as well as the drying of the processed and rinsed section of substrate 11 prior to that section rotating back into the plasma stream from APPJ 13. The preferred embodiment of the present invention provides a means for removal of copper films from the beveled edge of silicon wafers. To accomplish this, using the above-described plasma/water spray process, the edge of substrate 1_1 is exposed to a halogen containing plasma effluent from APPJ 13_ while rotating on rotating chuck 1_2, converting the copper film to copper halide. At a slightly later time nozzle 14 sprays liquid rinse 15 toward spinning substrate 11, dissolving the created copper halide.
As referenced above, APPJ 13_ is described completely in U.S Patent No. 5,961,772. The application of APPJ 13 to the current invention allows the invention to function with a great deal of efficacy and allows removal even of thick copper films through this sequential process approach. Use of conventional, low pressure plasmas to achieve the same would be extremely onerous, as a liquid rinse spray cannot be used in low pressure processing chambers owing to the high vapor pressure of the liquid rinse, which would result in plasma interaction with the liquid rinse vapor. Further, removing the substrate to a separate chamber for rinsing would require multiple passages through vacuum loadlocks, as the liquid rinse spray must be done at atmospheric pressure and the plasma interaction would normally require pressure in the range of 1 to 100 mTorr. Additionally, there would be no easy means of masking the substrate to achieve copper removal from only selected regions. The short reaction distance that the atmospheric pressure plasma jet has, easily achieves such "masking" capability by virtue of the fact that the generated chlorine atoms recombine and become relatively unreactive once they are transported several mm from the source exit. Accordingly, the size of the nozzle on the end of the plasma jet and the distance of the jet from the wafer, as well as the diameter of the plasma source, achieves masking and reaction all in one step .
Another embodiment of the present invention is shown as a schematical illustration in Figure 2, and is intended to provide additional drying of desired sections of substrate _11 before the section again encounters APPJ 13. As shown, in addition to APPJ 13_ and nozzle 14, gas nozzle 1_7 is illustrated. In the indicated rotation of substrate 1_1, gas nozzle would spray nitrogen or other drying gas onto substrate 11 before the treated section again encounters APPJ 1_3. This is to assist drying of the section of substrate 1_1 before retreatment, when required. Complete drying of the treated region normally is not required for operation. Simply removing the majority of the sprayed water solution usually is sufficient .
The major advantage of the present invention over prior art wafer cleaning methods is that the regions in which copper removal is required can be selected easily by engineering the flow of gas from APPJ 13_ to substrate 11. Also, there is no need for heating of the wafers to high temperatures. The invention is clearly superior to acid-based cleaning processes in both that no masking is required and that no hazardous or toxic waste, other than dissolved CuCl2, is generated. In addition, by aligning APPJ 13 with the edge of substrate 11, this region may be treated locally. Finally, as the present invention is not a batch process, the likelihood of wafer-to-wafer contamination is low. In such batch processes, copper dissolved from the substrate may remain in the tank and may contaminate other substrates unless high volumes of a liquid rinse are used, adding to the generation of liquid, chemical waste.
As previously discussed, the most obvious application of the present invention is for selective removal of copper films from the back surface, edges and other selected regions of the front surface of substrates 11. However, the present invention can be used also to remove any metal or nonmetal film that can be converted into a soluble chemical by exposure to the plasma from APPJ 13_, and the soluble chemical then removed by dissolution in deionized water, or other solvent as liquid rinse 15. Other applications may be in the recycling or repair of architectural window glass or computer packaging substrates, and in the flat panel display industry. It is to be understood that with reference to Figures 1 and 2 that substrate 11 could be held stationary and APPJ 1_3, liquid spray 15 and gas nozzle 1_7 rotated to achieve the same result. The drawings make it clear that this could be done when such an arrangement is warranted.
The foregoing description of the embodiments of the invention has been presented for purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise form disclosed, and obviously many modifications and variations are possible in light of the above teaching. The embodiments were chosen and described in order to best explain the principles of the invention and its practical application to thereby enable others skilled in the art to best utilize the invention in various embodiments and with various modifications as are suited to the particular use contemplated. It is intended that the scope of the invention be defined by the claims appended hereto.

Claims

What is claimed is:
1. Apparatus for the cleaning of substrates comprises: a chuck for holding said substrate for cleaning; an atmospheric pressure plasma jet spaced apart from said substrate for causing a plasma to impinge upon predetermined areas of said substrate; a spray of liquid rinse onto said predetermined areas of said substrate while said substrate is sequentially or simultaneously exposed to said plasma.
2. The apparatus as described in Claim 1 wherein said substrate comprises a silicon wafer.
3. The apparatus as described in Claim 1 wherein said substrate comprises a flat panel display.
4. The apparatus as described in Claim 1 wherein said plasma contains halogen feed gas or halogen chemical compounds .
5. The apparatus as described in Claim 1 wherein said liquid rinse comprises water.
6. The apparatus as described in Claim 1 wherein said liquid rinse comprises a chelating agent.
7. Apparatus for the cleaning of substrates comprises: a rotating chuck for holding said substrate for cleaning; an atmospheric pressure plasma jet spaced apart from said substrate for causing a plasma to impinge upon predetermined areas of said substrate; a spray of a liquid rinse onto said predetermined areas of said substrate; and a nozzle for blowing a gas onto said predetermined areas of said substrate.
8. The method described in Claim 7 wherein said gas is nitrogen.
9. The method described in Claim 7 wherein said substrate comprises a silicon wafer.
10. The method described in Claim 7 wherein said substrate comprises a flat panel display.
11. The method as described in Claim 7 wherein said plasma contains a halogen gas or a halogen compound.
12. The method as described in Claim 7 wherein said liquid rinse comprises water.
13. The method as described in Claim 7 wherein said liquid rinse comprises a chelating agent.
14. A method of cleaning substrates comprises the steps of: rotating said substrate to be cleaned on a chuck; impinging a plasma from an atmospheric pressure plasma jet onto predetermined areas of said substrate; spraying a liquid rinse onto said predetermined areas of said substrate .
15. The method described in Claim 14 wherein said substrate is a silicon wafer.
16. The method described in Claim 14 wherein said substrate is a flat panel display.
17. The method as described in Claim 14 wherein said liquid rinse comprises water.
18. The method as described in Claim 14 wherein said liquid rinse comprises a chelating agent.
19. The method described in Claim 14 further comprising the step of blowing a gas onto said predetermined areas of said substrate for drying said predetermined areas of said substrate .
20. The method described in Claim 19 wherein said gas comprises nitrogen.
21. The method as described in Claim 14 wherein said plasma contains a halogen gas or a halogen compound.
22. A method for the cleaning of substrates comprises the steps of: spot-positioning an atmospheric pressure plasma jet to treat predetermined regions of said substrate; rinsing said predetermined regions of said substrate with a liquid rinse for removing a preselected portion of film from said substrate.
23. Apparatus for the cleaning of substrates comprises: a chuck for holding said substrate for cleaning in a stationary position; an atmospheric pressure plasma jet spaced apart from said substrate for causing a plasma to impinge upon predetermined areas of said substrate; a spray of a liquid rinse onto said predetermined areas of said substrate; and wherein said atmospheric pressure plasma jet and said spray of a liquid rinse are rotated so that said plasma and said liquid rinse reach predetermined regions of said substrate .
24. The apparatus as described in Claim 23, further comprising a nozzle for blowing a gas onto said predetermined areas of said substrate rotating with said atmospheric pressure plasma jet and said spray of a liquid rinse.
PCT/US2002/007068 2001-03-12 2002-03-07 Combined plasma/liquid cleaning of substrates WO2002072286A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US09/803,065 US6546938B2 (en) 2001-03-12 2001-03-12 Combined plasma/liquid cleaning of substrates
CA002440254A CA2440254A1 (en) 2001-03-12 2002-03-07 Combined plasma/liquid cleaning of substrates
JP2002571238A JP2004536446A (en) 2001-03-12 2002-03-07 Combined plasma / liquid cleaning of substrates

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/803,065 US6546938B2 (en) 2001-03-12 2001-03-12 Combined plasma/liquid cleaning of substrates
US09/803,065 2001-03-12

Publications (1)

Publication Number Publication Date
WO2002072286A1 true WO2002072286A1 (en) 2002-09-19

Family

ID=25185487

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/007068 WO2002072286A1 (en) 2001-03-12 2002-03-07 Combined plasma/liquid cleaning of substrates

Country Status (4)

Country Link
US (1) US6546938B2 (en)
JP (1) JP2004536446A (en)
CA (1) CA2440254A1 (en)
WO (1) WO2002072286A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2031646A1 (en) * 2006-06-22 2009-03-04 River Bell Co. Treating apparatus, method of treating and plasma source

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3944368B2 (en) * 2001-09-05 2007-07-11 株式会社荏原製作所 Substrate processing apparatus and substrate processing method
US20040003828A1 (en) * 2002-03-21 2004-01-08 Jackson David P. Precision surface treatments using dense fluids and a plasma
US20070066076A1 (en) * 2005-09-19 2007-03-22 Bailey Joel B Substrate processing method and apparatus using a combustion flame
US20080017316A1 (en) * 2002-04-26 2008-01-24 Accretech Usa, Inc. Clean ignition system for wafer substrate processing
US20080011332A1 (en) * 2002-04-26 2008-01-17 Accretech Usa, Inc. Method and apparatus for cleaning a wafer substrate
US20080190558A1 (en) * 2002-04-26 2008-08-14 Accretech Usa, Inc. Wafer processing apparatus and method
US20040045578A1 (en) * 2002-05-03 2004-03-11 Jackson David P. Method and apparatus for selective treatment of a precision substrate surface
MXPA06014491A (en) 2004-06-16 2007-03-12 Ppg Ind Ohio Inc Methods for removal of polymeric coating layers from coated substrates.
US20050284568A1 (en) * 2004-06-28 2005-12-29 International Business Machines Corporation Removing unwanted film from wafer edge region with reactive gas jet
US7404874B2 (en) * 2004-06-28 2008-07-29 International Business Machines Corporation Method and apparatus for treating wafer edge region with toroidal plasma
US20060172081A1 (en) * 2005-02-02 2006-08-03 Patrick Flinn Apparatus and method for plasma treating and dispensing an adhesive/sealant onto a part
US7375039B2 (en) * 2005-05-24 2008-05-20 International Business Machines Corporation Local plasma processing
US7313310B2 (en) * 2005-05-25 2007-12-25 Honeywell International Inc. Plasma directing baffle and method of use
WO2006138727A2 (en) * 2005-06-17 2006-12-28 The Regents Of The University Of Michigan Apparatus and method of producing net-shape components from alloy sheets
US7644512B1 (en) * 2006-01-18 2010-01-12 Akrion, Inc. Systems and methods for drying a rotating substrate
JP2008027657A (en) * 2006-07-19 2008-02-07 Tokyo Institute Of Technology Plasma source, treatment device, and treatment method
JP5181085B2 (en) * 2006-06-22 2013-04-10 リバーベル株式会社 Processing apparatus and processing method
TW200814170A (en) * 2006-09-13 2008-03-16 Ind Tech Res Inst Method of adjusting surface characteristic of a substrate
SK51082006A3 (en) * 2006-12-05 2008-07-07 Fakulta Matematiky, Fyziky A Informatiky Univerzitfakulta Matematiky, Fyziky A Informatiky Univerzity Komensk�Hoy Komensk�Ho Apparatus and treatment method of surface of metals and metalloids, oxides of metals and oxides of metalloids and nitrides of metalloids
KR100893182B1 (en) * 2007-06-01 2009-04-15 주식회사 엘트린 Wafer Cleaning Method
US20090205686A1 (en) * 2008-02-19 2009-08-20 United Microelectronics Corp. Wafer cleaning apparatus
US9997325B2 (en) 2008-07-17 2018-06-12 Verity Instruments, Inc. Electron beam exciter for use in chemical analysis in processing systems
JP2012253185A (en) * 2011-06-02 2012-12-20 Three M Innovative Properties Co Substrate cleaning method and substrate cleaning apparatus
US9221081B1 (en) 2011-08-01 2015-12-29 Novellus Systems, Inc. Automated cleaning of wafer plating assembly
US10066311B2 (en) 2011-08-15 2018-09-04 Lam Research Corporation Multi-contact lipseals and associated electroplating methods
US9988734B2 (en) 2011-08-15 2018-06-05 Lam Research Corporation Lipseals and contact elements for semiconductor electroplating apparatuses
US9228270B2 (en) 2011-08-15 2016-01-05 Novellus Systems, Inc. Lipseals and contact elements for semiconductor electroplating apparatuses
SG11201406133WA (en) * 2012-03-28 2014-10-30 Novellus Systems Inc Methods and apparatuses for cleaning electroplating substrate holders
TWI609100B (en) 2012-03-30 2017-12-21 諾發系統有限公司 Cleaning electroplating substrate holders using reverse current deplating
US9746427B2 (en) 2013-02-15 2017-08-29 Novellus Systems, Inc. Detection of plating on wafer holding apparatus
US10416092B2 (en) 2013-02-15 2019-09-17 Lam Research Corporation Remote detection of plating on wafer holding apparatus
US9728428B2 (en) * 2013-07-01 2017-08-08 Applied Materials, Inc. Single use rinse in a linear Marangoni drier
CN103762189B (en) * 2013-11-22 2016-06-08 上海华力微电子有限公司 A kind of system improving the silicon chip uniformity
KR102338076B1 (en) * 2014-10-06 2021-12-13 삼성디스플레이 주식회사 Apparatus for treating substrate and method of treating a substrate using the same
CN104438187A (en) * 2014-11-28 2015-03-25 上海华力微电子有限公司 Crystal edge cleaning device
US10053793B2 (en) 2015-07-09 2018-08-21 Lam Research Corporation Integrated elastomeric lipseal and cup bottom for reducing wafer sticking
US10520805B2 (en) * 2016-07-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for localized EUV pellicle glue removal

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5198724A (en) * 1990-10-23 1993-03-30 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and plasma generating device
US5961772A (en) * 1997-01-23 1999-10-05 The Regents Of The University Of California Atmospheric-pressure plasma jet
US6105534A (en) * 1996-05-31 2000-08-22 Ipec Precision, Inc. Apparatus for plasma jet treatment of substrates

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0817171B2 (en) * 1990-12-31 1996-02-21 株式会社半導体エネルギー研究所 Plasma generator and etching method using the same
JP3877082B2 (en) * 1995-08-10 2007-02-07 東京エレクトロン株式会社 Polishing apparatus and polishing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5198724A (en) * 1990-10-23 1993-03-30 Semiconductor Energy Laboratory Co., Ltd. Plasma processing method and plasma generating device
US6105534A (en) * 1996-05-31 2000-08-22 Ipec Precision, Inc. Apparatus for plasma jet treatment of substrates
US5961772A (en) * 1997-01-23 1999-10-05 The Regents Of The University Of California Atmospheric-pressure plasma jet

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2031646A1 (en) * 2006-06-22 2009-03-04 River Bell Co. Treating apparatus, method of treating and plasma source
EP2031646A4 (en) * 2006-06-22 2012-05-30 River Bell Co Treating apparatus, method of treating and plasma source

Also Published As

Publication number Publication date
US20020134403A1 (en) 2002-09-26
JP2004536446A (en) 2004-12-02
CA2440254A1 (en) 2002-09-19
US6546938B2 (en) 2003-04-15

Similar Documents

Publication Publication Date Title
US6546938B2 (en) Combined plasma/liquid cleaning of substrates
US6352081B1 (en) Method of cleaning a semiconductor device processing chamber after a copper etch process
KR0174814B1 (en) Sample treating method and apparatus
TWI364327B (en) Methods for wet cleaning quartz surfaces of components for plasma processing chambers
US6046115A (en) Method for removing etching residues and contaminants
US6440864B1 (en) Substrate cleaning process
US6821350B2 (en) Cleaning process residues on a process chamber component
US6319842B1 (en) Method of cleansing vias in semiconductor wafer having metal conductive layer
US20020072016A1 (en) Substrate cleaning apparatus and method
KR100217450B1 (en) A fabricating method of semiconductor device
KR20000075984A (en) Methods and apparatus for removing photoresist mask defects in a plasma reactor
US5462892A (en) Semiconductor processing method for preventing corrosion of metal film connections
US6325861B1 (en) Method for etching and cleaning a substrate
US5744402A (en) Method of manufacturing semiconductor devices
US6162733A (en) Method for removing contaminants from integrated circuits
US5882425A (en) Composition and method for passivation of a metallization layer of a semiconductor circuit after metallization etching
US6171405B1 (en) Methods of removing contaminants from integrated circuit substrates using cleaning solutions
KR20030093186A (en) Method for removing etch residue resulting from a process for forming a via
Selwyn et al. Combined plasma/liquid cleaning of substrates
KR100362599B1 (en) Stripping, passivation and corrosion suppression method of semiconductor substrate
US20020072228A1 (en) Semiconductor conductive pattern formation method
US7879533B2 (en) Etching residue removal method and semiconductor device fabrication method using this method
KR19980044194A (en) Metal wiring formation method of semiconductor device
JP4435332B2 (en) Dry cleaning method after metal etching
US20050112903A1 (en) Process for removing tungsten particles after tungsten etch-back

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2440254

Country of ref document: CA

WWE Wipo information: entry into national phase

Ref document number: 2002571238

Country of ref document: JP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase
DPE2 Request for preliminary examination filed before expiration of 19th month from priority date (pct application filed from 20040101)