WO2002025337A2 - Low-loss waveguide and method of making same - Google Patents

Low-loss waveguide and method of making same Download PDF

Info

Publication number
WO2002025337A2
WO2002025337A2 PCT/US2001/041632 US0141632W WO0225337A2 WO 2002025337 A2 WO2002025337 A2 WO 2002025337A2 US 0141632 W US0141632 W US 0141632W WO 0225337 A2 WO0225337 A2 WO 0225337A2
Authority
WO
WIPO (PCT)
Prior art keywords
core
cladding
waveguide
reaction
region
Prior art date
Application number
PCT/US2001/041632
Other languages
French (fr)
Other versions
WO2002025337A3 (en
Inventor
Kevin K. Lee
Desmond Lim
Kazumi Wada
Lionel C. Kimerling
Original Assignee
Massachusetts Institute Of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Massachusetts Institute Of Technology filed Critical Massachusetts Institute Of Technology
Priority to EP01962363A priority Critical patent/EP1319192A2/en
Priority to KR10-2003-7004200A priority patent/KR20030051669A/en
Priority to CA002423076A priority patent/CA2423076A1/en
Priority to JP2002529280A priority patent/JP2004510181A/en
Publication of WO2002025337A2 publication Critical patent/WO2002025337A2/en
Publication of WO2002025337A3 publication Critical patent/WO2002025337A3/en

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/132Integrated optical circuits characterised by the manufacturing method by deposition of thin films
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • G02B2006/12176Etching

Definitions

  • the invention relates to the field of optical waveguides, and the method of manufacturing waveguides.
  • Roughness scattering is one of the major sources of transmission loss in planar waveguides.
  • the roughness at the core/cladding interface arising from the waveguide patterning process, is responsible for such a scattering.
  • Several methods are possible to reduce the scattering losses in planar waveguides. Reduction of scattering loss by annealing the waveguide at high temperature, after the waveguide patterning process, has been previously reported by Kashimura et al. in Japanese Journal of Applied Physics, Vol. 39, June 2000. This publication reports the loss reduction technique for a waveguide with a low index difference waveguide between the core and the cladding.
  • Ge ⁇ 2-doped silica (silicon dioxide) waveguides whose refractive index difference between the core and the cladding is -0.02, were used in that study.
  • the roughness scattering is particularly severe for high index difference waveguides where the effective refractive index difference between the core and the cladding is above 0.1.
  • the effective refractiveindex difference higher than 0.1 corresponds to the waveguide single-mode cutoff dimension less than roughly 2.5 times the wavelength in the core.
  • a strip Si/Si ⁇ 2 waveguide based on SOI is an example of a high index difference waveguide.
  • a strip waveguide has a core surrounded by a cladding comprising one or more materials having different refractive indices than the core.
  • oxidation at an elevated temperature is one method that smoothens rough interface and thus reduces the scattering loss. Smoothing of rough surfaces of silicon after the patterning process by oxidation, followed by oxide removal, has been reported in the literature. Juan et al. , Journal of Vacuum Science Technology B, Vol. 14, No. 6,
  • U.S. Pat. No. 5,360,982 issued to Venhuizen describes a new waveguide fabrication technology that produces smooth silicon waveguide surface. Waveguides with smooth interfaces are formed by local oxidation of the silicon substrate. This process is different from our present invention in that the waveguide is formed by oxidation in the patent, while in the invention, the oxidation step is incorporated after the waveguides are already formed by patterning.
  • the invention provides a technique of making low-loss waveguides by subjecting the waveguide, after the waveguide patterning process, to treatments that smoothen the core/cladding interfaces, and/or change the waveguide core dimension.
  • the invention is particularly useful for high index difference waveguide systems where the scattering loss is high.
  • a method includes smoothing of the core/cladding interface of SOI-based Si/SiO 2 waveguides by oxidation at high temperatures, after the waveguide patterning process.
  • the invention provides a new waveguide fabrication method that involves a waveguide patterning process, followed by smoothing of the waveguide core surface.
  • the invention provides a method of reducing the scattering losses in planar waveguide by subjecting the already-fabricated waveguide to treatments that reduce the dimension of the waveguide core, reducing the effective core refractive index, effective refractive index difference, and the scattering losses, since the scattering loss is a strong function of effective refractive index difference between the core and the cladding.
  • the invention shows that the rough silicon core surfaces of Si/Si ⁇ 2 waveguides, resulting from waveguide patterning processes (e. g. photo-lithography and etching), are smoothened by oxidation at high temperatures.
  • waveguide patterning processes e. g. photo-lithography and etching
  • Various oxidants can be used to react with the silicon core to form SiO 2 on the surfaces at elevated temperatures in Si/Si ⁇ 2 waveguides.
  • the aforementioned smoothing of the waveguide core can be achieved in a diffusive process that tends to minimize the energy of the rough surface by annealing the core material, after the waveguide patterning, at elevated temperatures above 100 °C in a gaseous ambient other than air or vacuum.
  • Figure 1 is a perspective block diagram of an initial SOI platform 100 on which a waveguide is formed;
  • Figure 2 is a perspective block diagram of the platform of Figure 1 including a waveguide core 108 after a typical patterning process;
  • Figure 3 is a perspective block diagram of the platform of Figure 2 after the surfaces of the core have reacted with the oxidizing agents and form a coating layer
  • Figure 4 is a perspective block diagram of the platform of Figure 3 following the removal of the SiO ⁇ layer to show the silicon core surface after smoothing.
  • FIG. 1 is a perspective block diagram of an initial SOI platform 100 on which a waveguide is formed.
  • a top silicon layer 102 will be made into a waveguide core while a Si ⁇ 2 layer 104 will become an undercladding layer.
  • a silicon substrate 106 is provided for mechanical support.
  • FIG 2 is a perspective block diagram of the platform including a waveguide core 108 after a typical patterning process including photolithography and etching of the layer 102.
  • the sidewall roughness 110 of the core 108 is due to the waveguide patterning process. This roughness is responsible for scattering loss in the waveguide.
  • the core 108 is then subjected to oxidizing agents, such as O2 or H2O gases at an elevated temperature.
  • oxidizing agents such as O2 or H2O gases at an elevated temperature.
  • the surfaces of the core will react with the oxidizing agents and form a coating layer of SiO ⁇ 112, as shown in Figure 3. Since convex points of the rough surface 110 oxidize faster than concave points, the reaction tends to reduce the roughness of the core.
  • reaction rate increases with the reaction temperature.
  • reaction temperature is too low, the reaction rate is too slow for enough oxidation.
  • reaction temperature is too high, one may not have a good control over the thickness of Si ⁇ 2 formed because of a high reaction rate.
  • typical temperature ranges between 600 to 1200° C.
  • the oxidation time should be chosen carefully to form desired SiO 2 thickness and to achieve desired waveguide core dimension. The choice of time will depend on 5 the oxidation temperature since the reaction rate depends on the temperature.
  • Figure 4 is a perspective block diagram of the platform following the removal of the Si ⁇ 2 layer 112 to show the silicon core surface 114 after smoothing.
  • Si ⁇ 2 layer 112 can act as a cladding layer for the waveguide core in Figure 3.
  • the method of the invention can be used to smoothen the waveguide core surfaces of other geometries, such as ridge waveguides. Any SOI waveguide whose core is defined by a patterning process that produces surface roughness can be smoothened by this technique.
  • Different oxidants can be used to react with silicon to form SiO ⁇ .
  • the 15 oxidation temperature and time should be chosen according to the chosen oxidant, since the reaction rate depends on the specific species of oxidants used.
  • the waveguide core showed sidewall roughness resulting from the patterning process.
  • the waveguide went through an oxidation reaction that involved the following steps: a dry oxidation step for 20 minutes with O2 gas at 1000°C, a wet oxidation step for 43 minutes with H2O and O2 at 1000°C, and a dry oxidation step for 20 minutes with O2 gas at 25 1000°C.
  • the waveguide thickness decreased due to the consumption of silicon to form SiU2.
  • the reduction in thickness resulted in the reduction of the effective refractive index of the core, and thus in the reduction of the effective refractive index difference between the core and the cladding.
  • the reduction in the effective refractive index difference between the core and the cladding resulted in additional reduction of the scattering loss since the scattering loss is a strong function of the refractive index difference between the core and the cladding.
  • anisotropic etchants for single-crystalline silicon core are KOH (Potassium Hydroxide) and TMAH (Tetra- Methyl-Ammene-Hydroxide).
  • KOH Purotassium Hydroxide
  • TMAH Tetra- Methyl-Ammene-Hydroxide

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Optical Integrated Circuits (AREA)

Abstract

A method of reducing the scattering losses that involves smoothing of the core/cladding interface and/or change of waveguide geometry in high refractive index difference waveguides. As an example, the SOI-based Si/SiO2 waveguides are subjected to an oxidation reaction at high temperatures, after the waveguide patterning process. By oxidizing the rough silicon core surfaces after the patterning process, the core/cladding interfaces are smoothened, reducing the roughness scattering in waveguides.

Description

LOW-LOSS WAVEGUIDE AND METHOD OF MAKING SAME
PRIORITY INFORMATION
This application claims priority from U.S. Patent Application Serial No. 09/876,392 filed June 7, 2001 and from provisional application Ser. No. 60/217,167 filed July 10, 2000.
BACKGROUND OF THE INVENTION
The invention relates to the field of optical waveguides, and the method of manufacturing waveguides. Roughness scattering is one of the major sources of transmission loss in planar waveguides. The roughness at the core/cladding interface, arising from the waveguide patterning process, is responsible for such a scattering. Several methods are possible to reduce the scattering losses in planar waveguides. Reduction of scattering loss by annealing the waveguide at high temperature, after the waveguide patterning process, has been previously reported by Kashimura et al. in Japanese Journal of Applied Physics, Vol. 39, June 2000. This publication reports the loss reduction technique for a waveguide with a low index difference waveguide between the core and the cladding. Geθ2-doped silica (silicon dioxide) waveguides, whose refractive index difference between the core and the cladding is -0.02, were used in that study.
The roughness scattering is particularly severe for high index difference waveguides where the effective refractive index difference between the core and the cladding is above 0.1. The effective refractiveindex difference higher than 0.1 corresponds to the waveguide single-mode cutoff dimension less than roughly 2.5 times the wavelength in the core. Yet there has been no prior art on reducing the scattering losses by subjecting high index difference waveguides to a smoothing process after the waveguide patterning.
A strip Si/Siθ2 waveguide based on SOI is an example of a high index difference waveguide. A strip waveguide has a core surrounded by a cladding comprising one or more materials having different refractive indices than the core. For SOI waveguides, oxidation at an elevated temperature is one method that smoothens rough interface and thus reduces the scattering loss. Smoothing of rough surfaces of silicon after the patterning process by oxidation, followed by oxide removal, has been reported in the literature. Juan et al. , Journal of Vacuum Science Technology B, Vol. 14, No. 6,
Nov. /Dec. 1996, report oxidation smoothing of silicon sidewalls for mirror applications while Yahata et al., Japanese Journal of Applied Physics, Vol. 37, July 1998, report smoothing for MOS applications. Yet, there have been no publications on oxidation smoothing of the silicon waveguide core to reduce scattering losses in strip waveguides.
U.S. Pat. No. 5,360,982, issued to Venhuizen describes a new waveguide fabrication technology that produces smooth silicon waveguide surface. Waveguides with smooth interfaces are formed by local oxidation of the silicon substrate. This process is different from our present invention in that the waveguide is formed by oxidation in the patent, while in the invention, the oxidation step is incorporated after the waveguides are already formed by patterning.
SUMMARY OF THE INVENTION
The invention provides a technique of making low-loss waveguides by subjecting the waveguide, after the waveguide patterning process, to treatments that smoothen the core/cladding interfaces, and/or change the waveguide core dimension. The invention is particularly useful for high index difference waveguide systems where the scattering loss is high. In an exemplary embodiment, a method includes smoothing of the core/cladding interface of SOI-based Si/SiO2 waveguides by oxidation at high temperatures, after the waveguide patterning process. The invention provides a new waveguide fabrication method that involves a waveguide patterning process, followed by smoothing of the waveguide core surface. The invention provides a method of reducing the scattering losses in planar waveguide by subjecting the already-fabricated waveguide to treatments that reduce the dimension of the waveguide core, reducing the effective core refractive index, effective refractive index difference, and the scattering losses, since the scattering loss is a strong function of effective refractive index difference between the core and the cladding.
The invention shows that the rough silicon core surfaces of Si/Siθ2 waveguides, resulting from waveguide patterning processes (e. g. photo-lithography and etching), are smoothened by oxidation at high temperatures. Various oxidants can be used to react with the silicon core to form SiO2 on the surfaces at elevated temperatures in Si/Siθ2 waveguides.
The aforementioned smoothing of the waveguide core can be achieved in a diffusive process that tends to minimize the energy of the rough surface by annealing the core material, after the waveguide patterning, at elevated temperatures above 100 °C in a gaseous ambient other than air or vacuum.
BRIEF DESCRIPTION OF THE DRAWINGS Figure 1 is a perspective block diagram of an initial SOI platform 100 on which a waveguide is formed;
Figure 2 is a perspective block diagram of the platform of Figure 1 including a waveguide core 108 after a typical patterning process;
Figure 3 is a perspective block diagram of the platform of Figure 2 after the surfaces of the core have reacted with the oxidizing agents and form a coating layer
Figure 4 is a perspective block diagram of the platform of Figure 3 following the removal of the SiO∑ layer to show the silicon core surface after smoothing.
DETAILED DESCRIPTION OF THE INVENTION
An exemplary embodiment of the invention provides an oxidation smoothing technique that reduces the roughness at the core/cladding interfaces of Si/Siθ2 waveguide. Figure 1 is a perspective block diagram of an initial SOI platform 100 on which a waveguide is formed. A top silicon layer 102 will be made into a waveguide core while a Siθ2 layer 104 will become an undercladding layer. A silicon substrate 106 is provided for mechanical support.
Figure 2 is a perspective block diagram of the platform including a waveguide core 108 after a typical patterning process including photolithography and etching of the layer 102. The sidewall roughness 110 of the core 108 is due to the waveguide patterning process. This roughness is responsible for scattering loss in the waveguide. The core 108 is then subjected to oxidizing agents, such as O2 or H2O gases at an elevated temperature. The surfaces of the core will react with the oxidizing agents and form a coating layer of SiO∑ 112, as shown in Figure 3. Since convex points of the rough surface 110 oxidize faster than concave points, the reaction tends to reduce the roughness of the core.
The reaction rate increases with the reaction temperature. When the reaction temperature is too low, the reaction rate is too slow for enough oxidation. When the reaction temperature is too high, one may not have a good control over the thickness of Siθ2 formed because of a high reaction rate. In order to grow run to μm of Siθ2 in a period of minutes to hours, typical temperature ranges between 600 to 1200° C.
The oxidation time should be chosen carefully to form desired SiO2 thickness and to achieve desired waveguide core dimension. The choice of time will depend on 5 the oxidation temperature since the reaction rate depends on the temperature.
Figure 4 is a perspective block diagram of the platform following the removal of the Siθ2 layer 112 to show the silicon core surface 114 after smoothing. Alternatively, one can choose not to remove the Siθ2 layer 112 since it can act as a cladding layer for the waveguide core in Figure 3. 10 The method of the invention can be used to smoothen the waveguide core surfaces of other geometries, such as ridge waveguides. Any SOI waveguide whose core is defined by a patterning process that produces surface roughness can be smoothened by this technique.
Different oxidants can be used to react with silicon to form SiO∑. The 15 oxidation temperature and time should be chosen according to the chosen oxidant, since the reaction rate depends on the specific species of oxidants used.
An experiment was carried out to demonstrate the invention. The 0.34μm thick silicon layer of a SOI wafer, which is positioned on top of a lμm thick SiCh layer, was patterned to get the core of a strip waveguide. Photolithography and
20 reactive ion etching were used to pattern the waveguide core. The waveguide core showed sidewall roughness resulting from the patterning process. The waveguide went through an oxidation reaction that involved the following steps: a dry oxidation step for 20 minutes with O2 gas at 1000°C, a wet oxidation step for 43 minutes with H2O and O2 at 1000°C, and a dry oxidation step for 20 minutes with O2 gas at 25 1000°C.
Most of the Siθ2 was formed during the wet oxidation step, due to its fast reaction, and hence it is a critical step in the experiment. After the reaction the waveguide dimensions were about 0.5μm in width and <0.3μm in height. This single mode waveguide exhibited scattering loss of less than 0.8dB/cm, compared to
30 comparably sized waveguide with no oxidation smoothing, which exhibited over
30dB/cm.
During the experiment, the waveguide thickness decreased due to the consumption of silicon to form SiU2. The reduction in thickness resulted in the reduction of the effective refractive index of the core, and thus in the reduction of the effective refractive index difference between the core and the cladding. The reduction in the effective refractive index difference between the core and the cladding resulted in additional reduction of the scattering loss since the scattering loss is a strong function of the refractive index difference between the core and the cladding. While exemplary embodiments of the invention have been illustrated with subjecting the already-fabricated Si/Siθ2 waveguide core to the oxidation reaction to reduce the core/cladding interface roughness, it will be appreciated that annealing the already-fabricated Si/Siθ2 waveguide core in an gaseous ambient including hydrogen gases at elevated temperatures smootheπs the core/cladding interface, and can also reduce the roughness and thus reduce losses. The silicon core material undergoes a diffusive process that tends to minimize the energy of the rough core surface, smoothing the rough core/cladding interface.
While exemplary embodiments of the invention have been illustrated with subjecting the already-fabricated waveguide core to the oxidation reaction to reduce the core/cladding interface roughness, it will be appreciated that subjecting the already-fabricated waveguide core to a wet chemical etch smoothens the core/cladding interface, and can also reduce the roughness and thus reduce losses. Both anisotropic and isotropic etchants can be used. When an anisotropic etchant is used to smooth a single-crystalline core material, some or all of the core surfaces can become crystal planes, resulting in atomically smooth surfaces. Examples of anisotropic etchants for single-crystalline silicon core are KOH (Potassium Hydroxide) and TMAH (Tetra- Methyl-Ammene-Hydroxide). When anisotropic etchant is used, the etching process reduces the roughness on the core surfaces to minimize the energy of rough surfaces. Although the present invention has been shown and described with respect to several preferred embodiments thereof, various changes, omissions and additions to the form and detail thereof, may be made therein, without departing from the spirit and scope of the invention. What is claimed is:

Claims

CLAIMS L A method of making a low-loss waveguide having silicon as its core, comprising: providing a planar strip waveguide having core/cladding interface roughness; and subjecting said waveguide to one or more reactions that reduce the core/cladding interface root-mean-square (RMS) roughness in order to in turn reduce scattering losses in said waveguide.
2. The method of claim 1, wherein the waveguide core is reduced in size.
3. The method of claim 1, wherein the effective index of the waveguide is reduced.
4. The method of claim 1, wherein one of said reactions produces reaction products with different chemical compositions from that of said core.
5. The method of claim 4, wherein said reaction products are removed after the reaction.
6. The method of claim 4, wherein said reaction products are left between the core and the cladding after the reaction.
7. The method of claim 4, wherein said reaction products have refractive indices that change from that of the core to that of the cladding.
8. The method of claim 4, wherein said reaction products have graded refractive index profiles from that of the core to that of the cladding.
9. The method of claim 1, wherein one of said reactions comprises a wet chemical reaction.
10. The method of claim 9, wherein said wet chemical reaction occurs with one or more anistropic etchants having OH" ions in an aqueous solution.
11. The method of claim 9, wherein said wet chemical reaction occurs with one or more isotropic etchants.
12. The method of claim 1, wherein one of said reactions comprises a thermal reaction at elevated temperatures above 100°C.
13. The method of claim 1 , wherein one of said reactions comprises an oxidation reaction.
14. The method of claim 13, wherein said oxidation reaction comprises reactant species including oxygen in their chemical compositions.
15. The method of claim 13, wherein said oxidation reaction occurs at temperatures above 600°C.
16. The method of claim 13, wherein said reaction products are removed after the reaction.
17. The method of claim 13, wherein said reaction products are left between the core and the cladding after the reaction.
18. The method of claim 13, wherein the cladding includes a region of air or vacuum.
19. The method of claim 13, wherein the cladding includes a region of air or vacuum before said reactions and no region of air or vacuum after said reactions.
20. The method of claim 13, wherein the cladding includes a region of material that includes silicon in its chemical composition.
21. The method of claim 1 , wherein one of said reactions comprises annealing in an ambience other than air at elevated temperatures above 100°C.
22. The method of claim 1, wherein said strip waveguide has said core surrounded by said cladding: said cladding comprising one or more materials having different refractive indices than said core.
23. The method of claim 22, wherein the cladding includes a region of silicon
dioxide.
24. The method of claim 22, wherein the cladding includes a region of air or vacuum.
25. The method of claim 22, wherein the cladding includes a region of air or vacuum before said reactions and no region of air or vacuum after said reactions.
26. The method of claim 1, wherein the cladding includes a region of material that includes silicon in its chemical composition.
27. A method of making a low-loss high index difference waveguide, comprising: providing a planar waveguide containing core/cladding interface roughness; and subjecting said waveguide to one or more treatments that reduce the core/cladding interface root-mean-square (RMS) roughness in order to in turn reduce scattering losses in said waveguide.
28. The method of claim 27, wherein the difference in the effective refractive indices of the core and the cladding of said high index difference waveguide is greater than or equal to 0.1.
29. The method of claim 27, wherein the single-mode cutoff dimension of said high index difference waveguide is less than 2.5 times the wavelength in the core.
30. The method of claim 27, wherein the waveguide core is reduced in size.
31. The method of claim 27, wherein the effective index of the waveguide is reduced.
32. The method of claim 27, wherein one of said treatments is a reaction that produces reaction products with different chemical compositions from that of the core.
33. The method of claim 32, wherein said reaction products are removed after the reaction.
34. The method of claim 32, wherein said reaction products are left between the core and the cladding after the reaction.
35. The method of claim 32, wherein said reaction products have refractive indices that change from that of the core to that of the cladding.
36. The method of claim 32, wherein said reaction products have graded refractive index profile from that of the core to that of the cladding.
37. The method of claim 27, wherein one of said treatments involves wet chemical reaction.
38. The method of claim 27, wherein one of said treatments involves thermal reaction at elevated temperatures above 100°C.
39. The method of claim 27, wherein one of said treatments involves oxidation reaction.
40. The method of claim 39, wherein said oxidation reaction comprises the reactant species including oxygen in their chemical compositions.
41. The method of claim 39, wherein said oxidation reaction occurs at temperatures above 600°C.
42. The method of claim 27, wherein one of said treatments comprises annealing in an ambience other than air at elevated temperature above 100°C.
43. The method of claim 27, wherein the core includes silicon in its chemical composition.
44. The method of claim 27, wherein the cladding is a region or regions surrounding the core with lower effective refractive index than that of the core.
45. The method of claim 44, wherein the cladding includes a region of silicon dioxide.
46. The method of claim 44, wherein the cladding includes a region of air or vacuum.
47. The method of claim 44, wherein the cladding includes a region of air or vacuum before said treatments and no region of air or vacuum after said treatments.
PCT/US2001/041632 2000-09-22 2001-08-08 Low-loss waveguide and method of making same WO2002025337A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
EP01962363A EP1319192A2 (en) 2000-09-22 2001-08-08 Low-loss waveguide and method of making same
KR10-2003-7004200A KR20030051669A (en) 2000-09-22 2001-08-08 Low-loss waveguide and method of making same
CA002423076A CA2423076A1 (en) 2000-09-22 2001-08-08 Low-loss waveguide and method of making same
JP2002529280A JP2004510181A (en) 2000-09-22 2001-08-08 Low loss waveguide and method of manufacturing the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US23484500P 2000-09-22 2000-09-22
US60/234,845 2000-09-22
US09/876,392 US6850683B2 (en) 2000-07-10 2001-06-07 Low-loss waveguide and method of making same
US09/876,392 2001-06-07

Publications (2)

Publication Number Publication Date
WO2002025337A2 true WO2002025337A2 (en) 2002-03-28
WO2002025337A3 WO2002025337A3 (en) 2002-10-17

Family

ID=26928323

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/041632 WO2002025337A2 (en) 2000-09-22 2001-08-08 Low-loss waveguide and method of making same

Country Status (6)

Country Link
US (1) US6850683B2 (en)
EP (1) EP1319192A2 (en)
JP (1) JP2004510181A (en)
KR (1) KR20030051669A (en)
CA (1) CA2423076A1 (en)
WO (1) WO2002025337A2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2840415A1 (en) * 2002-06-04 2003-12-05 Centre Nat Rech Scient Low loss optical micro-waveguide production involves dry and wet etching procedures to define the side surfaces of the guide and reveal crystalline planes for the side surfaces
JP2005208638A (en) * 2004-01-20 2005-08-04 Xerox Corp Low-loss silicon waveguide and method of fabricating the same
US7076135B2 (en) 2002-09-20 2006-07-11 Nippon Telegraph And Telephone Corporation Optical module and manufacturing method therefor
JP2007525691A (en) * 2003-04-23 2007-09-06 シオプティカル インコーポレーテッド Submicron planar lightwave device formed on SOI optical platform

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6890450B2 (en) * 2001-02-02 2005-05-10 Intel Corporation Method of providing optical quality silicon surface
WO2003023824A2 (en) * 2001-09-10 2003-03-20 California Institute Of Technology Modulator based on tunable resonant cavity
US7082235B2 (en) 2001-09-10 2006-07-25 California Institute Of Technology Structure and method for coupling light between dissimilar waveguides
US6917727B2 (en) 2001-09-10 2005-07-12 California Institute Of Technology Strip loaded waveguide integrated with electronics components
US7006719B2 (en) * 2002-03-08 2006-02-28 Infinera Corporation In-wafer testing of integrated optical components in photonic integrated circuits (PICs)
JP2004029073A (en) * 2002-06-21 2004-01-29 Nec Corp Optical waveguide circuit and its manufacturing method
US7010208B1 (en) 2002-06-24 2006-03-07 Luxtera, Inc. CMOS process silicon waveguides
JP3890046B2 (en) * 2002-10-07 2007-03-07 日本電信電話株式会社 Method for manufacturing planar circuit type optical element
US7118682B2 (en) * 2003-03-28 2006-10-10 Sioptical, Inc. Low loss SOI/CMOS compatible silicon waveguide and method of making the same
US7123805B2 (en) * 2003-06-16 2006-10-17 Massachusetts Institute Of Technology Multiple oxidation smoothing method for reducing silicon waveguide roughness
US7262140B2 (en) * 2003-11-24 2007-08-28 Intel Corporation Method of smoothing waveguide structures
US7672558B2 (en) * 2004-01-12 2010-03-02 Honeywell International, Inc. Silicon optical device
US20050214989A1 (en) * 2004-03-29 2005-09-29 Honeywell International Inc. Silicon optoelectronic device
US7315679B2 (en) * 2004-06-07 2008-01-01 California Institute Of Technology Segmented waveguide structures
US7563628B2 (en) * 2004-11-10 2009-07-21 Lehigh University Fabrication of optical waveguide devices
US20060133754A1 (en) * 2004-12-21 2006-06-22 Vipulkumar Patel Ultra low-loss CMOS compatible silicon waveguides
DE102005006635A1 (en) * 2005-01-31 2006-08-10 Osram Opto Semiconductors Gmbh Optical element and method for its production
US7826688B1 (en) 2005-10-21 2010-11-02 Luxtera, Inc. Enhancing the sensitivity of resonant optical modulating and switching devices
US20070101927A1 (en) * 2005-11-10 2007-05-10 Honeywell International Inc. Silicon based optical waveguide structures and methods of manufacture
US7362443B2 (en) 2005-11-17 2008-04-22 Honeywell International Inc. Optical gyro with free space resonator and method for sensing inertial rotation rate
KR100810916B1 (en) 2006-03-30 2008-03-10 부산대학교 산학협력단 Optical waveguide and method of the same
US7463360B2 (en) 2006-04-18 2008-12-09 Honeywell International Inc. Optical resonator gyro with integrated external cavity beam generator
US7454102B2 (en) 2006-04-26 2008-11-18 Honeywell International Inc. Optical coupling structure
US20070274655A1 (en) * 2006-04-26 2007-11-29 Honeywell International Inc. Low-loss optical device structure
US7535576B2 (en) 2006-05-15 2009-05-19 Honeywell International, Inc. Integrated optical rotation sensor and method for sensing rotation rate
DE102007004043A1 (en) 2007-01-22 2008-07-31 Universität Karlsruhe (Th) Process for the production of semiconductor-based optical waveguide structures with special geometrical shapes
US7759138B2 (en) * 2008-09-20 2010-07-20 Arradiance, Inc. Silicon microchannel plate devices with smooth pores and precise dimensions
US8995800B2 (en) 2012-07-06 2015-03-31 Teledyne Scientific & Imaging, Llc Method of fabricating silicon waveguides with embedded active circuitry
US9478840B2 (en) * 2012-08-24 2016-10-25 City University Of Hong Kong Transmission line and methods for fabricating thereof
US10571631B2 (en) * 2015-01-05 2020-02-25 The Research Foundation For The State University Of New York Integrated photonics including waveguiding material
US10976491B2 (en) 2016-11-23 2021-04-13 The Research Foundation For The State University Of New York Photonics interposer optoelectronics
US10698156B2 (en) 2017-04-27 2020-06-30 The Research Foundation For The State University Of New York Wafer scale bonded active photonics interposer
KR20220124298A (en) 2018-04-04 2022-09-14 더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕 Heterogeneous structures on integrated photonics platforms
US10816724B2 (en) 2018-04-05 2020-10-27 The Research Foundation For The State University Of New York Fabricating photonics structure light signal transmission regions
FR3105455A1 (en) * 2019-12-20 2021-06-25 Commissariat A L'energie Atomique Et Aux Energies Alternatives Waveguide manufacturing process

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0331335A2 (en) * 1988-03-03 1989-09-06 AT&T Corp. Device including a substrate-supported optical waveguide, and method of manufacture
US5163118A (en) * 1986-11-10 1992-11-10 The United States Of America As Represented By The Secretary Of The Air Force Lattice mismatched hetrostructure optical waveguide

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5143577A (en) * 1991-02-08 1992-09-01 Hoechst Celanese Corporation Smooth-wall polymeric channel and rib waveguides exhibiting low optical loss
JP3067231B2 (en) * 1991-02-27 2000-07-17 日本電信電話株式会社 Method for manufacturing optical waveguide for optical amplifier
DE69217318T2 (en) 1991-10-08 1997-07-31 Philips Electronics Nv Optoelectronic semiconductor arrangement with a radiation conductor and method for producing such an arrangement
CA2172278C (en) * 1993-09-21 2003-04-08 Stephen James Crampton An electro-optic device
US6684019B1 (en) * 1999-02-23 2004-01-27 E.I. Du Pont De Nemours And Company Planar polymeric waveguide devices with temperature dependence control features
US6555288B1 (en) * 1999-06-21 2003-04-29 Corning Incorporated Optical devices made from radiation curable fluorinated compositions
US6253015B1 (en) * 2000-02-08 2001-06-26 Corning Incorporated Planar waveguides with high refractive index
US6890450B2 (en) * 2001-02-02 2005-05-10 Intel Corporation Method of providing optical quality silicon surface
US20030026571A1 (en) * 2001-07-31 2003-02-06 Michael Bazylenko Method of reducing sidewall roughness of a waveguide

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5163118A (en) * 1986-11-10 1992-11-10 The United States Of America As Represented By The Secretary Of The Air Force Lattice mismatched hetrostructure optical waveguide
EP0331335A2 (en) * 1988-03-03 1989-09-06 AT&T Corp. Device including a substrate-supported optical waveguide, and method of manufacture

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
SCHUPPERT B ET AL: "INTEGRATED OPTICS IN SI AND SIGE-HETEROSTRUCTURES" PROCEEDINGS OF THE EUROPEAN CONFERENCE ON OPTICAL COMMUNICATION (ECOC)INVITED PAPERS. BERLIN, SEPT. 27 - OCT. 1, 1992. BOUND AS ONE WITH VOLUMES 1 & 3, FRANKFURT, IGVDE, DE, vol. 2 CONF. 18, 27 September 1992 (1992-09-27), pages 793-800, XP000559168 ISBN: 3-8007-1897-9 *
SYMS R R A ET AL: "REFLOW AND BURIAL OF CHANNEL WAVEGUIDES FORMED IN SOL-GEL GLASS ON SI SUBSTRATES" IEEE PHOTONICS TECHNOLOGY LETTERS, IEEE INC. NEW YORK, US, vol. 5, no. 9, 1 September 1993 (1993-09-01), pages 1077-1079, XP000414181 ISSN: 1041-1135 *
W.H. JUAN AND S.W. PANG: "Controlling sidewall smoothness for micromachined Si mirrors and lenses" J. VAC. SCI. TECHNOL. B, vol. 14, no. 6, December 1996 (1996-12), pages 4080-4084, XP002200112 cited in the application *
ZHAO C Z ET AL: "SILICON RAISED STRIP WAVEGUIDES BASED ON SILICON AND SILICON DIOXIDE THERMAL BONDING" IEEE PHOTONICS TECHNOLOGY LETTERS, IEEE INC. NEW YORK, US, vol. 9, no. 4, 1 April 1997 (1997-04-01), pages 473-474, XP000690467 ISSN: 1041-1135 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2840415A1 (en) * 2002-06-04 2003-12-05 Centre Nat Rech Scient Low loss optical micro-waveguide production involves dry and wet etching procedures to define the side surfaces of the guide and reveal crystalline planes for the side surfaces
US7076135B2 (en) 2002-09-20 2006-07-11 Nippon Telegraph And Telephone Corporation Optical module and manufacturing method therefor
JP2007525691A (en) * 2003-04-23 2007-09-06 シオプティカル インコーポレーテッド Submicron planar lightwave device formed on SOI optical platform
JP2005208638A (en) * 2004-01-20 2005-08-04 Xerox Corp Low-loss silicon waveguide and method of fabricating the same

Also Published As

Publication number Publication date
KR20030051669A (en) 2003-06-25
US20020031321A1 (en) 2002-03-14
WO2002025337A3 (en) 2002-10-17
EP1319192A2 (en) 2003-06-18
CA2423076A1 (en) 2002-03-28
JP2004510181A (en) 2004-04-02
US6850683B2 (en) 2005-02-01

Similar Documents

Publication Publication Date Title
US6850683B2 (en) Low-loss waveguide and method of making same
US6870987B2 (en) Embedded mode converter
US7103245B2 (en) High density integrated optical chip
US6890450B2 (en) Method of providing optical quality silicon surface
US8126301B2 (en) Optical waveguide and method for producing the same
JP2004503799A (en) Graded index waveguide
US20070025670A1 (en) Method of fabricating Ge or SiGe/Si waveguide or photonic crystal structures by selective growth
JP2005208638A (en) Low-loss silicon waveguide and method of fabricating the same
US10468849B1 (en) Hybrid optical waveguides of tellurium-oxide-coated silicon nitride and methods of fabrication thereof
US7123805B2 (en) Multiple oxidation smoothing method for reducing silicon waveguide roughness
US5342478A (en) Self-aligned V-grooves and waveguides
US7563628B2 (en) Fabrication of optical waveguide devices
US6312581B1 (en) Process for fabricating an optical device
JP2004151700A (en) Plane circuit type optical element and manufacturing method therefor
US20040091225A1 (en) Optically active waveguide device comprising a channel on an optical substrate
WO2022180730A1 (en) Optical waveguide
KR100367087B1 (en) Method of manufacturing planar optical waveguides through negative etching
JP4372039B2 (en) Low propagation loss optical waveguide and manufacturing method thereof
JPH11326665A (en) Optical waveguide and its manufacture
Zhou et al. Fabrication of silica-on-silicon planar lightwave circuits by PECVD and ECR
Horn et al. Surface mounted tapered vertical Coupler for photonic Nanowires
Huang et al. Characteristics of SOI rib waveguide microring and racetrack resonators
KR20040036759A (en) FABRICATION METHOD FOR PLANAR LIGHTWAVEGUIDE USING OF Si ETCHING
JP2006208548A (en) Optical waveguide and method of manufacturing the same

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): CA JP KR

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: A3

Designated state(s): CA JP KR

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

WWE Wipo information: entry into national phase

Ref document number: 2001962363

Country of ref document: EP

Ref document number: 2423076

Country of ref document: CA

WWE Wipo information: entry into national phase

Ref document number: 1020037004200

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2002529280

Country of ref document: JP

WWP Wipo information: published in national office

Ref document number: 2001962363

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020037004200

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 2001962363

Country of ref document: EP

WWR Wipo information: refused in national office

Ref document number: 1020037004200

Country of ref document: KR