WO2000059006A1 - Semiconductor wafer cleaning apparatus and method - Google Patents

Semiconductor wafer cleaning apparatus and method Download PDF

Info

Publication number
WO2000059006A1
WO2000059006A1 PCT/US2000/007394 US0007394W WO0059006A1 WO 2000059006 A1 WO2000059006 A1 WO 2000059006A1 US 0007394 W US0007394 W US 0007394W WO 0059006 A1 WO0059006 A1 WO 0059006A1
Authority
WO
WIPO (PCT)
Prior art keywords
brush
semiconductor wafer
cleaning
wafer
megasonic
Prior art date
Application number
PCT/US2000/007394
Other languages
French (fr)
Inventor
Liming Zhang
Milind G. Weling
Original Assignee
Koninklijke Philips Electronics N.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Koninklijke Philips Electronics N.V. filed Critical Koninklijke Philips Electronics N.V.
Priority to AU39030/00A priority Critical patent/AU3903000A/en
Priority to KR1020007013477A priority patent/KR20010052451A/en
Priority to JP2000608416A priority patent/JP2002540623A/en
Priority to EP00918167A priority patent/EP1088337A1/en
Publication of WO2000059006A1 publication Critical patent/WO2000059006A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67046Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly scrubbing means, e.g. brushes

Definitions

  • the field of the present invention pertains to semiconductor fabrication processing. More particularly, the present invention relates to a device for more effective post CMP wafer cleaning. In one embodiment, there is disclosed a post CMP megasonic and brush- based semiconductor wafer cleaning.
  • the geometry of the features of the IC components are commonly defined photographically through a process known as photolithography. Very fine surface geometries can be reproduced accurately by this technique.
  • the photolithography process is used to define component regions and build up components one layer on top of another. Complex ICs can often have many different built-up layers, each layer having components, each layer having differing interconnections, and each layer stacked on top of the previous layer. The resulting topography of
  • a mask image, or pattern, defining the various components is focused onto a photosensitive layer using ultraviolet light.
  • the image is focused onto the surface using the optical means of the photolithography tool and is imprinted into the photosensitive layer.
  • optical resolution must increase.
  • the depth of focus of the mask image correspondingly narrows. This is due to the narrow range in depth of focus imposed by the high numerical aperture lenses in the photolithography tool. This narrowing depth of focus is often the limiting factor in the degree of resolution obtainable and, thus, the smallest components obtainable using the photolithography tool.
  • a precisely flat surface is desired.
  • the precisely flat (e.g. fully planarized) surface will allow for extremely small depths of focus and, in turn, allow the definition and subsequent fabrication of extremely small components.
  • CMP Chemical-mechanical polishing
  • FIG 1 shows a down view of a typical prior art CMP machine 100 and Figure 2 shows a side cut away view of the CMP machine 100.
  • the CMP machine 100 is fed wafers to be polished.
  • the CMP machine 100 picks up the wafers with an arm 101 and places them onto a rotating polishing pad 102.
  • the polishing pad 102 is made of a resilient material and is typically textured, often with a plurality of predetermined groves 103, to aid the polishing process.
  • the polishing pad 102 rotates on a platen 104, or turn table, located beneath the polishing pad 102, at a predetermined speed.
  • a wafer 105 is held in place on the polishing pad 102 and the arm 101 by a carrier ring 112 and a carrier 106.
  • the lower surface of the wafer 105 rests against the polishing pad 102.
  • the upper surface of the wafer 105 is against the lower surface of the carrier 106 of the arm 101.
  • the arm 101 rotates the wafer 105 at a predetermined rate.
  • the arm 101 forces the wafer 105 into the polishing pad 102 with a predetermined amount of down force.
  • the CMP machine 100 also includes a slurry dispense arm 107 extending across the radius of the polishing pad 102.
  • the slurry dispense arm 107 dispenses a flow of slurry onto the polishing pad 102.
  • the slurry is a mixture of de-ionized water and polishing agents designed to aid chemically the smooth and predictable planarization of the wafer.
  • a constant and predictable removal rate is important to the uniformity and performance of the wafer fabrication process.
  • the removal rate should be expedient, yet yield precisely planarized wafers, free from surface topography. If the removal rate is too slow, the number of planarized wafers produced in a given period of time decreases, degrading wafer through-put of the fabrication process. If the removal rate is too fast, the CMP planarization process will not be uniform across the surface of the wafers, degrading the yield of the fabrication process.
  • the CMP machine 100 includes a conditioner assembly 120.
  • the conditioner assembly 120 includes a conditioner arm 108, which extends across the radius of the polishing pad 102.
  • An end effector 109 is connected to the conditioner arm 108.
  • the end effector 109 includes an abrasive conditioning disk 110 which is used to roughen the surface of the polishing pad 102.
  • the conditioning disk 110 is rotated by the conditioner arm 108 and is translationally moved towards the center of the polishing pad 102 and away from the center of the polishing pad 102, such that the conditioning disk 110 covers the radius of the polishing pad 102, thereby covering nearly the entire surface area of the polishing pad 102 as the polishing pad 102 rotates.
  • a polishing pad having a roughened surface has an increased number of very small pits and gouges in its surface from the conditioner assembly 120 and, therefore, produces a faster removal rate via increased slurry transfer to the surface of the wafer and from more effective application of polishing down force. Without conditioning, the surface of polishing pad 102 is smoothed during the polishing process and removal rate decreases dramatically.
  • the conditioner assembly 120 re-roughens the surface of the polishing pad 102, thereby improving the transport of slurry and improving the removal rate.
  • the action of the rough surface of the polishing pad 102, the chemical softening action of the slurry, and the abrasive action of the slurry combine to polish the wafer 105 such that topography over millimeter scale planarization distances is nearly completely smoothed away.
  • wafer 105 is removed from polishing pad 102 by arm 101 and is prepared for the next phase in the device fabrication process.
  • wafer 105 must be cleansed of contaminants left over from the CMP process (e.g., particles of polishing pad 102, trace amounts of slurry/abrasives, metal ions, and the like).
  • the surface of wafer 105 is cleaned in order to remove particles, metal ions, and other such contaminants.
  • the contaminants left over from the CMP process be removed before wafer 105 proceeds through further fabrication processing.
  • the presence of contaminant particles can disrupt subsequent lithography, which can lead to, for example, broken lines, shorts, and the like.
  • the most widely used post-CMP cleaning processes involves the use of brushes, wherein the brushes are frictionally used to scrub the surface of wafer 105 until all contaminants are removed.
  • brushes has a distinct disadvantage, however, in that the brush needs to be in direct contact with the surface of wafer 105 in order to wipe off the contaminants effectively. Cleaning effectiveness is increased with increasing brush pressure against the surface. This is offset by the fact that increased pressure leads to an increased risk of damage to the wafer surface from the scrubbing action of the brush. Additionally, in most cases, the brush itself can be loaded with the contaminants and thereby reduce the cleaning efficiency. Furthermore, brushes tend to be less effective in the presence of topography within recessed areas (e.g., trenches, holes, etc.).
  • megasonic cleaning involves the use of a high frequency, extremely agitated stream of fluid (e.g., de-ionized water) which is directed towards the surface of wafer 105.
  • the extreme agitating action of the stream of fluid forcibly dislodges contaminants and polishing byproducts from the wafer surface.
  • the megasonic cleaning provides the advantage of dislodging contaminants and particles, even in recessed areas, without making direct physical contact with the wafer, thereby greatly reducing the risk of damage to the wafer surface.
  • a primary disadvantage of megasonic cleaning is the fact that the cleaning action is not as effective as the cleaning action of brushing.
  • the present invention provides a method and system for efficiently removing CMP contaminants and byproducts from the surface of a wafer after the completion of CMP processing.
  • the present invention provides for efficient post CMP cleaning that does not risk damaging the surface of the wafer.
  • the present invention effectively cleans a post- CMP wafer surface of contaminants/byproducts without causing damage.
  • the present invention is implemented as a cleaning apparatus for removing contaminants from the surface of a semiconductor wafer.
  • a megasonic nozzle and a scrubbing brush are included in the cleaning apparatus.
  • the megasonic nozzle is adapted for outputting megasonically agitated fluid to dislodge contaminant particles from a surface of a semiconductor wafer.
  • the scrubbing brush is adapted to contact the surface of the semiconductor wafer and frictionally remove therefrom the contaminant particles.
  • the megasonic nozzle and the scrubbing brush are both mounted within a cleaning assembly.
  • the cleaning assembly simultaneously employs both the megasonic nozzle and brush to clean efficiently the contaminant particles from the surface of the semiconductor wafer.
  • the cleaning assembly's simultaneous use of both megasonically agitated fluid and the scrubbing brush provides for more effective cleaning than using one or the other alone. In this manner, the cleaning assembly effectively cleans the post-CMP wafer surface of contaminants/byproducts without damaging the surface.
  • Prior art Figure 1 shows a down view of a typical prior art CMP machine.
  • Prior art Figure 2 shows a side view of the prior art CMP machine from Figure 1.
  • Figure 3 shows a diagram of the scrubbing brush being used on a semiconductor wafer, illustrating the brush-based component of the present invention.
  • Figure 4 shows a diagram of a megasonic transducer being used on a semiconductor wafer, illustrating the megasonic-based component of the present invention.
  • Figure 5 shows a diagram depicting a megasonic-based component to the present invention wherein the megasonic nozzle and megasonic transducer are integrated into a single unit and a spinning motion is imparted to the semiconductor wafer.
  • Figure 6A shows a side view of a combined megasonic/brush cleaning assembly in accordance with one embodiment of present invention.
  • Figure 6B shows a down view of the combined megasonic/brush cleaning assembly from figure 6A.
  • Figure 7A shows a side view of a combined megasonic/brush cleaning assembly in accordance with an alternative embodiment of the present invention.
  • Figure 7B shows a down view of the combined megasonic/brush cleaning assembly from Figure 7A.
  • Figure 8 shows a flowchart of steps of a process in accordance with one embodiment of the present invention.
  • the present invention provides a combined megasonic and brush based semiconductor wafer cleaning method and system for efficiently removing CMP contaminants and byproducts from the surface of a wafer after the completion of CMP processing.
  • the present invention provides for efficient post-CMP cleaning that does not risk damaging the surface of the wafer.
  • the present invention effectively cleans a post- CMP wafer surface of contaminants/byproducts without causing damage.
  • Chemical-mechanical polishing (CMP) is the preferred method of obtaining full planarization of a semiconductor wafer containing devices for fabrication processing.
  • the CMP process involves removing one or more layers of material (e.g., dielectric material, aluminum, tungsten, or copper layers, or the like) using both the frictional contact between the wafer and a moving polishing pad saturated with a polishing slurry and the chemical action of the slurry itself. Polishing through the CMP process flattens out height differences, since high areas of topography (hills) are removed faster than areas of low topography (valleys).
  • material e.g., dielectric material, aluminum, tungsten, or copper layers, or the like
  • CMP process is the preferred technique with the capability of smoothing out topography over millimeter scale planarization distances leading to maximum angles of much less than one degree after polishing.
  • the CMP machine, the chemical softening action of the slurry, and the abrasive action of the slurry that combine to polish a semiconductor wafer also combine to create large amounts of contaminants and polishing byproducts.
  • These contaminants/byproducts e.g., particles of polishing pad 102, trace amounts of slurry/abrasives, metal ions, and the like
  • the wafer is removed from the CMP machine and is prepared for the next phase in the device fabrication process.
  • the wafer must be cleansed of contaminants/byproducts left over from the CMP. process. It is very important that the contaminants left over from the CMP process be removed before the wafer proceeds through further fabrication processing. For example, the presence of contaminants particles can disrupt subsequent lithography processes, which can lead to, for example, broken lines, shorts, and the like.
  • FIG. 3 a diagram of a scrubbing brush 300 being used on a semiconductor wafer 310 is shown.
  • Figure 3 shows the scrubbing brush component of a cleaning assembly in accordance with the present invention.
  • scrubbing brush 300 rotates in the direction shown by arrow 301.
  • wafer 310 frictionally rotates (e.g., spins) beneath scrubbing brush 300 such that scrubbing brush 300 frictionally contacts the entire surface a wafer 310.
  • the scrubbing brush 300 is advantageous in that it efficiently removes those contaminants which come within direct contact with scrubbing brush 300 as it frictionally moves across the surface a wafer 310.
  • Scrubbing brush 300 in this embodiment, is a porous brush saturated with specifically tailored cleaning fluids.
  • the cleaning fluids are tailored in accordance with the materials comprising the surface of wafer 310 (e.g., metal lines covered with oxide, tungsten in oxide via plugs, copper, etc.).
  • the chemicals contained within the cleaning fluid chemically interact with contaminants on the surface of wafer 310.
  • the cleaning fluids react with the contaminants, yielding a reaction product.
  • the reaction product is removed from the wafer surface by the wiping force of scrubbing brush 300 as well as the flow of the cleaning fluid. Referring now to Figure 4, a diagram of a megasonic transducer
  • Figure 4 shows the megasonic nozzle component of a cleaning assembly in accordance with the present invention. As depicted in Figure 4, a nozzle 401 dispenses cleaning fluid, shown by arrows 402, onto the surface of wafer
  • megasonic transducer 400 functions by imparting high frequency (e.g., 2 MHz or above), high energy vibrations to cleaning fluid 402. These high energy vibrations are imparted to the surface of wafer 310 as cleaning fluid 402 contacts the surface. The force of the high energy vibrations within the cleaning fluid 402 dislodges contaminant particles from the surface of wafer 310.
  • a primary distinction between the megasonic component of the present invention and the brush component is the fact that the surface of wafer 310 is cleaned without any direct physical contact with megasonic nozzle 401 or megasonic transducer 400.
  • the force which removes the contaminants is the force of the megasonic agitated cleaning fluid 402 (e.g., cavitation, pressure gradients, streaming effects, and the like).
  • the pressure gradient developed by the megasonic waves dislodges and lifts the contaminants from the surface of wafer 310.
  • the streams and the bulk cleaning fluid flow then carry the contaminants away.
  • FIG. 5 shows a version of the megasonic component of the present invention wherein the megasonic transducer and the nozzle are integrated into a single megasonic nozzle 500.
  • the megasonic nozzle 500 traverses to the left and to the right of wafer 310 as shown by line 501. Additionally, wafer 310 spins beneath megasonic nozzle 500 as it moves back and forth across its surface.
  • Megasonic nozzle 500 functions in a substantially similar manner as megasonic nozzle 401 and megasonic transducer 400 of Figure 4. However, megasonic nozzle 500 uses both its own movement and the spinning movement of wafer 310 to cover more readily the surface of wafer 310.
  • FIG. 6A and 6B a side view and a down view of a combined megasonic/brush cleaning assembly 600 in accordance with the present invention is shown.
  • the cleaning assembly 600 depicts both the megasonic component and the brush component (e.g., megasonic transducer 602 and brush 601) as combined within a cleaning assembly 600.
  • the megasonic transducer 602 is located coaxially within brush 601.
  • Brush 601 rotates in the direction shown by arrow 603.
  • Wafer 310 rotates frictionally beneath brush 601.
  • megasonic transducer In accordance with the present invention, megasonic transducer
  • the simultaneous cleaning effect of the scrubbing action of brush 601 and the megasonic energy of megasonic transducer 602 provides numerous advantages.
  • One such advantage is that the aggregate force of both the brushing component and the megasonic component is significantly stronger than mere brushing or megasonic fluid alone and, thus, is more effective in removing contaminants.
  • Brush loading refers to the fact that, without the application of megasonic energy from megasonic transducer 602, brush 601 could pick up significant amounts of contaminants from wafer 310 such that brush 601 could no longer effectively remove all of the contaminants from the wafer surface (e.g., trying to clean the wafer with a "dirty” brush).
  • Yet another advantage of the cleaning assembly 600 of the present invention is that by combining the action of brush 601 with megasonic transducer 602 to yield a high cleaning efficiency, it is possible to optimize the pressure of the brush 601 and the energy of the megasonic transducer 602 so that the possibility of damage to the surface of wafer 310 during cleaning will be minimized.
  • the high cleaning efficiency allows less pressure to be applied by brush 601 to the surface of wafer 310 in comparison to brushing alone. Lower amounts of brush pressure reduce the risks of frictional damage to the surface of wafer 310.
  • Another advantage of the cleaning assembly 600 the present invention is that the combining of a brush cleaning process with a megasonic cleaning process within a single cleaning assembly 600 reduces both the number of cleaning steps and the amount of factory floor space required, as opposed to a case where a brush cleaning tool and a megasonic cleaning tool are used separately, one after the other.
  • the cleaning steps and time are reduced by operating both scrubbing and megasonic cleaning simultaneously, thereby improving wafer throughput.
  • a cleaning assembly 600 tool in accordance with the present invention will have a smaller footprint.
  • megasonic frequencies beyond 500KHz are most effective for post-CMP cleaning. Cleaning efficiency could be optimized by choice of solution chemistry and flow, megasonic process conditions of frequency and power, and scrubbing process conditions of brush pressure, rotational speed and time.
  • cleaning fluids can be tailored to the chemical makeup of the surface of wafer 310, wherein specific chemicals are included within a cleaning solution in order to induce specific cleaning effects upon materials of the surface of wafer 310 (e.g., dielectric, various types of metal, etc.).
  • specific chemicals e.g., dielectric, various types of metal, etc.
  • ordinary de-ionized water can be used as the cleaning fluid.
  • the disadvantage of using scrubbing alone is that the brush needs to be in direct contact with the wafer surface in order effectively to wipe off the contaminants.
  • the brush may damage the wafer surface if a high pressure is exerted.
  • the brush itself can be loaded with the contaminants and thereby reduce the cleaning efficiency.
  • megasonic cleaning alone is that the force in a megasonic cleaner is not as effective as the direct wiping in a scrubber; therefore, megasonic cleaning is not as popular as scrubbing in post-CMP applications.
  • High energy megasonic cleaning which employs very high energy levels to compensate for the less effective cleaning action, can also damage the wafer surface, for example, when the cavitation occurs at or very close to the wafer surface.
  • FIGS 7A and 7B a side view and a down view of a combined megasonic/brush cleaning assembly 700 in accordance with an alternate embodiment of the present invention are shown.
  • the cleaning assembly 700 depicts two megasonic components 702 and 703 and a brush component 701 combined within a cleaning assembly 700.
  • the megasonic transducers 702 and 703 are located alongside the brush 701 as opposed to being coaxially within brush 701.
  • Brush 701 rotates in the direction shown by arrow 704.
  • Wafer 310 spins frictionally beneath brush 701 as shown by the direction of spin 705.
  • Cleaning assembly 700 shows one variation in accordance with the present invention.
  • Cleaning assembly 700 functions in substantially the same manner as cleaning assembly 600 of Figures 6A and 6B.
  • cleaning assembly 700 locates two transducers alongside the scrubbing brush .(e.g., brush 701) as opposed to within the scrubbing brush.
  • megasonic transducers 702 and 703 impart megasonic energy to the surface of wafer 310 in a more direct manner.
  • wafer 310 spins beneath brush 701 and transducers 702 and 703.
  • cleaning assembly 700 uses the wiping action of brush 701 and the megasonic energy of transducers 702 and 703 to provide for safe, high efficiency, low footprint post-CMP cleaning.
  • Process 800 shows the steps involved in the operating process of a post CMP cleaning tool employing a cleaning assembly (e.g., cleaning assembly 600 of Figures 6A and 6B) of the present invention.
  • Process 800 shows steps from receiving wafer for post-CMP cleaning to sending the wafer to the next step in fabrication process.
  • Process 800 begins in step 801, where a wafer is received for cleaning after the wafer has been processed in a CMP machine.
  • chemical mechanical polishing involves the use of slurries and frictional contact with a polishing pad.
  • the CMP process leads to large amounts of contaminants which must be removed from the surface of the wafer.
  • the wafer is placed within the cleaning assembly of a post-CMP cleaning tool in accordance with the present invention.
  • the cleaning assembly e.g., cleaning assembly 600
  • the cleaning assembly includes both a scrubbing brush (e.g., brush 601) and a megasonic transducer (e.g., megasonic transducer 602).
  • cleaning fluid is dispensed onto the wafer.
  • the cleaning fluid can be a specialized cleaning solution containing various chemicals specifically tailored for the chemical makeup of the wafer surface, or alternatively, the cleaning fluid can be ordinary de-ionized water.
  • step 804 the surface of the wafer is brushed using the scrubbing brush mounted within the cleaning assembly.
  • the scrubbing brush uses a wiping action to remove the contaminants on the surface of the wafer.
  • step 805 megasonic cleaning fluid is dispensed onto the wafer using a megasonic nozzle mounted within the cleaning assembly.
  • the cleaning fluid is megasonically agitated by the megasonic nozzle at high frequencies (e.g., 500 kHz or above).
  • the megasonic energy functions by dislodging contaminant particles from the surface of the wafer.
  • step 806 contaminants are removed from the surface the wafer by the combined action of the brush and the megasonic nozzle of the cleaning assembly.
  • the combination of the megasonic energy and the wiping action of the brush provides for more efficient cleaning than either of the two alone.
  • the high efficiency cleaning action of the cleaning assembly allows less energy to be used with the megasonic nozzle and allows less pressure to be applied with the scrubbing brush in comparison to prior art cleaning methods.
  • step 807 the surface of a wafer is rinsed using de-ionized water. The rinse is to remove any remaining cleaning fluid leftover after the cleaning process.
  • step 808 the wafer is spun dry. Once the cleaning fluid has been rinsed away in step 807, the spin dry yields a completely clean wafer, free of all contaminants.
  • step 809 the completely clean wafer is removed from the cleaning assembly and sent from the post-CMP cleaning tool to the next step in the device fabrication process.
  • the present invention provides a method and system for efficiently removing CMP contaminants and byproducts from the surface of a wafer after the completion of CMP processing.
  • the present invention provides for efficient post-CMP cleaning that does not risk damaging the surface of the wafer.
  • the present invention effectively cleans a post-CMP wafer surface of contaminants/byproducts without causing damage.

Abstract

A cleaning apparatus for removing contaminants from the surface of a semiconductor wafer. A megasonic nozzle and a scrubbing brush are included in the cleaning apparatus. The megasonic nozzle is adapted for outputting megasonically agitated fluid to dislodge contaminant particles from a surface of a semiconductor wafer. The scrubbing brush is adapted to contact the surface of the semiconductor wafer and frictionally remove therefrom the contaminant particles. The megasonic nozzle and the scrubbing brush are both mounted within a cleaning assembly. The cleaning assembly simultaneously employs both the megasonic nozzle and brush to efficiently clean the contaminant particles from the surface of the semiconductor wafer.

Description

SEMICONDUCTOR WAFER CLEANING APPARATUS AND METHOD
FIELD OF THE INVENTION
The field of the present invention pertains to semiconductor fabrication processing. More particularly, the present invention relates to a device for more effective post CMP wafer cleaning. In one embodiment, there is disclosed a post CMP megasonic and brush- based semiconductor wafer cleaning.
BACKGROUND OF THE INVENTION
Most of the power and usefulness of today's digital IC devices can be attributed to the increasing levels of integration. More and more components (resistors, diodes, transistors, and the like) are continually being integrated into the underlying chip, or IC. The starting material for typical ICs is very high purity silicon. The material is grown as a single crystal. It takes the shape of a solid cylinder. This crystal is then sawed (life a loaf of bread) to produce wafers typically 10 to 30 cm in diameter and 250 microns thick.
The geometry of the features of the IC components are commonly defined photographically through a process known as photolithography. Very fine surface geometries can be reproduced accurately by this technique. The photolithography process is used to define component regions and build up components one layer on top of another. Complex ICs can often have many different built-up layers, each layer having components, each layer having differing interconnections, and each layer stacked on top of the previous layer. The resulting topography of
these complex ICs often resemble familiar terrestrial "mountain ranges," with many "hills" and "valleys" as the IC components are built up on the underlying surface of the silicon wafer.
In the photolithography process, a mask image, or pattern, defining the various components is focused onto a photosensitive layer using ultraviolet light. The image is focused onto the surface using the optical means of the photolithography tool and is imprinted into the photosensitive layer. To build ever smaller features, increasingly fine images must be focused onto the surface of the photosensitive layer, e.g. optical resolution must increase. As optical resolution increases, the depth of focus of the mask image correspondingly narrows. This is due to the narrow range in depth of focus imposed by the high numerical aperture lenses in the photolithography tool. This narrowing depth of focus is often the limiting factor in the degree of resolution obtainable and, thus, the smallest components obtainable using the photolithography tool. The extreme topography of complex ICs, the "hills" and "valleys," exaggerate the effects of decreasing depth of focus. Thus, in order properly to focus the mask image defining sub-micron geometries onto the photosensitive layer, a precisely flat surface is desired. The precisely flat (e.g. fully planarized) surface will allow for extremely small depths of focus and, in turn, allow the definition and subsequent fabrication of extremely small components.
Chemical-mechanical polishing (CMP) is the preferred method of obtaining full planarization of a wafer. It involves removing a sacrificial layer of dielectric material or metal using mechanical contact between the wafer and a moving polishing pad with chemical assistance from a polishing slurry. Polishing flattens out height differences since high areas of topography (hills) are removed faster than areas of low topography (valleys). CMP is the only technique with the capability of smoothing out topography over millimeter scale planarization distances leading to maximum angles of much less than one degree after polishing.
Figure 1 shows a down view of a typical prior art CMP machine 100 and Figure 2 shows a side cut away view of the CMP machine 100. The CMP machine 100 is fed wafers to be polished. The CMP machine 100 picks up the wafers with an arm 101 and places them onto a rotating polishing pad 102. The polishing pad 102 is made of a resilient material and is typically textured, often with a plurality of predetermined groves 103, to aid the polishing process. The polishing pad 102 rotates on a platen 104, or turn table, located beneath the polishing pad 102, at a predetermined speed. A wafer 105 is held in place on the polishing pad 102 and the arm 101 by a carrier ring 112 and a carrier 106. The lower surface of the wafer 105 (e.g., the "front" side) rests against the polishing pad 102. The upper surface of the wafer 105 is against the lower surface of the carrier 106 of the arm 101. As the polishing pad 102 rotates, the arm 101 rotates the wafer 105 at a predetermined rate. The arm 101 forces the wafer 105 into the polishing pad 102 with a predetermined amount of down force. The CMP machine 100 also includes a slurry dispense arm 107 extending across the radius of the polishing pad 102. The slurry dispense arm 107 dispenses a flow of slurry onto the polishing pad 102. The slurry is a mixture of de-ionized water and polishing agents designed to aid chemically the smooth and predictable planarization of the wafer. The rotating action of both the polishing pad 102 and the wafer 105, in conjunction with the polishing action of the slurry, combine to planarize, or polish, the wafer 105 at some nominal rate. This rate is referred to as the removal rate. A constant and predictable removal rate is important to the uniformity and performance of the wafer fabrication process. The removal rate should be expedient, yet yield precisely planarized wafers, free from surface topography. If the removal rate is too slow, the number of planarized wafers produced in a given period of time decreases, degrading wafer through-put of the fabrication process. If the removal rate is too fast, the CMP planarization process will not be uniform across the surface of the wafers, degrading the yield of the fabrication process.
To aid in maintaining a stable removal rate, the CMP machine 100 includes a conditioner assembly 120. The conditioner assembly 120 includes a conditioner arm 108, which extends across the radius of the polishing pad 102. An end effector 109 is connected to the conditioner arm 108. The end effector 109 includes an abrasive conditioning disk 110 which is used to roughen the surface of the polishing pad 102. The conditioning disk 110 is rotated by the conditioner arm 108 and is translationally moved towards the center of the polishing pad 102 and away from the center of the polishing pad 102, such that the conditioning disk 110 covers the radius of the polishing pad 102, thereby covering nearly the entire surface area of the polishing pad 102 as the polishing pad 102 rotates. A polishing pad having a roughened surface has an increased number of very small pits and gouges in its surface from the conditioner assembly 120 and, therefore, produces a faster removal rate via increased slurry transfer to the surface of the wafer and from more effective application of polishing down force. Without conditioning, the surface of polishing pad 102 is smoothed during the polishing process and removal rate decreases dramatically. The conditioner assembly 120 re-roughens the surface of the polishing pad 102, thereby improving the transport of slurry and improving the removal rate.
Thus, the action of the rough surface of the polishing pad 102, the chemical softening action of the slurry, and the abrasive action of the slurry combine to polish the wafer 105 such that topography over millimeter scale planarization distances is nearly completely smoothed away. Once CMP is complete, wafer 105 is removed from polishing pad 102 by arm 101 and is prepared for the next phase in the device fabrication process. However, prior to subsequent fabrication processing, wafer 105 must be cleansed of contaminants left over from the CMP process (e.g., particles of polishing pad 102, trace amounts of slurry/abrasives, metal ions, and the like).
After the CMP process is complete, the surface of wafer 105 is cleaned in order to remove particles, metal ions, and other such contaminants. As is well known by those skilled in the art, it is very important that the contaminants left over from the CMP process be removed before wafer 105 proceeds through further fabrication processing. ' For example, the presence of contaminant particles can disrupt subsequent lithography, which can lead to, for example, broken lines, shorts, and the like. Currently, the most widely used post-CMP cleaning processes involves the use of brushes, wherein the brushes are frictionally used to scrub the surface of wafer 105 until all contaminants are removed.
The use of brushes has a distinct disadvantage, however, in that the brush needs to be in direct contact with the surface of wafer 105 in order to wipe off the contaminants effectively. Cleaning effectiveness is increased with increasing brush pressure against the surface. This is offset by the fact that increased pressure leads to an increased risk of damage to the wafer surface from the scrubbing action of the brush. Additionally, in most cases, the brush itself can be loaded with the contaminants and thereby reduce the cleaning efficiency. Furthermore, brushes tend to be less effective in the presence of topography within recessed areas (e.g., trenches, holes, etc.).
Because of the above disadvantages associated with brushing for post-CMP cleaning, "megasonic" wafer cleaning techniques have been developed. As is well known, megasonic cleaning involves the use of a high frequency, extremely agitated stream of fluid (e.g., de-ionized water) which is directed towards the surface of wafer 105. The extreme agitating action of the stream of fluid forcibly dislodges contaminants and polishing byproducts from the wafer surface. The megasonic cleaning provides the advantage of dislodging contaminants and particles, even in recessed areas, without making direct physical contact with the wafer, thereby greatly reducing the risk of damage to the wafer surface. A primary disadvantage of megasonic cleaning, however, is the fact that the cleaning action is not as effective as the cleaning action of brushing.
Thus, what is required is a method and system for efficiently removing CMP contaminants and byproducts from the surface of a wafer after the completion of CMP processing. What is required is a solution for efficient post-CMP cleaning which does not risk damaging the surface of the wafer. What is further required is a solution which effectively cleans a post CMP wafer surface of contaminants/byproducts without causing damage. The present invention provides a novel solution to the above requirements.
DISCLOSURE OF THE INVENTION
The present invention provides a method and system for efficiently removing CMP contaminants and byproducts from the surface of a wafer after the completion of CMP processing. The present invention provides for efficient post CMP cleaning that does not risk damaging the surface of the wafer. The present invention effectively cleans a post- CMP wafer surface of contaminants/byproducts without causing damage.
In one embodiment, the present invention is implemented as a cleaning apparatus for removing contaminants from the surface of a semiconductor wafer. A megasonic nozzle and a scrubbing brush are included in the cleaning apparatus. The megasonic nozzle is adapted for outputting megasonically agitated fluid to dislodge contaminant particles from a surface of a semiconductor wafer. The scrubbing brush is adapted to contact the surface of the semiconductor wafer and frictionally remove therefrom the contaminant particles. The megasonic nozzle and the scrubbing brush are both mounted within a cleaning assembly. The cleaning assembly simultaneously employs both the megasonic nozzle and brush to clean efficiently the contaminant particles from the surface of the semiconductor wafer. The cleaning assembly's simultaneous use of both megasonically agitated fluid and the scrubbing brush provides for more effective cleaning than using one or the other alone. In this manner, the cleaning assembly effectively cleans the post-CMP wafer surface of contaminants/byproducts without damaging the surface. BRIEF DESCRIPTION OF THE DRAWINGS
The accompanying drawings, which are incorporated in and form a part of this specification, illustrate embodiments of the invention and, together with the description, serve to explain the principles of the invention:
Prior art Figure 1 shows a down view of a typical prior art CMP machine.
Prior art Figure 2 shows a side view of the prior art CMP machine from Figure 1.
Figure 3 shows a diagram of the scrubbing brush being used on a semiconductor wafer, illustrating the brush-based component of the present invention.
Figure 4 shows a diagram of a megasonic transducer being used on a semiconductor wafer, illustrating the megasonic-based component of the present invention.
Figure 5 shows a diagram depicting a megasonic-based component to the present invention wherein the megasonic nozzle and megasonic transducer are integrated into a single unit and a spinning motion is imparted to the semiconductor wafer. Figure 6A shows a side view of a combined megasonic/brush cleaning assembly in accordance with one embodiment of present invention.
Figure 6B shows a down view of the combined megasonic/brush cleaning assembly from figure 6A.
Figure 7A shows a side view of a combined megasonic/brush cleaning assembly in accordance with an alternative embodiment of the present invention.
Figure 7B shows a down view of the combined megasonic/brush cleaning assembly from Figure 7A.
Figure 8 shows a flowchart of steps of a process in accordance with one embodiment of the present invention.
BEST MODE FOR CARRYING OUT THE INVENTION
Reference will now be made in detail to the preferred embodiments of the invention, a method and system for post-CMP megasonic- and brush-based semiconductor wafer cleaning, examples of which are illustrated in the accompanying drawings. While the invention will be described in conjunction with the preferred embodiments, it will be understood that they are not intended to limit the invention to these embodiments. On the contrary, the invention is intended to cover alternatives, modifications and equivalents, which may be included within the spirit and scope of the invention as defined by the appended claims. Furthermore, in the following detailed description of the present invention, numerous specific details are set forth in order to provide a thorough understanding of the present invention. However, it will be obvious to one of ordinary skill in the art that the present invention may be practiced without these specific details. In other instances, well known methods, procedures, components, and circuits have not been described in detail as not unnecessarily to obscure aspects of the present invention.
The present invention provides a combined megasonic and brush based semiconductor wafer cleaning method and system for efficiently removing CMP contaminants and byproducts from the surface of a wafer after the completion of CMP processing. The present invention provides for efficient post-CMP cleaning that does not risk damaging the surface of the wafer. The present invention effectively cleans a post- CMP wafer surface of contaminants/byproducts without causing damage. Chemical-mechanical polishing (CMP) is the preferred method of obtaining full planarization of a semiconductor wafer containing devices for fabrication processing. The CMP process involves removing one or more layers of material (e.g., dielectric material, aluminum, tungsten, or copper layers, or the like) using both the frictional contact between the wafer and a moving polishing pad saturated with a polishing slurry and the chemical action of the slurry itself. Polishing through the CMP process flattens out height differences, since high areas of topography (hills) are removed faster than areas of low topography (valleys). The
CMP process is the preferred technique with the capability of smoothing out topography over millimeter scale planarization distances leading to maximum angles of much less than one degree after polishing.
The frictional contact with the surface of the polishing pad of the
CMP machine, the chemical softening action of the slurry, and the abrasive action of the slurry that combine to polish a semiconductor wafer also combine to create large amounts of contaminants and polishing byproducts. These contaminants/byproducts (e.g., particles of polishing pad 102, trace amounts of slurry/abrasives, metal ions, and the like) are spread by the CMP process across the entire surface of the wafer. Once CMP is complete, the wafer is removed from the CMP machine and is prepared for the next phase in the device fabrication process. However, prior to subsequent fabrication processing, the wafer must be cleansed of contaminants/byproducts left over from the CMP. process. It is very important that the contaminants left over from the CMP process be removed before the wafer proceeds through further fabrication processing. For example, the presence of contaminants particles can disrupt subsequent lithography processes, which can lead to, for example, broken lines, shorts, and the like.
Referring now to Figure 3, a diagram of a scrubbing brush 300 being used on a semiconductor wafer 310 is shown. Figure 3 shows the scrubbing brush component of a cleaning assembly in accordance with the present invention. As depicted in Figure 3, scrubbing brush 300 rotates in the direction shown by arrow 301. As scrubbing brush 300 rotates, wafer 310 frictionally rotates (e.g., spins) beneath scrubbing brush 300 such that scrubbing brush 300 frictionally contacts the entire surface a wafer 310.
The scrubbing brush 300 is advantageous in that it efficiently removes those contaminants which come within direct contact with scrubbing brush 300 as it frictionally moves across the surface a wafer 310. Scrubbing brush 300, in this embodiment, is a porous brush saturated with specifically tailored cleaning fluids. The cleaning fluids are tailored in accordance with the materials comprising the surface of wafer 310 (e.g., metal lines covered with oxide, tungsten in oxide via plugs, copper, etc.). The chemicals contained within the cleaning fluid chemically interact with contaminants on the surface of wafer 310. The cleaning fluids react with the contaminants, yielding a reaction product. The reaction product is removed from the wafer surface by the wiping force of scrubbing brush 300 as well as the flow of the cleaning fluid. Referring now to Figure 4, a diagram of a megasonic transducer
400 being used on semiconductor wafer 310 is shown. Figure 4 shows the megasonic nozzle component of a cleaning assembly in accordance with the present invention. As depicted in Figure 4, a nozzle 401 dispenses cleaning fluid, shown by arrows 402, onto the surface of wafer
310. As the cleaning fluid leaves nozzle 401, the cleaning fluid is megasonically agitated by megasonic transducer 400. Megasonic transducer 400 functions by imparting high frequency (e.g., 2 MHz or above), high energy vibrations to cleaning fluid 402. These high energy vibrations are imparted to the surface of wafer 310 as cleaning fluid 402 contacts the surface. The force of the high energy vibrations within the cleaning fluid 402 dislodges contaminant particles from the surface of wafer 310.
A primary distinction between the megasonic component of the present invention and the brush component is the fact that the surface of wafer 310 is cleaned without any direct physical contact with megasonic nozzle 401 or megasonic transducer 400. With the megasonic component, the force which removes the contaminants is the force of the megasonic agitated cleaning fluid 402 (e.g., cavitation, pressure gradients, streaming effects, and the like). The pressure gradient developed by the megasonic waves dislodges and lifts the contaminants from the surface of wafer 310. The streams and the bulk cleaning fluid flow then carry the contaminants away.
Figure 5 shows a version of the megasonic component of the present invention wherein the megasonic transducer and the nozzle are integrated into a single megasonic nozzle 500. As depicted in Figure 5, the megasonic nozzle 500 traverses to the left and to the right of wafer 310 as shown by line 501. Additionally, wafer 310 spins beneath megasonic nozzle 500 as it moves back and forth across its surface. Megasonic nozzle 500 functions in a substantially similar manner as megasonic nozzle 401 and megasonic transducer 400 of Figure 4. However, megasonic nozzle 500 uses both its own movement and the spinning movement of wafer 310 to cover more readily the surface of wafer 310.
Referring now to Figures 6A and 6B, a side view and a down view of a combined megasonic/brush cleaning assembly 600 in accordance with the present invention is shown. As depicted in Figures 6A and 6B, the cleaning assembly 600 depicts both the megasonic component and the brush component (e.g., megasonic transducer 602 and brush 601) as combined within a cleaning assembly 600. The megasonic transducer 602 is located coaxially within brush 601. Brush 601 rotates in the direction shown by arrow 603. Wafer 310 rotates frictionally beneath brush 601.
In accordance with the present invention, megasonic transducer
602 is located coaxially, inside the brush 601. Megasonic energy is transmitted through the brush 601 and through the cleaning fluid to the surface of wafer 310. The simultaneous cleaning effect of the scrubbing action of brush 601 and the megasonic energy of megasonic transducer 602 provides numerous advantages. One such advantage is that the aggregate force of both the brushing component and the megasonic component is significantly stronger than mere brushing or megasonic fluid alone and, thus, is more effective in removing contaminants.
Another advantage is that there is less chance of "brush loading" with the megasonic energy penetrating into the brush 601 while the wiping action of the brush 601 is being used. Brush loading refers to the fact that, without the application of megasonic energy from megasonic transducer 602, brush 601 could pick up significant amounts of contaminants from wafer 310 such that brush 601 could no longer effectively remove all of the contaminants from the wafer surface (e.g., trying to clean the wafer with a "dirty" brush).
Yet another advantage of the cleaning assembly 600 of the present invention is that by combining the action of brush 601 with megasonic transducer 602 to yield a high cleaning efficiency, it is possible to optimize the pressure of the brush 601 and the energy of the megasonic transducer 602 so that the possibility of damage to the surface of wafer 310 during cleaning will be minimized. The high cleaning efficiency allows less pressure to be applied by brush 601 to the surface of wafer 310 in comparison to brushing alone. Lower amounts of brush pressure reduce the risks of frictional damage to the surface of wafer 310.
Another advantage of the cleaning assembly 600 the present invention is that the combining of a brush cleaning process with a megasonic cleaning process within a single cleaning assembly 600 reduces both the number of cleaning steps and the amount of factory floor space required, as opposed to a case where a brush cleaning tool and a megasonic cleaning tool are used separately, one after the other. The cleaning steps and time are reduced by operating both scrubbing and megasonic cleaning simultaneously, thereby improving wafer throughput. Additionally, a cleaning assembly 600 tool in accordance with the present invention will have a smaller footprint.
In typical use, megasonic frequencies beyond 500KHz are most effective for post-CMP cleaning. Cleaning efficiency could be optimized by choice of solution chemistry and flow, megasonic process conditions of frequency and power, and scrubbing process conditions of brush pressure, rotational speed and time.
It should be noted that various cleaning solutions can be used by the cleaning assembly 600 depicted in Figures 6A and 6B. Cleaning fluids can be tailored to the chemical makeup of the surface of wafer 310, wherein specific chemicals are included within a cleaning solution in order to induce specific cleaning effects upon materials of the surface of wafer 310 (e.g., dielectric, various types of metal, etc.). Alternatively, ordinary de-ionized water can be used as the cleaning fluid.
The disadvantage of using scrubbing alone is that the brush needs to be in direct contact with the wafer surface in order effectively to wipe off the contaminants. The brush may damage the wafer surface if a high pressure is exerted. In most cases, the brush itself can be loaded with the contaminants and thereby reduce the cleaning efficiency.
The disadvantage in using megasonic cleaning alone is that the force in a megasonic cleaner is not as effective as the direct wiping in a scrubber; therefore, megasonic cleaning is not as popular as scrubbing in post-CMP applications. High energy megasonic cleaning, which employs very high energy levels to compensate for the less effective cleaning action, can also damage the wafer surface, for example, when the cavitation occurs at or very close to the wafer surface.
Referring now to Figures 7A and 7B, a side view and a down view of a combined megasonic/brush cleaning assembly 700 in accordance with an alternate embodiment of the present invention are shown. As depicted in Figures 7A and 7B, the cleaning assembly 700 depicts two megasonic components 702 and 703 and a brush component 701 combined within a cleaning assembly 700. The megasonic transducers 702 and 703 are located alongside the brush 701 as opposed to being coaxially within brush 701. Brush 701 rotates in the direction shown by arrow 704. Wafer 310 spins frictionally beneath brush 701 as shown by the direction of spin 705.
Cleaning assembly 700 shows one variation in accordance with the present invention. Cleaning assembly 700 functions in substantially the same manner as cleaning assembly 600 of Figures 6A and 6B. However, cleaning assembly 700 locates two transducers alongside the scrubbing brush .(e.g., brush 701) as opposed to within the scrubbing brush. In so doing, megasonic transducers 702 and 703 impart megasonic energy to the surface of wafer 310 in a more direct manner. To ensure even coverage, wafer 310 spins beneath brush 701 and transducers 702 and 703. In the same manner as cleaning assembly 600, cleaning assembly 700 uses the wiping action of brush 701 and the megasonic energy of transducers 702 and 703 to provide for safe, high efficiency, low footprint post-CMP cleaning.
Referring now to Figure 8, a flowchart of the steps of a process 800 in accordance with one embodiment of present invention are shown. Process 800 shows the steps involved in the operating process of a post CMP cleaning tool employing a cleaning assembly (e.g., cleaning assembly 600 of Figures 6A and 6B) of the present invention. Process 800 shows steps from receiving wafer for post-CMP cleaning to sending the wafer to the next step in fabrication process.
Process 800 begins in step 801, where a wafer is received for cleaning after the wafer has been processed in a CMP machine. As described above, chemical mechanical polishing involves the use of slurries and frictional contact with a polishing pad. The CMP process leads to large amounts of contaminants which must be removed from the surface of the wafer.
In step 802, the wafer is placed within the cleaning assembly of a post-CMP cleaning tool in accordance with the present invention. The cleaning assembly (e.g., cleaning assembly 600) includes both a scrubbing brush (e.g., brush 601) and a megasonic transducer (e.g., megasonic transducer 602).
In step 803, cleaning fluid is dispensed onto the wafer. As described above, the cleaning fluid can be a specialized cleaning solution containing various chemicals specifically tailored for the chemical makeup of the wafer surface, or alternatively, the cleaning fluid can be ordinary de-ionized water.
In step 804, the surface of the wafer is brushed using the scrubbing brush mounted within the cleaning assembly. As described above, the scrubbing brush uses a wiping action to remove the contaminants on the surface of the wafer.
In step 805, megasonic cleaning fluid is dispensed onto the wafer using a megasonic nozzle mounted within the cleaning assembly. As described above, the cleaning fluid is megasonically agitated by the megasonic nozzle at high frequencies (e.g., 500 kHz or above). The megasonic energy functions by dislodging contaminant particles from the surface of the wafer.
Referring still to Figure 8, in step 806, contaminants are removed from the surface the wafer by the combined action of the brush and the megasonic nozzle of the cleaning assembly. As described above, the combination of the megasonic energy and the wiping action of the brush provides for more efficient cleaning than either of the two alone. The high efficiency cleaning action of the cleaning assembly allows less energy to be used with the megasonic nozzle and allows less pressure to be applied with the scrubbing brush in comparison to prior art cleaning methods. In step 807, the surface of a wafer is rinsed using de-ionized water. The rinse is to remove any remaining cleaning fluid leftover after the cleaning process.
In step 808, the wafer is spun dry. Once the cleaning fluid has been rinsed away in step 807, the spin dry yields a completely clean wafer, free of all contaminants.
In step 809, the completely clean wafer is removed from the cleaning assembly and sent from the post-CMP cleaning tool to the next step in the device fabrication process.
Thus, the present invention provides a method and system for efficiently removing CMP contaminants and byproducts from the surface of a wafer after the completion of CMP processing. The present invention provides for efficient post-CMP cleaning that does not risk damaging the surface of the wafer. The present invention effectively cleans a post-CMP wafer surface of contaminants/byproducts without causing damage.
The foregoing descriptions of specific embodiments of the present invention have been presented for purposes of illustration and description. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, and obviously many modifications and variations are possible in light of the above teaching. The embodiments were chosen and described in order best to explain the principles of the invention and its practical application, thereby to enable others skilled in the art best to utilize the invention and various embodiments with various modifications as are suited to the particular use contemplated. It is intended that the scope of the invention be defined by the Claims appended hereto and their equivalents.

Claims

CLAIMSWhat is claimed is:
1. A cleaning apparatus for removing contaminants from the surface of a semiconductor wafer, comprising: a megasonic transducer adapted for outputting megasonically agitated fluid to dislodge contaminant particles from a surface of a semiconductor wafer; a brush adapted to contact the surface of the semiconductor wafer and remove therefrom the contaminant particles: and a cleaning assembly mounting the megasonic transducer and the brush, the cleaning assembly operable for simultaneously employing the megasonic transducer and the brush to clean the contaminant particles from the surface of the semiconductor wafer.
2. The apparatus of Claim 1 , wherein the megasonic transducer is adapted to output a megasonically agitated stream of fluid into contact with the surface of the semiconductor wafer to dislodge the contaminant particles.
3. The apparatus of Claim 1 , wherein the brush is adapted to contact the surface of the wafer and frictionally remove the contaminant particles by using a wiping action.
4. The apparatus of Claim 1 , wherein the cleaning assembly is adapted to laterally move the semiconductor wafer with respect to the brush as the brush cleans the surface of the semiconductor wafer.
5. The apparatus of Claim 1 wherein the apparatus is a post CMP wafer cleaning machine for removing post CMP contaminant particles from the surface of the semiconductor wafer, the brush removing the contaminant particles from the surface of the wafer by using a wiping action, the cleaning assembly being adapted to laterally move the semiconductor wafer with respect to the brush as the brush cleans the surface of the semiconductor wafer.
6. The apparatus of Claim 1 or 5 wherein the magasonic transducer is disposed coaxially within the brush such that the megasonically agitated fluid flows into contact with the surface of the wafer via the brush.
7. The apparatus of Claim 1 or 5, wherein the megasonic transducer is disposed laterally alongside the brush to flow the megasonicalh' agitated fluid into contact with the surface of the semiconductor wafer.
8. The apparatus of Claim 1 or 5. wherein the cleaning assembly is adapted to spin dry the semiconductor wafer after cleaning.
9. The apparatus of Claim 1 or 5. wherein the fluid is de-ionized water.
10. The apparatus of Claim 1 or 5. wherein the fluid is a cleaning solution tailored chemically interact with the surface of the semiconductor wafer.
1 1. A method for post CMP cleaning of a semiconductor wafer, the method comprising the steps of: dislodging contaminant particles from a surface of a semiconductor wafer by using a megasonic transducer adapted to output a stream of megasonically agitated fluid; remove contaminant particles from the surface of the semiconductor wafer by using a brush adapted to contact the surface of the semiconductor wafer; and simultaneously employing the megasonic transducer and the brush to clean the contaminant particles from the surface of the semiconductor wafer, the cleaning performed by a cleaning assembly mounting the megasonic transducer and the brush.
12. The method of Claim 11, wherein the megasonic transducer is disposed coaxially within the brush such that the megasonically agitated fluid flows into contact with the surface of the wafer via the brush.
13. The method of Claim 1 1, further including the step of: laterally moving the semiconductor wafer with respect to the brush as the brush cleans the surface of the semiconductor wafer, the lateral moving performed by the cleaning assembly.
14. The method of Claim 1 1, wherein the megasonic transducer is disposed laterally alongside the brush to flow the megasonically agitated fluid into contact with the surface of the semiconductor wafer.
15. The method of Claim 1 1. further including the step of: spin drying the semiconductor wafer after cleaning by using the cleaning assembly.
PCT/US2000/007394 1999-03-30 2000-03-20 Semiconductor wafer cleaning apparatus and method WO2000059006A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
AU39030/00A AU3903000A (en) 1999-03-30 2000-03-20 Semiconductor wafer cleaning apparatus and method
KR1020007013477A KR20010052451A (en) 1999-03-30 2000-03-20 Semiconductor wafer cleaning apparatus and method
JP2000608416A JP2002540623A (en) 1999-03-30 2000-03-20 Semiconductor wafer cleaning apparatus and method
EP00918167A EP1088337A1 (en) 1999-03-30 2000-03-20 Semiconductor wafer cleaning apparatus and method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US28161999A 1999-03-30 1999-03-30
US09/281,619 1999-03-30

Publications (1)

Publication Number Publication Date
WO2000059006A1 true WO2000059006A1 (en) 2000-10-05

Family

ID=23078077

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/007394 WO2000059006A1 (en) 1999-03-30 2000-03-20 Semiconductor wafer cleaning apparatus and method

Country Status (6)

Country Link
EP (1) EP1088337A1 (en)
JP (1) JP2002540623A (en)
KR (1) KR20010052451A (en)
CN (1) CN1310860A (en)
AU (1) AU3903000A (en)
WO (1) WO2000059006A1 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6345630B2 (en) 1998-11-11 2002-02-12 Applied Materials, Inc. Method and apparatus for cleaning the edge of a thin disc
US6904637B2 (en) 2001-10-03 2005-06-14 Applied Materials, Inc. Scrubber with sonic nozzle
WO2005064652A1 (en) * 2003-12-23 2005-07-14 Lam Research Corporation Apparatuses and methods for cleaning a substrate
EP1748466A1 (en) * 2005-07-29 2007-01-31 Aya Maria Thallner Wafer surface cleaning device
US7231682B1 (en) * 2003-08-28 2007-06-19 Lam Research Corporation Method and apparatus for simultaneously cleaning the front side and back side of a wafer
US7287537B2 (en) * 2002-01-29 2007-10-30 Akrion Technologies, Inc. Megasonic probe energy director
US7568490B2 (en) 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
CN102194653A (en) * 2010-03-11 2011-09-21 中芯国际集成电路制造(上海)有限公司 Wafer cleaning device
US8372210B2 (en) 2003-10-27 2013-02-12 Applied Materials, Inc. Post CMP scrubbing of substrates
US8388762B2 (en) 2007-05-02 2013-03-05 Lam Research Corporation Substrate cleaning technique employing multi-phase solution
US8480810B2 (en) 2005-12-30 2013-07-09 Lam Research Corporation Method and apparatus for particle removal
US8845812B2 (en) 2009-06-12 2014-09-30 Micron Technology, Inc. Method for contamination removal using magnetic particles
WO2018194827A1 (en) * 2017-04-21 2018-10-25 Invensas Bonding Technologies, Inc. Die processing
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus
US11855064B2 (en) 2018-02-15 2023-12-26 Adeia Semiconductor Bonding Technologies Inc. Techniques for processing devices

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040029494A1 (en) * 2002-08-09 2004-02-12 Souvik Banerjee Post-CMP cleaning of semiconductor wafer surfaces using a combination of aqueous and CO2 based cryogenic cleaning techniques
CN101540269B (en) * 2008-03-20 2012-10-10 盛美半导体设备(上海)有限公司 Method and device for cleaning semiconductor chip
JP5245701B2 (en) * 2008-10-03 2013-07-24 富士通株式会社 Ultrasonic irradiation device, cleaning device and cleaning method
CN103659581A (en) * 2012-09-05 2014-03-26 上海华虹宏力半导体制造有限公司 Grinding fluid transfer arm

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5919329A (en) * 1982-07-23 1984-01-31 Hitachi Ltd Cleaning method and device thereof
JPH0786222A (en) * 1993-09-16 1995-03-31 Tokyo Ohka Kogyo Co Ltd Substrate cleaning device
JPH0786218A (en) * 1993-09-17 1995-03-31 Dainippon Screen Mfg Co Ltd Substrate cleaner
JPH10189528A (en) * 1996-12-27 1998-07-21 Dainippon Screen Mfg Co Ltd Apparatus and method for cleaning of substrate
US5868866A (en) * 1995-03-03 1999-02-09 Ebara Corporation Method of and apparatus for cleaning workpiece

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5919329A (en) * 1982-07-23 1984-01-31 Hitachi Ltd Cleaning method and device thereof
JPH0786222A (en) * 1993-09-16 1995-03-31 Tokyo Ohka Kogyo Co Ltd Substrate cleaning device
JPH0786218A (en) * 1993-09-17 1995-03-31 Dainippon Screen Mfg Co Ltd Substrate cleaner
US5868866A (en) * 1995-03-03 1999-02-09 Ebara Corporation Method of and apparatus for cleaning workpiece
JPH10189528A (en) * 1996-12-27 1998-07-21 Dainippon Screen Mfg Co Ltd Apparatus and method for cleaning of substrate

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 008, no. 103 (E - 244) 15 May 1984 (1984-05-15) *
PATENT ABSTRACTS OF JAPAN vol. 1995, no. 06 31 July 1995 (1995-07-31) *
PATENT ABSTRACTS OF JAPAN vol. 1998, no. 12 31 October 1998 (1998-10-31) *

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6345630B2 (en) 1998-11-11 2002-02-12 Applied Materials, Inc. Method and apparatus for cleaning the edge of a thin disc
US6904637B2 (en) 2001-10-03 2005-06-14 Applied Materials, Inc. Scrubber with sonic nozzle
US7063749B2 (en) 2001-10-03 2006-06-20 Applied Materials Scrubber with sonic nozzle
US7287537B2 (en) * 2002-01-29 2007-10-30 Akrion Technologies, Inc. Megasonic probe energy director
US7231682B1 (en) * 2003-08-28 2007-06-19 Lam Research Corporation Method and apparatus for simultaneously cleaning the front side and back side of a wafer
US8372210B2 (en) 2003-10-27 2013-02-12 Applied Materials, Inc. Post CMP scrubbing of substrates
WO2005064652A1 (en) * 2003-12-23 2005-07-14 Lam Research Corporation Apparatuses and methods for cleaning a substrate
US7568490B2 (en) 2003-12-23 2009-08-04 Lam Research Corporation Method and apparatus for cleaning semiconductor wafers using compressed and/or pressurized foams, bubbles, and/or liquids
EP1748466A1 (en) * 2005-07-29 2007-01-31 Aya Maria Thallner Wafer surface cleaning device
US8480810B2 (en) 2005-12-30 2013-07-09 Lam Research Corporation Method and apparatus for particle removal
US8388762B2 (en) 2007-05-02 2013-03-05 Lam Research Corporation Substrate cleaning technique employing multi-phase solution
US8845812B2 (en) 2009-06-12 2014-09-30 Micron Technology, Inc. Method for contamination removal using magnetic particles
CN102194653A (en) * 2010-03-11 2011-09-21 中芯国际集成电路制造(上海)有限公司 Wafer cleaning device
WO2018194827A1 (en) * 2017-04-21 2018-10-25 Invensas Bonding Technologies, Inc. Die processing
US10269756B2 (en) 2017-04-21 2019-04-23 Invensas Bonding Technologies, Inc. Die processing
US10515925B2 (en) 2017-04-21 2019-12-24 Invensas Bonding Technologies, Inc. Die processing
US10714449B2 (en) 2017-04-21 2020-07-14 Invensas Bonding Technologies, Inc. Die processing
US10985133B2 (en) 2017-04-21 2021-04-20 Invensas Bonding Technologies, Inc. Die processing
US11742315B2 (en) 2017-04-21 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Die processing
US11855064B2 (en) 2018-02-15 2023-12-26 Adeia Semiconductor Bonding Technologies Inc. Techniques for processing devices
US11742314B2 (en) 2020-03-31 2023-08-29 Adeia Semiconductor Bonding Technologies Inc. Reliable hybrid bonded apparatus

Also Published As

Publication number Publication date
KR20010052451A (en) 2001-06-25
AU3903000A (en) 2000-10-16
EP1088337A1 (en) 2001-04-04
CN1310860A (en) 2001-08-29
JP2002540623A (en) 2002-11-26

Similar Documents

Publication Publication Date Title
EP1088337A1 (en) Semiconductor wafer cleaning apparatus and method
US5778481A (en) Silicon wafer cleaning and polishing pads
US5679169A (en) Method for post chemical-mechanical planarization cleaning of semiconductor wafers
US7040967B2 (en) Multi-step, in-situ pad conditioning system and method for chemical mechanical planarization
US5972124A (en) Method for cleaning a surface of a dielectric material
US5551986A (en) Mechanical scrubbing for particle removal
US6241587B1 (en) System for dislodging by-product agglomerations from a polishing pad of a chemical mechanical polishing machine
JP3114156B2 (en) Cleaning method and apparatus
CN101197268B (en) Method for eliminating leftover after chemical mechanical grinding
WO2000028579A2 (en) Method and apparatus for cleaning the edge of a thin disc
US6695684B2 (en) Chemical mechanical polishing apparatus having a cleaner for cleaning a conditioning disc and method of conditioning a polishing pad of the apparatus
EP1145287A1 (en) Method and apparatus for cleaning a semiconductor wafer
JP2001358110A (en) Scrub-cleaning device and manufacturing method for semiconductor device using the same
EP1077473A2 (en) Method and apparatus for cleaning a surface of a semiconductor wafer
JP3708740B2 (en) Polishing apparatus and polishing method
US6502271B1 (en) Method and apparatus for cleaning workpieces with uniform relative velocity
EP1069972A1 (en) Apparatus and methods for slurry removal in chemical mechanical polishing
US6575820B2 (en) Chemical mechanical polishing apparatus
JP2004512693A (en) Semiconductor wafer cleaning method and apparatus
JP2004273530A (en) Washing device and method therefor
KR100677034B1 (en) Methods and apparatus for cleaning semiconductor devices
KR100790273B1 (en) Pencil sponge cleaning apparatus and method thereof
JP3426866B2 (en) Apparatus and method for manufacturing semiconductor device
KR19990032092A (en) Surface polishing device equipped with deionized water sprayer
Olesen et al. Non-contact post-CMP cleaning using a single wafer processing system

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 00800942.2

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

WWE Wipo information: entry into national phase

Ref document number: 2000918167

Country of ref document: EP

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020007013477

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2000918167

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020007013477

Country of ref document: KR

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWW Wipo information: withdrawn in national office

Ref document number: 2000918167

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 1020007013477

Country of ref document: KR