USRE49963E1 - Semiconductor device and method of fabricating the same - Google Patents

Semiconductor device and method of fabricating the same Download PDF

Info

Publication number
USRE49963E1
USRE49963E1 US17/155,615 US202117155615A USRE49963E US RE49963 E1 USRE49963 E1 US RE49963E1 US 202117155615 A US202117155615 A US 202117155615A US RE49963 E USRE49963 E US RE49963E
Authority
US
United States
Prior art keywords
source
active
region
drain
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US17/155,615
Inventor
Ki Hwan Kim
Gigwan PARK
Junggun YOU
DongSuk Shin
Jin-Wook Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to US17/155,615 priority Critical patent/USRE49963E1/en
Application granted granted Critical
Publication of USRE49963E1 publication Critical patent/USRE49963E1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/18Peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Definitions

  • Example embodiments of the present disclosure relate to a semiconductor device with a field effect transistor and a method of fabricating the same.
  • semiconductor devices Due to their relatively small-size, multi-functionality, and/or relatively low-cost characteristics, semiconductor devices are considered important elements in the electronic industry.
  • the semiconductor devices may be classified into a memory device for storing data, a logic device for processing data, and a hybrid device including both memory and logic elements.
  • semiconductor devices With relatively high demand for electronic devices with relatively fast speed and/or relatively low power consumption, semiconductor devices with relatively high reliability, relatively high performance, and/or multiple functions are needed.
  • semiconductor devices require increased complexity and/or integration density.
  • Some example embodiments of the inventive concepts provide a semiconductor device, in which a field effect transistor with improved electric characteristics is provided.
  • Some example embodiments of the inventive concepts provide a method of fabricating a semiconductor device, in which a field effect transistor with improved electric characteristics is provided.
  • a semiconductor device includes first and second active patterns protruding upward from a substrate, a gate electrode crossing the first and second active patterns and extending in a first direction, a first source/drain region on the first active pattern and on at least one side of the gate electrode, and a second source/drain region on the second active pattern and on at least one side of the gate electrode.
  • the second source/drain region may have a conductivity type different from that of the first source/drain region, and the second source/drain region may have a second bottom surface in contact with a second top surface of the second active pattern and at a lower level than a first bottom surface of the first source/drain region in contact with a first top surface of the first active pattern.
  • the first top surface of the first active pattern may have a first width
  • the second top surface of the second active pattern may have a second width greater than the first width.
  • the first active pattern and the first source/drain region may constitute an NMOSFET, and the second active pattern and the second source/drain region may constitute a PMOSFET.
  • the first and second active patterns may include first and second channel regions, respectively, when viewed in a plan view.
  • the gate electrode may overlap the first and second channel regions.
  • a surface area directly contacting the second channel region and the second source/drain region may be greater than a surface area directly contacting the first channel region and the first source/drain region.
  • the first and second channel regions may have top surfaces at a same level.
  • the first source/drain region may include a material having a first lattice constant equal to or smaller than that of the substrate, and the second source/drain region may include a material having a second lattice constant greater than that of the substrate.
  • a maximum width of the first source/drain region in the first direction may be a third width
  • a maximum width of the second source/drain region in the first direction may be a fourth width different from the third width
  • the device may further include a device isolation pattern on the substrate filling a gap region between the first and second active patterns.
  • the device isolation pattern may include a first portion having a top surface, the gate electrode may overlap the first portion in a plan view, and a second portion on at least one side of the gate electrode, and the second portion may define a recess region having a bottom surface lower than the top surface of the first portion.
  • the bottom surface of the recess region may be lower than the first and second bottom surfaces of the first and second source/drain regions.
  • the device may further include an etch stop layer covering the first and second source/drain regions and the device isolation pattern.
  • the etch stop layer may directly cover an inner surface of the recess region.
  • the device may further include gate spacers on opposite sides of the gate electrode and a gate insulating pattern between the gate electrode and the first and second active patterns, and between the gate electrode and the gate spacers.
  • a semiconductor device includes a pair of first active patterns and a pair of second active patterns protruding upward from a substrate, device isolation patterns filling trenches between the first and second active patterns, a gate electrode crossing the first and second active patterns and extending in a first direction, a pair of first source/drain regions on respective ones of the first active patterns and on at least one side of the gate electrode, and a pair of second source/drain regions on respective ones of the second active patterns and on at least one side of the gate electrode.
  • Each of the first source/drain regions has a first bottom surface in contact with respective first top surfaces of the first active patterns.
  • Each of the second source/drain regions having a second bottom surface in contact with respective second top surfaces of the second active patterns.
  • Each of the first top surfaces of the first active patterns has a first width in the first direction and each of the second top surfaces of the second active patterns has a second width greater than the first width in the first direction.
  • the first active patterns may include upper portions configured to serve as channel regions of an NMOSFET, and the second active patterns may include upper portions configured to serve as channel regions of a PMOSFET.
  • a distance between the pair of first active patterns in the first direction may be a first length
  • a distance between the pair of second active patterns in the first direction may be a second length longer than the first length
  • a distance between an adjacent pair of the first and second active patterns may be a third length longer than the second length
  • a surface area directly contacting a corresponding pair of the second source/drain regions and the second active patterns may be greater than a surface area directly contacting a corresponding pair of the first source/drain regions and the first active patterns.
  • each of the device isolation patterns may include first portions overlapped by the gate electrode in a plan view and a second portion on at least one side of the gate electrode.
  • a first of the second portions of the device isolation patterns may include a first recess region between the pair of first active patterns, and a second of the second portions of the device isolation patterns may include a second recess region between the pair of second active patterns.
  • a bottom surface of the first recess region may be higher than a bottom surface of the second recess region.
  • a third of the second portions may include a third recess region between an adjacent pair of the first active pattern and the second active pattern, and the bottom surface of the second recess region may be higher than a bottom surface of the third recess region.
  • the first source/drain regions may be connected to form an integral structure defining at least one first air gap, and the first air gap may be directly enclosed by the first source/drain regions and the device isolation patterns.
  • the device may further include an etch stop layer covering the first and second source/drain regions and the device isolation patterns.
  • the etch stop layer may seal a gap region between the pair of second source/drain regions to define at least one second air gap below the second source/drain regions.
  • the second air gap may be enclosed by the etch stop layer.
  • a volume of the second air gap may be larger than a volume of the first air gap.
  • the device may further include first residue patterns adjacent to interfaces between the second active patterns and the second source/drain regions.
  • the first residue patterns may be between the pair of second active patterns.
  • At least one of the second source/drain regions may bend toward an adjacent one of the first source/drain regions.
  • the device may further include second residue patterns adjacent to interfaces between the first active patterns and the first source/drain regions.
  • the second residue patterns may be on opposite sides of a lower portion of at least one of the first source/drain regions.
  • a semiconductor device includes a substrate including a first region and a second region spaced apart from each other, a plurality of fin-shaped first active patterns on the first region of the substrate and spaced apart from each other by a first distance, a plurality of fin-shaped second active patterns on the second region of the substrate and spaced apart from each other by a second distance smaller than the first distance, a first gate electrode crossing the first active patterns and extending in a first direction, a second gate electrode crossing the second active patterns and extending in the first direction, first source/drain regions on respective ones of the first active patterns and on at least one side of the first gate electrode, and second source/drain regions on respective ones of the second active patterns and on at least one side of the second gate electrode.
  • the first and second active patterns may have the same conductivity type, the first source/drain regions may be spaced apart from each other in the first direction, and the second source/drain regions may be connected to each other to form an integral structure arranged in the first direction.
  • the first and second active patterns may include upper portions configured to serve as channel regions of a PMOSFET.
  • the first region may be an SRAM region on which memory cells are provided, and the second region may be a logic region on which a logic circuit are provided.
  • the device may further include device isolation patterns filling trenches between the first active patterns and between the second active patterns, and at least one air gap enclosed by the device isolation patterns and the second source/drain regions forming the integral structure.
  • each of the device isolation patterns may include a first portion overlapped by one of the first and second gate electrodes, and a second portion on at least one side of the one of the first and second gate electrodes.
  • a first of the second portions of the device isolation patterns may include a first recess region between an adjacent pair of the first active patterns
  • a second of the second portions of the device isolation patterns may include a second recess region between an adjacent pair of the second active patterns
  • a bottom surface of the first recess region may be higher than a bottom surface of the second recess region.
  • the device may further include an etch stop layer on the first and second regions to cover the first and second source/drain regions and the device isolation patterns.
  • the air gap may be separated from the etch stop layer.
  • a method of fabricating a semiconductor device includes patterning an upper portion of a substrate to form first and second active patterns protruding upward from the substrate, forming a sacrificial gate pattern to cross the first and second active patterns and extend in a first direction, recessing upper portions of the first and second active patterns on at least one side of the sacrificial gate pattern such that the second active pattern has a top surface lower than a top surface of the first active pattern, forming first and second source/drain regions on the recessed upper portions of the first and second active patterns, respectively, the first and second source/drain regions being doped to have conductivity types different from each other, and replacing the sacrificial gate pattern with a gate electrode.
  • the patterning of the upper portion of the substrate may include patterning an NMOSFET region of the substrate to form the first active pattern and patterning a PMOSFET region of the substrate to form the second active pattern.
  • the method may further include forming a device isolation pattern on the substrate to fill a gap region between the first and second active patterns and recessing an upper portion of the device isolation pattern on a side of the sacrificial gate pattern to form a recess region.
  • the method may further include forming an etch stop layer on the substrate to cover the first and second source/drain regions and the device isolation pattern.
  • the etch stop layer may directly cover an inner surface of the recess region.
  • the method may further include forming a gate spacer layer on the substrate and anisotropically etching the gate spacer layer to form gate spacers on opposite side surfaces of the sacrificial gate pattern.
  • recessing the upper portions of the first and second active patterns may allow a portion of the gate spacer layer configured to serve as a residue pattern to remain on at least one of the recessed upper portions of the first and second active patterns.
  • the method may further include forming an interlayered insulating layer on the substrate, forming contact holes to penetrate the interlayered insulating layer and expose the first and second source/drain regions, respectively, and forming source/drain contacts to fill the contact holes. Upper portions of the first and second source/drain regions may be etched when the contact holes are formed.
  • a semiconductor device includes a first MOSFET structure including at least one first active pattern protruding upward from a substrate and at least one first source/drain region having a first bottom surface contacting a first top surface of the first active pattern, and a second MOSFET structure including at least one second active pattern protruding upward from a substrate and at least one second source/drain region having a second bottom surface contacting a second top surface of the second active pattern and at a lower level than the first bottom surface, the second source/drain region having a different shape than the first source/drain region.
  • the first top surface of the first active pattern has a first width
  • the second top surface of the second active pattern has a second width greater than the first width.
  • the first source/drain region may include a material having a first lattice constant equal to or smaller than that of the substrate, and the second source/drain region may include a material having a second lattice constant greater than that of the substrate.
  • a maximum width of the first source/drain region may be a third width and a maximum width of the second source/drain region may be a fourth width different from the third width.
  • the at least one first active pattern may be a pair of first active patterns and a distance between the pair of first active patterns is a first length
  • the at least one second active pattern is a pair of second active patterns and a distance between the pair of second active patterns is a second length longer than the first length
  • a distance between the pair of first active patterns and the pair of second active patterns is a third length longer than the second length.
  • FIG. 1 is a plan view illustrating a semiconductor device according to some example embodiments of the inventive concepts.
  • FIGS. 2 A to 2 D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 1 .
  • FIG. 3 is a plan view illustrating a method of fabricating a semiconductor device according to some example embodiments of the inventive concepts.
  • FIGS. 4 A to 4 C are sectional views taken along lines A-A′, B-B′, C-C′, and D-D′ of FIG. 3 .
  • FIG. 5 is a plan view illustrating a method of fabricating a semiconductor device according to some example embodiments of the inventive concepts.
  • FIGS. 6 A to 6 D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 5 .
  • FIGS. 7 A to 7 D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 5 .
  • FIG. 8 is a plan view illustrating a method of fabricating a semiconductor device according to some example embodiments of the inventive concepts.
  • FIGS. 9 A to 9 D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 8 .
  • FIG. 10 is a sectional view that is taken along line D-D′ of FIG. 1 to illustrate a semiconductor device according to some example embodiments of the inventive concepts.
  • FIG. 11 is a sectional view that is taken along line D-D′ of FIG. 1 to illustrate a semiconductor device according to some example embodiments of the inventive concepts.
  • FIG. 12 is a sectional view that is taken along line D-D′ of FIG. 1 to illustrate a semiconductor device according to some example embodiments of the inventive concepts.
  • inventive concepts will now be described more fully hereinafter with reference to the accompanying drawings, in which example embodiments of the inventive concepts are shown.
  • inventive concepts and methods of achieving them will be apparent from the following example embodiments that will be described in more detail with reference to the accompanying drawings.
  • Example embodiments of the inventive concepts may, however, be embodied in different forms and should not be constructed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the inventive concepts to those skilled in the art.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
  • Example embodiments are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of example embodiments. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, example embodiments should not be construed as limited to the particular shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an etched region or an implanted region illustrated as a rectangle may have rounded or curved features. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of example embodiments.
  • FIG. 1 is a plan view illustrating a semiconductor device according to some example embodiments of the inventive concepts.
  • FIGS. 2 A to 2 D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 1 .
  • a substrate 100 with a first region R 1 and a second region R 2 may be provided.
  • the substrate 100 may be a semiconductor substrate.
  • the substrate 100 may be a silicon substrate, a germanium substrate, or a silicon-on-insulator (SOI) substrate.
  • the first region R 1 may be a part of a memory cell region, on which a plurality of memory cells for storing data are provided.
  • a plurality of 6T SRAM cells each of which includes six transistors, may be provided on the first region R 1 .
  • the second region R 2 may be a part of a logic cell region, on which logic transistors constituting a logic circuit are provided.
  • logic transistors for a processor core or I/O terminals may be provided on the second region R 2 . But, the inventive concepts are not limited thereto.
  • the first region R 1 will be described in more detail.
  • the first region R 1 may include a first NMOSFET region NR 1 and a first PMOSFET region PR 1 .
  • the first NMOSFET region NR 1 may be an active region for an n-type transistor
  • the first PMOSFET region PR 1 may be an active region for a p-type transistor.
  • the substrate 100 may include a plurality of the first NMOSFET regions NR 1 and a plurality of the first PMOSFET regions PR 1 which are arranged in a first direction D 1 .
  • Active patterns AP 1 and AP 2 may be provided on the first region R 1 .
  • first active patterns AP 1 protruding from the substrate 100 may be provided on the first NMOSFET region NR 1 of the first region R 1 .
  • the first active patterns AP 1 may be arranged in the first direction D 1 and may be line-shaped structures extending in a second direction D 2 crossing the first direction D 1 .
  • Second active patterns AP 2 protruding from the substrate 100 may be provided on the first PMOSFET region PR 1 of the first region R 1 .
  • the second active patterns AP 2 may be arranged in the first direction D 1 and may be line-shaped structures extending in the second direction D 2 . Widths of the first and second active patterns AP 1 and AP 2 may increase with increasing distance from the substrate 100 , when measured in the first direction D 1 .
  • the active patterns AP 1 and AP 2 on the first region R 1 may be spaced apart from each other in the first direction D 1 , and distances between the active patterns AP 1 and AP 2 may be different from each other.
  • a pitch between the first active patterns AP 1 on the first NMOSFET region NR 1 may be a first length L 1 , when measured in the first direction D 1 .
  • a pitch between the second active patterns AP 2 on the first PMOSFET region PR 1 may be a second length L 2 , when measured in the first direction D 1 .
  • a pitch between an adjacent pair of the first and second active patterns AP 1 and AP 2 may be a third length L 3 , when measured in the first direction D 1 .
  • the second length L 2 may be longer than the first length L 1
  • the third length L 3 may be longer than the second length L 2 .
  • Each of the first to third lengths L 1 , L 2 , and L 3 may be a center-to-center distance between an adjacent pair of the active patterns.
  • Second device isolation patterns ST 2 may be provided to fill trenches between the first active patterns AP 1 and between the second active patterns AP 2 .
  • the second device isolation patterns ST 2 may be provided to define the first and second active patterns AP 1 and AP 2 .
  • the first and second active patterns AP 1 and AP 2 may include first and second active fins AF 1 and AF 2 , whose top surfaces are higher than the second device isolation patterns ST 2 .
  • First device isolation patterns ST 1 may be provided at opposite sides of the first NMOSFET region NR 1 and the first PMOSFET region PR 1 .
  • the first device isolation patterns ST 1 may be provided to separate the first NMOSFET regions NR 1 and the first PMOSFET region PR 1 shown in FIG. 2 from other MOSFET regions.
  • the first and second device isolation patterns ST 1 and ST 2 may be substantially connected to each other to form a single insulating pattern.
  • a thickness of the first device isolation patterns ST 1 may be greater than that of the second device isolation patterns ST 2 .
  • the first and second device isolation patterns ST 1 and ST 2 may be formed by different processes.
  • the first and second device isolation patterns ST 1 and ST 2 may be formed at the same time using the same process and may have substantially the same thickness.
  • the first and second device isolation patterns ST 1 and ST 2 may be formed in an upper portion of the substrate 100 .
  • the first and second device isolation patterns ST 1 and ST 2 may be formed of or include a silicon oxide layer.
  • Each of the second device isolation patterns ST 2 may include a first portion P 1 , which is provided below a gate electrode GE to be described below and second portions P 2 , which are provided at opposite sides of the gate electrode GE.
  • Each of the second portions P 2 of the second device isolation patterns ST 2 may have a recessed top surface.
  • the second portions P 2 may be provided to define recess regions RS 1 , RS 2 , and RS 3 .
  • the recess regions RS 1 , RS 2 , and RS 3 may include first recess regions RS 1 between the first active patterns AP 1 , second recess regions RS 2 between the second active patterns AP 2 , and third recess regions RS 3 between the first and second active patterns AP 1 and AP 2 adjacent to each other.
  • the first to third recess regions RS 1 -RS 3 may be provided to have a recess depth that is dependent on a pattern density.
  • the recess depth may be smaller between the first active patterns AP 1 spaced at a small distance than between the active patterns spaced at a larger distance.
  • bottom surfaces of the first recess regions RS 1 may be higher than those of the second recess regions RS 2 . This may be because that the second length L 2 is longer than the first length L 1 .
  • the bottom surfaces of the second recess regions RS 2 may be higher than those of the third recess regions RS 3 . This may be because that the third length L 3 is longer than the second length L 2 .
  • Gate electrodes GE may be provided on the first and second active patterns AP 1 and AP 2 to extend in the first direction D 1 and to cross the first and second active patterns AP 1 and AP 2 .
  • the gate electrodes GE may cover top and side surfaces of the first and second active patterns AP 1 and AP 2 .
  • the gate electrodes GE may be spaced apart from each other in the second direction D 2 .
  • the gate electrodes GE may extend in the first direction D 1 to cross both of the first and second device isolation patterns ST 1 and ST 2 .
  • Interface layers IL may be respectively interposed between the first and second active patterns AP 1 and AP 2 and the gate electrodes GE.
  • a gate insulating pattern GI may be provided between a corresponding pair of the interface layers IL and the gate electrodes GE.
  • Gate spacers GS may be provided at opposite sides of each of the gate electrodes GE.
  • a capping pattern GP may be provided to cover a top surface of each of the gate electrodes GE.
  • the interface layer IL may directly cover top surfaces of the active patterns AP 1 and AP 2 (e.g., top surfaces of channel regions CH 1 and CH 2 to be described below).
  • the gate insulating pattern GI may be disposed between the gate electrode GE and the gate spacers GS.
  • the gate insulating pattern GI may be horizontally extended from the active patterns AP 1 and AP 2 along the gate electrode GE to directly cover top surfaces of the first portions P 1 of the second device isolation patterns ST 2 .
  • the gate spacers GS may have an ‘L’-shaped section, when viewed in a sectional view taken in the second direction D 2 .
  • each of the gate spacers GS may include a vertical portion covering a side surface of the gate electrode GE and a horizontal portion covering the top surface of the active pattern AP 1 or AP 2 .
  • the gate electrodes GE may include at least one of doped semiconductor materials, conductive metal nitrides (e.g., titanium nitride or tantalum nitride), or metals (e.g., aluminum or tungsten).
  • the interface layer IL may include a silicon oxide layer.
  • the gate insulating patterns GI may include at least one of a silicon oxide layer, a silicon oxynitride layer, and high-k dielectric layers (e.g., hafnium oxide, hafnium silicate, zirconium oxide, or zirconium silicate) having dielectric constants higher than that of the silicon oxide layer.
  • Each of the capping patterns GP and the gate spacers GS may include at least one of a silicon oxide layer, a silicon nitride layer, or a silicon oxynitride layer.
  • Source/drain regions SD 1 and SD 2 may be provided on the first and second active patterns AP 1 and AP 2 positioned at opposite sides of each of the gate electrodes GE.
  • first source/drain regions SD 1 may be provided on the first active patterns AP 1 at opposite sides of each of the gate electrodes GE.
  • Second source/drain regions SD 2 may be provided on the second active patterns AP 2 at opposite sides of each of the gate electrodes GE.
  • the first source/drain regions SD 1 on the first NMOSFET region NR 1 may have n-type conductivity
  • the second source/drain regions SD 2 on the first PMOSFET region PR 1 may have p-type conductivity.
  • the first active fins AF 1 on the first active patterns AP 1 may have first channel regions CH 1 interposed between the first source/drain regions SD 1 .
  • the second active fins AF 2 on the second active patterns AP 2 may have second channel regions CH 2 interposed between the second source/drain regions SD 2 .
  • Each of the first channel regions CH 1 may connect a pair of the first source/drain regions SD 1 with each other.
  • Each of the second channel regions CH 2 may connect a pair of the second source/drain regions SD 2 with each other.
  • the first and second channel regions CH 1 and CH 2 may be positioned below and overlapped with the gate electrodes GE.
  • the first and second source/drain regions SD 1 and SD 2 may be epitaxial patterns, which are respectively grown using the first and second active patterns AP 1 and AP 2 as a seed layer.
  • the first source/drain regions SD 1 may include a material capable of exerting a tensile strain to the first channel regions CH 1
  • the second source/drain regions SD 2 may include a material capable of exerting a compressive strain to the second channel regions CH 2 .
  • the first source/drain regions SD 1 may include a SiC layer having a lattice constant smaller than Si or a Si layer having substantially the same lattice constant as the substrate 100 .
  • the second source/drain region SD 2 may include a SiGe layer having a lattice constant larger than Si.
  • the first source/drain regions SD 1 may have a different shape from the second source/drain regions SD 2 , as shown in FIG. 2 C .
  • the maximum width in the first direction D 1 of the first source/drain regions SD 1 may be a third width W 3
  • the maximum width in the first direction D 1 of the second source/drain regions SD 2 may be a fourth width W 4 that is different from the third width W 3 .
  • the first source/drain regions SD 1 may be provided to have the maximum widths W 3 different from each other.
  • the first source/drain regions SD 1 may grow in an irregular manner.
  • the first source/drain region SD 1 may have a shape or size which varies depending on its position.
  • the fourth width W 4 is illustrated to be greater than the third width W 3 , but the inventive concepts are not limited thereto.
  • the third width W 3 may be greater than the fourth width W 4 .
  • the bottom surfaces of the first source/drain regions SD 1 may be positioned at a first level BL 1
  • the bottom surfaces of the second source/drain regions SD 2 may be positioned at a second level BL 2
  • the first level BL 1 may be higher than the second level BL 2
  • both of the first and second levels BL 1 and BL 2 may be higher than the bottom surfaces of the recess regions RS 1 -RS 3 .
  • the first active patterns AP 1 may include first top surfaces TSa 1 , which are in direct contact with the bottom surfaces of the first source/drain regions SD 1 , and second top surfaces TSa 2 , which serve as top surfaces of the first channel regions CH 1 .
  • the second active patterns AP 2 may include first top surfaces TSb 1 , which are in direct contact with the bottom surfaces of the second source/drain regions SD 2 , and second top surfaces TSb 2 , which serve as top surfaces of the second channel regions CH 2 .
  • the first top surfaces TSa 1 and TSb 1 of the first and second active patterns AP 1 and AP 2 may not be flat and may have a downward curved or rounded profile.
  • the first top surfaces TSa 1 and TSb 1 may be lower than the second top surfaces TSa 2 and TSb 2 .
  • the first top surface TSa 1 of the first active pattern AP 1 may have a first width W 1 and the first top surface TSb 1 of the second active pattern AP 2 may have a second width W 2 , when measured in the first direction D 1 .
  • the second width W 2 may be greater than the first width W 1 . This is because the first and second active patterns AP 1 and AP 2 have downward increasing widths and the first top surface TSb 1 of the second active pattern AP 2 is positioned below the first top surface TSa 1 of the first active pattern AP 1 .
  • the second source/drain regions SD 2 may have a volume that is relatively larger than that of the first source/drain regions SD 1 .
  • This configuration may allow for an increase in a magnitude of the compressive strain to be exerted to the second channel regions CH 2 from the second source/drain regions SD 2 and an increase in a contact area between the second source/drain regions SD 2 and the second channel regions CH 2 . Accordingly, increasing carrier mobility of the second channel regions CH 2 and reducing resistance of the second channel regions CH 2 may be possible.
  • An etch stop layer 125 may be provided on the substrate 100 .
  • the etch stop layer 125 may cover top surfaces of the first and second device isolation patterns ST 1 and ST 2 .
  • the etch stop layer 125 may cover inner surfaces of the recess regions RS 1 -RS 3 of the second device isolation patterns ST 2 .
  • the etch stop layer 125 may cover the first and second source/drain regions SD 1 and SD 2 and may extend to cover opposite side surfaces of the gate spacers GS.
  • the etch stop layer 125 may include a material having an etch selectivity with respect to a first interlayered insulating layer 130 .
  • the etch stop layer 125 may include a silicon nitride layer or a silicon oxynitride layer.
  • the first interlayered insulating layer 130 may be provided on the substrate 100 to fill gap regions between the gate electrodes GE.
  • the first interlayered insulating layer 130 may have a top surface that substantially coplanar with those of the capping patterns GP.
  • the first interlayered insulating layer 130 may fill the recess regions RS 1 -RS 3 provided with the etch stop layer 125 .
  • a second interlayered insulating layer 150 may be provided on the first interlayered insulating layer 130 .
  • the first and second interlayered insulating layers 130 and 150 may be formed of or include a silicon oxide layer.
  • Source/drain contacts CA may be provided at opposite sides of each of the gate electrodes GE.
  • the source/drain contacts CA may be provided to pass through the second interlayered insulating layer 150 , the first interlayered insulating layer 130 , and the etch stop layer 125 and may be electrically connected to the first and second source/drain regions SD 1 and SD 2 .
  • each of the source/drain contacts CA may be provided to cross at least one of the first active patterns AP 1 or at least one of the second active patterns AP 2 .
  • Each of the source/drain contacts CA may include a first conductive pattern 160 and a second conductive pattern 165 on the first conductive pattern 160 .
  • the first conductive pattern 160 may be a barrier conductive layer.
  • the first conductive pattern 160 may include at least one of a titanium nitride layer, a tungsten nitride layer, or a tantalum nitride layer.
  • the second conductive pattern 165 may be a metal layer.
  • the second conductive pattern 165 may include at least one of tungsten, titanium, or tantalum.
  • a metal silicide layer may be interposed between each pair of the source/drain contacts CA and the first and second source/drain regions SD 1 and SD 2 .
  • the metal silicide layer may include at least one of titanium silicide, tantalum silicide, or tungsten silicide.
  • a vertical section of the second region R 2 taken in the second direction D 2 may be similar to that of the first region R 1 described with reference to FIG. 2 A .
  • the second region R 2 may include a second NMOSFET region NR 2 and a second PMOSFET region PR 2 .
  • n-type transistors may be integrated on the second NMOSFET region NR 2
  • p-type transistors may be integrated on the second PMOSFET region PR 2 .
  • the second region R 2 may include a plurality of the second NMOSFET regions NR 2 and a plurality of the second PMOSFET regions PR 2 which are arranged in the first direction D 1 .
  • the second NMOSFET region NR 2 may be separated from the second PMOSFET region PR 2 by the first device isolation patterns ST 1 .
  • Active patterns AP 1 and AP 2 may be provided on the second region R 2 .
  • the first active patterns AP 1 protruding from the substrate 100 may be provided on the second NMOSFET region NR 2 of the second region R 2
  • the second active patterns AP 2 protruding from the substrate 100 may be provided on the second PMOSFET region PR 2 of the second region R 2 .
  • the first and second active patterns AP 1 and AP 2 on the second region R 2 may be spaced apart from each other by substantially the same space.
  • a pitch between the second active patterns AP 2 on the second PMOSFET region PR 2 may be a fourth length L 4 and a pitch between the first active patterns AP 1 on the second NMOSFET region NR 2 may be a fifth length L 5 .
  • the fourth length L 4 may be substantially equal to the fifth length L 5 .
  • the fourth length L 4 may be smaller than the second length L 2 described above.
  • the second device isolation patterns ST 2 may be provided to fill trenches between the first active patterns AP 1 and trenches between the second active patterns AP 2 on the second region R 2 .
  • Each of the second portions P 2 of the second device isolation patterns ST 2 may have a recessed top surface.
  • the second portions P 2 may be provided to define recess regions RS 4 and RS 5 .
  • the recess regions RS 4 and RS 5 may include fourth recess regions RS 4 between the second active patterns AP 2 and fifth recess regions RS 5 between the first active patterns AP 1 .
  • the fourth recess regions RS 4 and the fifth recess regions RS 5 may have substantially the same recess depth.
  • first and second active patterns AP 1 and AP 2 are spaced apart from each other by substantially the same space, unlike the first region R 1 .
  • the second recess regions RS 2 on the first region R 1 may be provided to have a recess depth greater than that of the fourth recess regions RS 4 .
  • the first device isolation patterns ST 1 may have top surfaces, which are recessed at a recess depth greater than the fourth and fifth recess regions RS 4 and RS 5 .
  • the gate electrodes GE may be provided to cross the first and second active patterns AP 1 and AP 2 and extend in the first direction D 1 .
  • the gate insulating pattern GI may be provided below each of the gate electrodes GE, and the gate spacers GS may be provided at opposite sides of each of the gate electrodes GE.
  • the capping pattern GP may be provided to cover the top surface of each of the gate electrodes GE.
  • the first and second source/drain regions SD 1 and SD 2 may be provided on the first and second active patterns AP 1 and AP 2 and at opposite sides of each of the gate electrodes GE.
  • the second source/drain regions SD 2 on the first region R 1 may be arranged spaced apart from each other in the first direction D 1 .
  • the second source/drain regions SD 2 on the second region R 2 may be merged to each other to form a single source/drain region extending in the first direction D 1 . This is because a space between the second active patterns AP 2 on the second region R 2 is smaller than a space between the second active patterns AP 2 on the first region R 1 (i.e., L 4 ⁇ L 2 ).
  • the etch stop layer 125 may be provided on the second region R 2 .
  • the etch stop layer 125 may cover the top surfaces of the first and second device isolation patterns ST 1 and ST 2 and the first and second source/drain regions SD 1 and SD 2 .
  • the etch stop layer 125 may not cover inner surfaces of the fourth recess regions RS 4 . This may be because the second source/drain regions SD 2 are merged to each other.
  • the etch stop layer 125 may be provided to cover inner surfaces of the fifth recess regions RS 5 .
  • the first interlayered insulating layer 130 may be provided on the second region R 2 to fill gap regions between the gate electrodes GE.
  • the first interlayered insulating layer 130 may fill the fifth recess regions RS 5 provided with the etch stop layer 125 .
  • the fourth recess regions RS 4 may not be filled with the first interlayered insulating layer 130 .
  • first air gaps AG 1 may be formed in the fourth recess regions RS 4 , respectively, which are positioned below the second source/drain regions SD 2 .
  • the first air gaps AG 1 may be a region in which a solid material is not provided and may be a substantially empty space.
  • the first air gaps AG 1 may be directly enclosed by the second source/drain regions SD 2 and the second device isolation patterns ST 2 . In other words, the first air gaps AG 1 may not be enclosed by the etch stop layer 125 . Because the first air gaps AG 1 are provided below the second source/drain regions SD 2 , reducing parasitic capacitance between the second active patterns AP 2 may be possible.
  • the source/drain contacts CA may be provided at opposite sides of each of the gate electrodes GE.
  • the source/drain contacts CA may be electrically connected to the first and second source/drain regions SD 1 and SD 2 through the second interlayered insulating layer 150 , the first interlayered insulating layer 130 , and the etch stop layer 125 .
  • FIGS. 3 , 5 and 8 are plan views illustrating a method of fabricating a semiconductor device according to some example embodiments of the inventive concepts.
  • FIGS. 4 A to 4 C are sectional views taken along lines A-A′, B-B′, C-C′, and D-D′ of FIG. 3
  • FIGS. 6 A to 6 D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 5
  • FIGS. 7 A to 7 D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 5
  • FIGS. 9 A to 9 D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 8 .
  • a substrate 100 with a first region R 1 and a second region R 2 may be provided.
  • the substrate 100 may be a silicon substrate, a germanium substrate, or a silicon-on-insulator (SOI) substrate.
  • the first region R 1 may be a part of a memory cell region, on which a plurality of memory cells for storing data are provided, and the second region R 2 may be a part of a logic cell region, on which logic transistors constituting a logic circuit are provided.
  • Each of the regions R 1 and R 2 may include NMOSFET regions NR 1 and NR 2 and PMOSFET regions PR 1 and PR 2 .
  • each of the NMOSFET regions NR 1 and NR 2 may be defined as an active region on which an n-type transistor is solely integrated
  • each of the PMOSFET region PR 1 and PR 2 may be defined as an active region on which a p-type transistor is solely integrated.
  • the NMOSFET regions NR 1 and NR 2 and the PMOSFET regions PR 1 and PR 2 may be arranged in a first direction D 1 , but the inventive concepts may not be limited thereto.
  • the regions R 1 and R 2 of the substrate 100 may be patterned to form first trenches 101 defining first active patterns AP 1 and second trenches 102 defining second active patterns AP 2 .
  • the first and second active patterns AP 1 and AP 2 may be arranged in the first direction D 1 and may be line-shaped structures extending in a second direction D 2 crossing the first direction D 1 .
  • the first region R 1 of the substrate 100 may be again patterned to form deep trenches 103 .
  • the deep trenches 103 may be formed at opposite sides of the first NMOSFET region NR 1 and the first PMOSFET region PR 1 .
  • the deep trenches 103 may be formed by patterning the second region R 2 of the substrate 100 .
  • the deep trenches 103 may be formed to have bottom surfaces that are lower than those of the first and second trenches 101 and 102 .
  • the deep trenches 103 may be formed between the second NMOSFET and PMOSFET regions NR 2 and PR 2 to define the second NMOSFET and PMOSFET regions NR 2 and PR 2 .
  • the first active patterns AP 1 may be formed in such a way that they are spaced apart from each other at a pitch of the first length L 1
  • the second active patterns AP 2 may be formed in such a way that they are spaced apart from each other at a pitch of a second length L 2
  • An adjacent pair of the first and second active patterns AP 1 and AP 2 may be formed in such a way that they are spaced apart from each other by a pitch of a third length L 3 .
  • the second length L 2 may be longer than the first length L 1
  • the third length L 3 may be longer than the second length L 2 .
  • the second active patterns AP 2 may be formed in such a way that they are spaced apart from each other at a pitch of a fourth length L 4
  • the first active patterns AP 1 may be formed in such a way that they are spaced apart from each other at a pitch of a fifth length L 5
  • the fourth length L 4 may be substantially equal to the fifth length L 5 .
  • first device isolation patterns ST 1 may be formed in the deep trenches 103 , respectively.
  • second device isolation patterns ST 2 may be formed in the first and second trenches 101 and 102 .
  • the second device isolation patterns ST 2 may be formed to expose upper portions of the first and second active patterns AP 1 and AP 2 .
  • the upper portions of the first and second active patterns AP 1 and AP 2 exposed by the second device isolation patterns ST 2 will be referred to as first and second active fins AF 1 and AF 2 , respectively.
  • the first and second device isolation patterns ST 1 and ST 2 may be substantially connected to each other to form a single insulating pattern.
  • the first and second device isolation patterns ST 1 and ST 2 may be formed of or include a silicon oxide layer.
  • sacrificial gate patterns 110 may be formed on each of the regions R 1 and R 2 of the substrate 100 , and gate mask patterns 115 may be formed on the sacrificial gate patterns 110 .
  • the sacrificial gate patterns 110 may be formed to cross the first and second active patterns AP 1 and AP 2 and extend in the first direction D 1 .
  • Each of the sacrificial gate patterns 110 may be formed to cover top and side surfaces of the first and second active fins AF 1 and AF 2 , and moreover, the sacrificial gate patterns 110 may extend to cover top surfaces of the first and second device isolation patterns ST 1 and ST 2 .
  • the formation of the sacrificial gate patterns 110 and the gate mask patterns 115 may include sequentially forming a sacrificial gate layer and a gate mask layer on the substrate 100 to cover the first and second active fins AF 1 and AF 2 and patterning the gate mask layer and the sacrificial gate layer.
  • the sacrificial gate layer may be formed of or include a poly silicon layer.
  • the gate mask layer may be formed of or include a silicon nitride layer or a silicon oxynitride layer.
  • each of the second device isolation patterns ST 2 may have a first portion P 1 and second portions P 2 .
  • the first portion P 1 may be a portion of the second device isolation pattern ST 2 that is positioned below the sacrificial gate pattern 110 and is overlapped with the sacrificial gate pattern 110 in a plan view.
  • the second portions P 2 may be other portions of the second device isolation pattern ST 2 that are positioned at opposite sides of the sacrificial gate pattern 110 and are horizontally separated from each other by the first portion P 1 .
  • a gate spacer layer 120 may be formed on the substrate 100 to conformally cover the sacrificial gate patterns 110 .
  • the gate spacer layer 120 may be formed of or include at least one of a silicon oxide layer, a silicon nitride layer, or a silicon oxynitride layer.
  • the gate spacer layer 120 may be formed by a deposition process (e.g., a CVD or ALD process).
  • the gate spacer layer 120 may be formed to cover the first and second active fins AF 1 and AF 2 exposed by the sacrificial gate patterns 110 .
  • the gate spacer layer 120 may be anisotropically etched to form gate spacers GS, and here, the gate spacers GS may be formed to cover opposite side surfaces of each of the sacrificial gate patterns 110 .
  • the gate spacer layer 120 on the first and second active fins AF 1 and AF 2 also may be anisotropically etched to form other gate spacers GS, and not drawn here, the other gate spacers GS may be formed to cover opposite side surfaces, which may be exposed by the sacrificial gate patterns 110 , of each of the first and second active fins AF 1 and AF 2 .
  • An etching process may be performed to remove upper portions of the first and second active patterns AP 1 and AP 2 , which provided on each of the regions R 1 and R 2 and are positioned at opposite sides of each of the sacrificial gate patterns 110 .
  • the other gate spacers GS on the first and second active fins AF 1 and AF 2 also may be removed during the etching process.
  • the etching process may include forming a mask pattern on the substrate 100 and etching the upper portions of the first and second active patterns AP 1 and AP 2 using the mask pattern as an etch mask.
  • the etching process may be performed in a dry and/or wet etching manner.
  • the etching process may be performed in such a way that the second active patterns AP 2 are over-etched to have top surfaces lower than those of the first active patterns AP 1 .
  • each of the first active patterns AP 1 may have a first top surface TSa 1 , which is etched during the etching process, and a second top surface TSa 2 , which is positioned below the sacrificial gate patterns 110 and is not etched during the etching process. That is, the second top surface TSa 2 may be higher than the first top surface TSa 1 .
  • Each of the second active patterns AP 2 may have a first top surface TSb 1 , which is etched during the etching process, and a second top surface TSb 2 , which is positioned below the sacrificial gate patterns 110 and is not etched during the etching process.
  • the second top surface TSb 2 may be higher than the first top surface TSb 1 .
  • the first top surfaces TSa 1 and TSb 1 of the first and second active patterns AP 1 and AP 2 may have a downward rounded profile.
  • the first top surface TSb 1 of each of the second active patterns AP 2 may be lower than the first top surface TSa 1 of each of the first active patterns AP 1 . Furthermore, a width W 2 of the first top surface TSb 1 of the second active pattern AP 2 may be greater than a width W 1 of the first top surface TSa 1 of the first active pattern AP 1 . However, the second top surface TSa 2 of each of the first active patterns AP 1 may be positioned at substantially the same level as the second top surface TSb 2 of each of the second active patterns AP 2 .
  • upper portions of the first and second active patterns AP 1 and AP 2 are removed from the first region R 1 , upper portions of the second portions P 2 of the second device isolation pattern ST 2 may be recessed. As a result, recess regions RS 1 , RS 2 , and RS 3 may be formed on the second portions P 2 of the second device isolation pattern ST 2 .
  • first recess regions RS 1 may be formed between the first active patterns AP 1
  • second recess regions RS 2 may be formed between the second active patterns AP 2
  • third recess regions RS 3 may be formed between adjacent pairs of the first and second active patterns AP 1 and AP 2
  • the first to third recess regions RS 1 -RS 3 may be formed to have a recess depth that is dependent on a pattern density (i.e., a space between the first and second active patterns AP 1 and AP 2 ).
  • Upper portions of the second portions P 2 of the second device isolation pattern ST 2 on the second region R 2 may also be recessed. As a result, recess regions RS 4 and RS 5 may be formed on the second portions P 2 , respectively, of the second device isolation pattern ST 2 .
  • fourth recess regions RS 4 may be formed between the second active patterns AP 2
  • fifth recess regions RS 5 may be formed between the first active patterns AP 1 .
  • the fourth and fifth recess regions RS 4 and RS 5 may be formed to have substantially the same recess depth.
  • first and second source/drain regions SD 1 and SD 2 may be formed at opposite sides of each of the sacrificial gate patterns 110 .
  • the first source/drain regions SD 1 may be formed on the first top surfaces TSa 1 of the first active patterns AP 1 , respectively, and the second source/drain regions SD 2 may be formed on the first top surfaces TSb 1 of the second active patterns AP 2 , respectively.
  • the first source/drain regions SD 1 may be formed by a selective epitaxial growth process using the first top surfaces TSa 1 of the first active patterns AP 1 as a seed layer.
  • the second source/drain regions SD 2 may be formed by a selective epitaxial growth process using the first top surfaces TSb 1 of the second active patterns AP 2 as a seed layer.
  • the first source/drain regions SD 1 may be formed to exert a tensile strain to first channel regions CH 1 of the first active fins AF 1 interposed therebetween.
  • the first source/drain regions SD 1 may be formed of a Si or SiC layer.
  • the first source/drain regions SD 1 may be doped with n-type impurities after or during the epitaxial growth process.
  • the second source/drain regions SD 2 may be formed to exert a compressive strain to the second channel regions CH 2 of the second active fins AF 2 interposed therebetween.
  • the second source/drain regions SD 2 may be formed of a SiGe layer.
  • the second source/drain regions SD 2 may be doped with p-type impurities after or during the epitaxial growth process.
  • the first and second source/drain regions SD 1 and SD 2 are formed of different materials that are grown through the epitaxial growth process, the first and second source/drain regions SD 1 and SD 2 may be different from each other in terms of their shape or size.
  • the maximum width W 3 of the first source/drain regions SD 1 may be different from the maximum width W 4 in the second direction D 2 of the second source/drain regions SD 2 .
  • the second source/drain regions SD 2 may be grown to have high thickness uniformity, compared with the first source/drain regions SD 1 .
  • the second source/drain regions SD 2 may have sharp top portions.
  • the first source/drain regions SD 1 may have flat or truncated top portions.
  • the second source/drain regions SD 2 on the first region R 1 may be formed to be spaced apart from each other in the first direction D 1 .
  • the second source/drain regions SD 2 on the second region R 2 may be merged to each other during the epitaxial growth process.
  • the second source/drain regions SD 2 on the second region R 2 may constitute a single source/drain region extending in the first direction D 1 .
  • first air gaps AG 1 may be formed below the second source/drain regions SD 2 on the second region R 2 .
  • the first air gaps AG 1 may be regions that are directly enclosed by the second source/drain regions SD 2 and the second device isolation patterns ST 2 .
  • an etch stop layer 125 may be conformally formed on each of the regions R 1 and R 2 .
  • the etch stop layer 125 may be formed to cover the first and second device isolation patterns ST 1 and ST 2 , the first and second source/drain regions SD 1 and SD 2 , and the gate spacers GS.
  • the etch stop layer 125 may be formed to cover inner surfaces of the first, second, third, and fifth recess regions RS 1 -RS 3 and RS 5 of the second device isolation patterns ST 2 .
  • the etch stop layer 125 may be formed of a material having an etch selectivity with respect to a first interlayered insulating layer 130 to be described below.
  • the etch stop layer 125 may be formed of or include a silicon nitride layer or a silicon oxynitride layer.
  • the etch stop layer 125 may be formed using a CVD or ALD process.
  • a first interlayered insulating layer 130 may be formed on the substrate 100 provided with the etch stop layer 125 .
  • the first interlayered insulating layer 130 may be formed of or include a silicon oxide layer.
  • a planarization process may be performed on the first interlayered insulating layer 130 to expose top surfaces of the sacrificial gate patterns 110 .
  • the planarization process may include an etch-back process and/or a chemical mechanical polishing (CMP) process.
  • CMP chemical mechanical polishing
  • the planarization process may be performed to remove not only a portion of the etch stop layer 125 but also the gate mask patterns 115 , which are provided on the sacrificial gate patterns 110 .
  • the sacrificial gate patterns 110 may be removed to form gap regions 140 , and here, the gap regions 140 may be formed to expose the first and second channel regions CH 1 and CH 2 of the first and second active fins AF 1 and AF 2 between the gate spacers GS. In some example embodiments, the gap regions 140 may be formed by an etching process of selectively removing the sacrificial gate patterns 110 .
  • An oxidation process using plasma may be performed on the first and second channel regions CH 1 and CH 2 , and as a result, interface layers IL may be grown from the first and second channel regions CH 1 and CH 2 , respectively.
  • the interface layer IL may be formed by thermally or chemically oxidizing the exposed surfaces of the first and second channel regions CH 1 and CH 2 .
  • Plasma generated from at least one of oxygen (O 2 ), ozone (O 3 ), or steam (H 2 O ) may be used in the oxidation process.
  • the interface layers IL may be formed of or include a silicon oxide layer.
  • a gate insulating pattern GI and a gate electrode GE may be sequentially formed to fill each of the gap regions 140 .
  • a gate insulating layer may be formed to partially fill the gap regions 140 .
  • the gate dielectric layer may be formed to cover the top surfaces of the first and second active fins AF 1 and AF 2 .
  • the gate dielectric layer may be formed of at least one of a silicon oxide layer, a silicon oxynitride layer, or high-k dielectric layers, whose dielectric constants are higher than that of the silicon oxide layer.
  • a gate conductive layer may be formed on the gate dielectric layer to fill the remaining portions of the gap regions 140 .
  • the gate conductive layer may be formed of or include at least one of doped semiconductor, conductive metal nitrides, or metals.
  • the gate dielectric layer and the gate conductive layer may be planarized, and as a result, the gate insulating pattern GI and the gate electrode GE may be formed in each of the gap regions 140 .
  • the gate insulating patterns GI and gate electrodes GE in the gap regions 140 may be partially recessed, and capping patterns GP may be formed on the gate electrodes GE, respectively.
  • the capping patterns GP may be formed of or include at least one of a silicon oxide layer, a silicon nitride layer, or a silicon oxynitride layer.
  • a second interlayered insulating layer 150 may be formed on the first interlayered insulating layer 130 .
  • the second interlayered insulating layer 150 may be formed using a silicon oxide layer.
  • Source/drain contacts CA may be formed at opposite sides of each of the gate electrodes GE.
  • contact holes may be formed to penetrate the second interlayered insulating layer 150 , the first interlayered insulating layer 130 , and the etch stop layer 125 and to expose the first and second source/drain regions SD 1 and SD 2 .
  • upper portions of the first and second source/drain regions SD 1 and SD 2 may be partially etched, when the contact holes are formed. Thereafter, a first conductive pattern 160 and a second conductive pattern 165 may be sequentially formed to fill each of the contact holes.
  • the first conductive pattern 160 may be a barrier conductive layer and may be formed of or include at least one of a titanium nitride layer, a tungsten nitride layer, or a tantalum nitride layer.
  • the second conductive pattern 165 may be a metal layer and may be formed of or include at least one of tungsten, titanium, or tantalum.
  • interconnection lines may be formed on the second interlayered insulating layer 150 and may be coupled to the source/drain contacts CA, respectively.
  • the interconnection lines may be formed of or include at least one of conductive materials.
  • FIG. 10 is a sectional view that is taken along line D-D′ of FIG. 1 to illustrate a semiconductor device according to some example embodiments of the inventive concepts.
  • an element previously described with reference to FIGS. 1 and 2 A to 2 D may be identified by a similar or identical reference number without repeating an overlapping description thereof, for the sake of brevity.
  • the first source/drain regions SD 1 on the first region R 1 may be merged to form a single source/drain region extending in the first direction D 1 .
  • the first source/drain regions SD 1 may be merged to each other, but they may be grown in a relatively irregular manner.
  • the first air gaps AG 1 may be formed below the first source/drain regions SD 1 and in the first recess regions RS 1 , respectively.
  • the first air gaps AG 1 may be directly enclosed by the first source/drain regions SD 1 and the second device isolation patterns ST 2 . In other words, the first air gaps AG 1 may not be enclosed by the etch stop layer 125 . Because the first air gaps AG 1 are provided below the first source/drain regions SD 1 , reducing parasitic capacitance between the first active patterns AP 1 may be possible.
  • the etch stop layer 125 may be formed to fill gap regions between the second source/drain regions SD 2 adjacent to each other.
  • the etch stop layer 125 may be conformally formed on the second source/drain regions SD 2 in such a way that the gap regions between the second source/drain regions SD 2 are sealed by the etch stop layer 125 .
  • second air gaps AG 2 may be formed in the second recess regions RS 2 , respectively.
  • the second air gaps AG 2 may be covered with the etch stop layer 125 . Because the second recess regions RS 2 are formed to have bottom surfaces lower than those of the first recess regions RS 1 , the second air gaps AG 2 may be larger than the first air gaps AG 1 . Because the second air gaps AG 2 are provided below the second source/drain regions SD 2 , reducing parasitic capacitance between the second active patterns AP 2 may be possible.
  • FIG. 11 is a sectional view that is taken along line D-D′ of FIG. 1 to illustrate a semiconductor device according to some example embodiments of the inventive concepts.
  • an element previously described with reference to FIGS. 1 and 2 A to 2 D may be identified by a similar or identical reference number without repeating an overlapping description thereof, for the sake of brevity.
  • the first source/drain regions SD 1 on the first region R 1 may be merged to form a single source/drain region extending in the first direction D 1 .
  • the first air gaps AG 1 may be formed below the first source/drain regions SD 1 and in the first recess regions RS 1 , respectively.
  • First residue patterns 123 may be provided adjacent to interfaces between the second source/drain regions SD 2 and the second active patterns AP 2 .
  • the first residue patterns 123 may be formed between an adjacent pair of the second active patterns AP 2 .
  • the first residue patterns 123 may not be formed between an adjacent pair of the first active pattern AP 1 and the second active pattern AP 2 .
  • the gate spacer layer 120 covering the first and second active patterns AP 1 and AP 2 may be removed when the upper portions of the first and second active patterns AP 1 and AP 2 are recessed. However, in example embodiments, a portion of the gate spacer layer 120 may not be removed from the gap regions between the second active patterns AP 2 , thereby forming the first residue pattern 123 . Owing to the presence of the first residue patterns 123 , upper portions of the second active patterns AP 2 may be incompletely recessed, and thus, the first top surfaces TSb 1 of the second active patterns AP 2 may be an inclined or asymmetric profile, unlike the first top surfaces TSa 1 of the first active patterns AP 1 .
  • the second source/drain regions SD 2 may be at an angle to the top surface of the substrate 100 .
  • the second source/drain region SD 2 may bend toward the first source/drain region SD 1 adjacent thereto.
  • FIG. 12 is a sectional view that is taken along line D-D′ of FIG. 1 to illustrate a semiconductor device according to some example embodiments of the inventive concepts.
  • an element previously described with reference to FIGS. 1 and 2 A to 2 D may be identified by a similar or identical reference number without repeating an overlapping description thereof, for the sake of brevity.
  • second residue patterns 124 may be formed to be adjacent to interfaces between the first source/drain regions SD 1 and the first active patterns AP 1 and on the first region R 1 .
  • the second residue patterns 124 may be formed on opposite side surfaces of a lower portion of at least one of the first source/drain regions SD 1 .
  • the at least one of the first source/drain regions SD 1 may have an increasing width from the second residue patterns 124 .
  • the gate spacer layer 120 covering the first and second active patterns AP 1 and AP 2 may be removed when the upper portions of the first and second active patterns AP 1 and AP 2 are recessed. However, in example embodiments, a portion of the gate spacer layer 120 may not be removed from opposite sides of each of the first active patterns AP 1 , thereby forming the second residue patterns 124 .
  • a semiconductor device may be configured to include NMOS and PMOS-FETs having source/drain structures different from each other. This configuration may allow improvement to electric characteristics of NMOS and PMOSFETs independently.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Abstract

A semiconductor device includes first and second active patterns protruding upward from a substrate, a gate electrode crossing the first and second active patterns and extending in a first direction, a first source/drain region on the first active pattern and on at least one side of the gate electrode, and a second source/drain region on the second active pattern and on at least one side of the gate electrode. The first and second source/drain regions have a conductivity type different from each other, and the second source/drain region has a bottom surface in contact with a top surface of the second active pattern and at a lower level than that of a bottom surface of the first source/drain region in contact with a top surface of the first active pattern. The first active pattern has a first width smaller than a second width of the second active pattern.

Description

CROSS-REFERENCE TO RELATED APPLICATION
This U.S. non-provisional patent application application is a reissue for U.S. Pat. No. 10/784,376 issued on Sep. 22, 2020, which is a continuation of U.S. patent application Ser. No. 16/111,854, filed Aug. 24, 2018, now U.S. Pat. No. 10/411,131, granted on Sep. 10, 2019, which is a continuation of U.S. patent application Ser. No. 15/288,080, filed on Oct. 7, 2016, now U.S. Pat. No. 10,090,413, issued on Oct. 2, 2018, which claims the benefit of Korean Patent Application No. 10-2015-0148961, filed on Oct. 26, 2015, in the Korean Intellectual Property Office, the entire contents of each of the above-referenced applications are hereby incorporated by reference.
BACKGROUND 1. Field
Example embodiments of the present disclosure relate to a semiconductor device with a field effect transistor and a method of fabricating the same.
2. Description of the Related Art
Due to their relatively small-size, multi-functionality, and/or relatively low-cost characteristics, semiconductor devices are considered important elements in the electronic industry. The semiconductor devices may be classified into a memory device for storing data, a logic device for processing data, and a hybrid device including both memory and logic elements. To meet the increased demand for electronic devices with relatively fast speed and/or relatively low power consumption, semiconductor devices with relatively high reliability, relatively high performance, and/or multiple functions are needed. To satisfy these technical requirements, semiconductor devices require increased complexity and/or integration density.
SUMMARY
Some example embodiments of the inventive concepts provide a semiconductor device, in which a field effect transistor with improved electric characteristics is provided.
Some example embodiments of the inventive concepts provide a method of fabricating a semiconductor device, in which a field effect transistor with improved electric characteristics is provided.
According to some example embodiments of the inventive concepts, a semiconductor device includes first and second active patterns protruding upward from a substrate, a gate electrode crossing the first and second active patterns and extending in a first direction, a first source/drain region on the first active pattern and on at least one side of the gate electrode, and a second source/drain region on the second active pattern and on at least one side of the gate electrode. The second source/drain region may have a conductivity type different from that of the first source/drain region, and the second source/drain region may have a second bottom surface in contact with a second top surface of the second active pattern and at a lower level than a first bottom surface of the first source/drain region in contact with a first top surface of the first active pattern. The first top surface of the first active pattern may have a first width, and the second top surface of the second active pattern may have a second width greater than the first width.
In some example embodiments, the first active pattern and the first source/drain region may constitute an NMOSFET, and the second active pattern and the second source/drain region may constitute a PMOSFET.
In some example embodiments, the first and second active patterns may include first and second channel regions, respectively, when viewed in a plan view. The gate electrode may overlap the first and second channel regions. A surface area directly contacting the second channel region and the second source/drain region may be greater than a surface area directly contacting the first channel region and the first source/drain region.
In some example embodiments, the first and second channel regions may have top surfaces at a same level.
In some example embodiments, the first source/drain region may include a material having a first lattice constant equal to or smaller than that of the substrate, and the second source/drain region may include a material having a second lattice constant greater than that of the substrate.
In some example embodiments, a maximum width of the first source/drain region in the first direction may be a third width, and a maximum width of the second source/drain region in the first direction may be a fourth width different from the third width.
In some example embodiments, the device may further include a device isolation pattern on the substrate filling a gap region between the first and second active patterns. The device isolation pattern may include a first portion having a top surface, the gate electrode may overlap the first portion in a plan view, and a second portion on at least one side of the gate electrode, and the second portion may define a recess region having a bottom surface lower than the top surface of the first portion.
In some example embodiments, the bottom surface of the recess region may be lower than the first and second bottom surfaces of the first and second source/drain regions.
In some example embodiments, the device may further include an etch stop layer covering the first and second source/drain regions and the device isolation pattern. The etch stop layer may directly cover an inner surface of the recess region.
In some example embodiments, the device may further include gate spacers on opposite sides of the gate electrode and a gate insulating pattern between the gate electrode and the first and second active patterns, and between the gate electrode and the gate spacers.
According to some example embodiments of the inventive concepts, a semiconductor device includes a pair of first active patterns and a pair of second active patterns protruding upward from a substrate, device isolation patterns filling trenches between the first and second active patterns, a gate electrode crossing the first and second active patterns and extending in a first direction, a pair of first source/drain regions on respective ones of the first active patterns and on at least one side of the gate electrode, and a pair of second source/drain regions on respective ones of the second active patterns and on at least one side of the gate electrode. Each of the first source/drain regions has a first bottom surface in contact with respective first top surfaces of the first active patterns. Each of the second source/drain regions having a second bottom surface in contact with respective second top surfaces of the second active patterns. Each of the first top surfaces of the first active patterns has a first width in the first direction and each of the second top surfaces of the second active patterns has a second width greater than the first width in the first direction.
In some example embodiments, the first active patterns may include upper portions configured to serve as channel regions of an NMOSFET, and the second active patterns may include upper portions configured to serve as channel regions of a PMOSFET.
In some example embodiments, a distance between the pair of first active patterns in the first direction may be a first length, a distance between the pair of second active patterns in the first direction may be a second length longer than the first length, and a distance between an adjacent pair of the first and second active patterns may be a third length longer than the second length.
In some example embodiments, a surface area directly contacting a corresponding pair of the second source/drain regions and the second active patterns may be greater than a surface area directly contacting a corresponding pair of the first source/drain regions and the first active patterns.
In some example embodiments, each of the device isolation patterns may include first portions overlapped by the gate electrode in a plan view and a second portion on at least one side of the gate electrode. A first of the second portions of the device isolation patterns may include a first recess region between the pair of first active patterns, and a second of the second portions of the device isolation patterns may include a second recess region between the pair of second active patterns. A bottom surface of the first recess region may be higher than a bottom surface of the second recess region.
In some example embodiments, a third of the second portions may include a third recess region between an adjacent pair of the first active pattern and the second active pattern, and the bottom surface of the second recess region may be higher than a bottom surface of the third recess region.
In some example embodiments, the first source/drain regions may be connected to form an integral structure defining at least one first air gap, and the first air gap may be directly enclosed by the first source/drain regions and the device isolation patterns.
In some example embodiments, the device may further include an etch stop layer covering the first and second source/drain regions and the device isolation patterns. The etch stop layer may seal a gap region between the pair of second source/drain regions to define at least one second air gap below the second source/drain regions. The second air gap may be enclosed by the etch stop layer.
In some example embodiments, a volume of the second air gap may be larger than a volume of the first air gap.
In some example embodiments, the device may further include first residue patterns adjacent to interfaces between the second active patterns and the second source/drain regions. The first residue patterns may be between the pair of second active patterns.
In some example embodiments, at least one of the second source/drain regions may bend toward an adjacent one of the first source/drain regions.
In some example embodiments, the device may further include second residue patterns adjacent to interfaces between the first active patterns and the first source/drain regions. The second residue patterns may be on opposite sides of a lower portion of at least one of the first source/drain regions.
According to some example embodiments of the inventive concepts, a semiconductor device includes a substrate including a first region and a second region spaced apart from each other, a plurality of fin-shaped first active patterns on the first region of the substrate and spaced apart from each other by a first distance, a plurality of fin-shaped second active patterns on the second region of the substrate and spaced apart from each other by a second distance smaller than the first distance, a first gate electrode crossing the first active patterns and extending in a first direction, a second gate electrode crossing the second active patterns and extending in the first direction, first source/drain regions on respective ones of the first active patterns and on at least one side of the first gate electrode, and second source/drain regions on respective ones of the second active patterns and on at least one side of the second gate electrode. The first and second active patterns may have the same conductivity type, the first source/drain regions may be spaced apart from each other in the first direction, and the second source/drain regions may be connected to each other to form an integral structure arranged in the first direction.
In some example embodiments, the first and second active patterns may include upper portions configured to serve as channel regions of a PMOSFET.
In some example embodiments, the first region may be an SRAM region on which memory cells are provided, and the second region may be a logic region on which a logic circuit are provided.
In some example embodiments, the device may further include device isolation patterns filling trenches between the first active patterns and between the second active patterns, and at least one air gap enclosed by the device isolation patterns and the second source/drain regions forming the integral structure.
In some example embodiments, each of the device isolation patterns may include a first portion overlapped by one of the first and second gate electrodes, and a second portion on at least one side of the one of the first and second gate electrodes. A first of the second portions of the device isolation patterns may include a first recess region between an adjacent pair of the first active patterns, a second of the second portions of the device isolation patterns may include a second recess region between an adjacent pair of the second active patterns, and a bottom surface of the first recess region may be higher than a bottom surface of the second recess region.
In some example embodiments, the device may further include an etch stop layer on the first and second regions to cover the first and second source/drain regions and the device isolation patterns. The air gap may be separated from the etch stop layer.
According to some example embodiments of the inventive concepts, a method of fabricating a semiconductor device includes patterning an upper portion of a substrate to form first and second active patterns protruding upward from the substrate, forming a sacrificial gate pattern to cross the first and second active patterns and extend in a first direction, recessing upper portions of the first and second active patterns on at least one side of the sacrificial gate pattern such that the second active pattern has a top surface lower than a top surface of the first active pattern, forming first and second source/drain regions on the recessed upper portions of the first and second active patterns, respectively, the first and second source/drain regions being doped to have conductivity types different from each other, and replacing the sacrificial gate pattern with a gate electrode.
In some example embodiments, the patterning of the upper portion of the substrate may include patterning an NMOSFET region of the substrate to form the first active pattern and patterning a PMOSFET region of the substrate to form the second active pattern.
In some example embodiments, the method may further include forming a device isolation pattern on the substrate to fill a gap region between the first and second active patterns and recessing an upper portion of the device isolation pattern on a side of the sacrificial gate pattern to form a recess region.
In some example embodiments, the method may further include forming an etch stop layer on the substrate to cover the first and second source/drain regions and the device isolation pattern. The etch stop layer may directly cover an inner surface of the recess region.
In some example embodiments, the method may further include forming a gate spacer layer on the substrate and anisotropically etching the gate spacer layer to form gate spacers on opposite side surfaces of the sacrificial gate pattern.
In some example embodiments, recessing the upper portions of the first and second active patterns may allow a portion of the gate spacer layer configured to serve as a residue pattern to remain on at least one of the recessed upper portions of the first and second active patterns.
In some example embodiments, the method may further include forming an interlayered insulating layer on the substrate, forming contact holes to penetrate the interlayered insulating layer and expose the first and second source/drain regions, respectively, and forming source/drain contacts to fill the contact holes. Upper portions of the first and second source/drain regions may be etched when the contact holes are formed.
According to some example embodiments of the inventive concepts, a semiconductor device includes a first MOSFET structure including at least one first active pattern protruding upward from a substrate and at least one first source/drain region having a first bottom surface contacting a first top surface of the first active pattern, and a second MOSFET structure including at least one second active pattern protruding upward from a substrate and at least one second source/drain region having a second bottom surface contacting a second top surface of the second active pattern and at a lower level than the first bottom surface, the second source/drain region having a different shape than the first source/drain region. The first top surface of the first active pattern has a first width, and the second top surface of the second active pattern has a second width greater than the first width.
The first source/drain region may include a material having a first lattice constant equal to or smaller than that of the substrate, and the second source/drain region may include a material having a second lattice constant greater than that of the substrate.
A maximum width of the first source/drain region may be a third width and a maximum width of the second source/drain region may be a fourth width different from the third width.
The at least one first active pattern may be a pair of first active patterns and a distance between the pair of first active patterns is a first length, the at least one second active pattern is a pair of second active patterns and a distance between the pair of second active patterns is a second length longer than the first length, and a distance between the pair of first active patterns and the pair of second active patterns is a third length longer than the second length.
BRIEF DESCRIPTION OF THE DRAWINGS
Example embodiments will be more clearly understood from the following brief description taken in conjunction with the accompanying drawings. The accompanying drawings represent non-limiting, example embodiments as described herein.
FIG. 1 is a plan view illustrating a semiconductor device according to some example embodiments of the inventive concepts.
FIGS. 2A to 2D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 1 .
FIG. 3 is a plan view illustrating a method of fabricating a semiconductor device according to some example embodiments of the inventive concepts.
FIGS. 4A to 4C are sectional views taken along lines A-A′, B-B′, C-C′, and D-D′ of FIG. 3 .
FIG. 5 is a plan view illustrating a method of fabricating a semiconductor device according to some example embodiments of the inventive concepts.
FIGS. 6A to 6D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 5 .
FIGS. 7A to 7D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 5 .
FIG. 8 is a plan view illustrating a method of fabricating a semiconductor device according to some example embodiments of the inventive concepts.
FIGS. 9A to 9D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 8 .
FIG. 10 is a sectional view that is taken along line D-D′ of FIG. 1 to illustrate a semiconductor device according to some example embodiments of the inventive concepts.
FIG. 11 is a sectional view that is taken along line D-D′ of FIG. 1 to illustrate a semiconductor device according to some example embodiments of the inventive concepts.
FIG. 12 is a sectional view that is taken along line D-D′ of FIG. 1 to illustrate a semiconductor device according to some example embodiments of the inventive concepts.
It should be noted that these figures are intended to illustrate the general characteristics of methods, structure and/or materials utilized in certain example embodiments and to supplement the written description provided below. These drawings are not, however, to scale and may not precisely reflect the precise structural or performance characteristics of any given embodiment, and should not be interpreted as defining or limiting the range of values or properties encompassed by example embodiments. For example, the relative thicknesses and positioning of molecules, layers, regions and/or structural elements may be reduced or exaggerated for clarity. The use of similar or identical reference numbers in the various drawings is intended to indicate the presence of a similar or identical element or feature.
DETAILED DESCRIPTION
The inventive concepts will now be described more fully hereinafter with reference to the accompanying drawings, in which example embodiments of the inventive concepts are shown. The inventive concepts and methods of achieving them will be apparent from the following example embodiments that will be described in more detail with reference to the accompanying drawings. Example embodiments of the inventive concepts may, however, be embodied in different forms and should not be constructed as limited to the embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the inventive concepts to those skilled in the art.
As used herein, the singular terms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be understood that when an element is referred to as being “connected” or “coupled” to another element, it may be directly connected or coupled to the other element or intervening elements may be present.
Similarly, it will be understood that when an element such as a layer, region or substrate is referred to as being “on” another element, it can be directly on the other element or intervening elements may be present. In contrast, the term “directly” means that there are no intervening elements. Additionally, the embodiment in the detailed description will be described with sectional views as ideal example views of the inventive concepts. Accordingly, shapes of the example views may be modified according to manufacturing techniques and/or allowable errors. Therefore, the embodiments of the inventive concepts are not limited to the specific shape illustrated in the example views, but may include other shapes that may be created according to manufacturing processes.
Example embodiments of the present inventive concepts explained and illustrated herein include their complementary counterparts. The same reference numerals or the same reference designators denote the same elements throughout the specification.
It will be understood that when an element is referred to as being “connected” or “coupled” to another element, it can be directly connected or coupled to the other element or intervening elements may be present. In contrast, when an element is referred to as being “directly connected” or “directly coupled” to another element, there are no intervening elements present. Other words used to describe the relationship between elements or layers should be interpreted in a like fashion (e.g., “between” versus “directly between,” “adjacent” versus “directly adjacent,” “on” versus “directly on”). As used herein the term “and/or” includes any and all combinations of one or more of the associated listed items.
Spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. It will be understood that the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the term “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms “a,” “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises”, “comprising”, “includes” and/or “including,” if used herein, specify the presence of stated features, integers, steps, operations, elements and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components and/or groups thereof. Expressions such as “at least one of,” when preceding a list of elements, modify the entire list of elements and do not modify the individual elements of the list.
Example embodiments are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of example embodiments. As such, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, example embodiments should not be construed as limited to the particular shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an etched region or an implanted region illustrated as a rectangle may have rounded or curved features. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of example embodiments.
Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which the inventive concepts belong. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
FIG. 1 is a plan view illustrating a semiconductor device according to some example embodiments of the inventive concepts. FIGS. 2A to 2D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 1 .
Referring to FIGS. 1 and 2A to 2D, a substrate 100 with a first region R1 and a second region R2 may be provided. The substrate 100 may be a semiconductor substrate. In example embodiments, the substrate 100 may be a silicon substrate, a germanium substrate, or a silicon-on-insulator (SOI) substrate. The first region R1 may be a part of a memory cell region, on which a plurality of memory cells for storing data are provided. As an example, a plurality of 6T SRAM cells, each of which includes six transistors, may be provided on the first region R1. The second region R2 may be a part of a logic cell region, on which logic transistors constituting a logic circuit are provided. As an example, logic transistors for a processor core or I/O terminals may be provided on the second region R2. But, the inventive concepts are not limited thereto. Hereinafter, the first region R1 will be described in more detail.
Referring back to FIGS. 1 and 2A to 2C, the first region R1 may include a first NMOSFET region NR1 and a first PMOSFET region PR1. The first NMOSFET region NR1 may be an active region for an n-type transistor, and the first PMOSFET region PR1 may be an active region for a p-type transistor. In example embodiments, the substrate 100 may include a plurality of the first NMOSFET regions NR1 and a plurality of the first PMOSFET regions PR1 which are arranged in a first direction D1.
Active patterns AP1 and AP2 may be provided on the first region R1. For example, first active patterns AP1 protruding from the substrate 100 may be provided on the first NMOSFET region NR1 of the first region R1. The first active patterns AP1 may be arranged in the first direction D1 and may be line-shaped structures extending in a second direction D2 crossing the first direction D1.
Second active patterns AP2 protruding from the substrate 100 may be provided on the first PMOSFET region PR1 of the first region R1. The second active patterns AP2 may be arranged in the first direction D1 and may be line-shaped structures extending in the second direction D2. Widths of the first and second active patterns AP1 and AP2 may increase with increasing distance from the substrate 100, when measured in the first direction D1.
The active patterns AP1 and AP2 on the first region R1 may be spaced apart from each other in the first direction D1, and distances between the active patterns AP1 and AP2 may be different from each other. For example, a pitch between the first active patterns AP1 on the first NMOSFET region NR1 may be a first length L1, when measured in the first direction D1. A pitch between the second active patterns AP2 on the first PMOSFET region PR1 may be a second length L2, when measured in the first direction D1. A pitch between an adjacent pair of the first and second active patterns AP1 and AP2 may be a third length L3, when measured in the first direction D1. The second length L2 may be longer than the first length L1, and the third length L3 may be longer than the second length L2. Each of the first to third lengths L1, L2, and L3 may be a center-to-center distance between an adjacent pair of the active patterns.
Second device isolation patterns ST2 may be provided to fill trenches between the first active patterns AP1 and between the second active patterns AP2. In other words, the second device isolation patterns ST2 may be provided to define the first and second active patterns AP1 and AP2. The first and second active patterns AP1 and AP2 may include first and second active fins AF1 and AF2, whose top surfaces are higher than the second device isolation patterns ST2.
First device isolation patterns ST1 may be provided at opposite sides of the first NMOSFET region NR1 and the first PMOSFET region PR1. The first device isolation patterns ST1 may be provided to separate the first NMOSFET regions NR1 and the first PMOSFET region PR1 shown in FIG. 2 from other MOSFET regions.
The first and second device isolation patterns ST1 and ST2 may be substantially connected to each other to form a single insulating pattern. A thickness of the first device isolation patterns ST1 may be greater than that of the second device isolation patterns ST2. In example embodiments, the first and second device isolation patterns ST1 and ST2 may be formed by different processes. In example embodiments, the first and second device isolation patterns ST1 and ST2 may be formed at the same time using the same process and may have substantially the same thickness. The first and second device isolation patterns ST1 and ST2 may be formed in an upper portion of the substrate 100. The first and second device isolation patterns ST1 and ST2 may be formed of or include a silicon oxide layer.
Each of the second device isolation patterns ST2 may include a first portion P1, which is provided below a gate electrode GE to be described below and second portions P2, which are provided at opposite sides of the gate electrode GE. Each of the second portions P2 of the second device isolation patterns ST2 may have a recessed top surface. For example, the second portions P2 may be provided to define recess regions RS1, RS2, and RS3. Referring back to FIG. 2C, the recess regions RS1, RS2, and RS3 may include first recess regions RS1 between the first active patterns AP1, second recess regions RS2 between the second active patterns AP2, and third recess regions RS3 between the first and second active patterns AP1 and AP2 adjacent to each other.
The first to third recess regions RS1-RS3 may be provided to have a recess depth that is dependent on a pattern density. For example, the recess depth may be smaller between the first active patterns AP1 spaced at a small distance than between the active patterns spaced at a larger distance. As an example, bottom surfaces of the first recess regions RS1 may be higher than those of the second recess regions RS2. This may be because that the second length L2 is longer than the first length L1. In addition, the bottom surfaces of the second recess regions RS2 may be higher than those of the third recess regions RS3. This may be because that the third length L3 is longer than the second length L2.
Gate electrodes GE may be provided on the first and second active patterns AP1 and AP2 to extend in the first direction D1 and to cross the first and second active patterns AP1 and AP2. The gate electrodes GE may cover top and side surfaces of the first and second active patterns AP1 and AP2. The gate electrodes GE may be spaced apart from each other in the second direction D2. The gate electrodes GE may extend in the first direction D1 to cross both of the first and second device isolation patterns ST1 and ST2.
Interface layers IL may be respectively interposed between the first and second active patterns AP1 and AP2 and the gate electrodes GE. A gate insulating pattern GI may be provided between a corresponding pair of the interface layers IL and the gate electrodes GE. Gate spacers GS may be provided at opposite sides of each of the gate electrodes GE. A capping pattern GP may be provided to cover a top surface of each of the gate electrodes GE. The interface layer IL may directly cover top surfaces of the active patterns AP1 and AP2 (e.g., top surfaces of channel regions CH1 and CH2 to be described below). The gate insulating pattern GI may be disposed between the gate electrode GE and the gate spacers GS. The gate insulating pattern GI may be horizontally extended from the active patterns AP1 and AP2 along the gate electrode GE to directly cover top surfaces of the first portions P1 of the second device isolation patterns ST2.
In example embodiments, although not shown, the gate spacers GS may have an ‘L’-shaped section, when viewed in a sectional view taken in the second direction D2. For example, each of the gate spacers GS may include a vertical portion covering a side surface of the gate electrode GE and a horizontal portion covering the top surface of the active pattern AP1 or AP2.
The gate electrodes GE may include at least one of doped semiconductor materials, conductive metal nitrides (e.g., titanium nitride or tantalum nitride), or metals (e.g., aluminum or tungsten). The interface layer IL may include a silicon oxide layer. The gate insulating patterns GI may include at least one of a silicon oxide layer, a silicon oxynitride layer, and high-k dielectric layers (e.g., hafnium oxide, hafnium silicate, zirconium oxide, or zirconium silicate) having dielectric constants higher than that of the silicon oxide layer. Each of the capping patterns GP and the gate spacers GS may include at least one of a silicon oxide layer, a silicon nitride layer, or a silicon oxynitride layer.
Source/drain regions SD1 and SD2 may be provided on the first and second active patterns AP1 and AP2 positioned at opposite sides of each of the gate electrodes GE. For example, first source/drain regions SD1 may be provided on the first active patterns AP1 at opposite sides of each of the gate electrodes GE. Second source/drain regions SD2 may be provided on the second active patterns AP2 at opposite sides of each of the gate electrodes GE. As an example, the first source/drain regions SD1 on the first NMOSFET region NR1 may have n-type conductivity, and the second source/drain regions SD2 on the first PMOSFET region PR1 may have p-type conductivity.
The first active fins AF1 on the first active patterns AP1 may have first channel regions CH1 interposed between the first source/drain regions SD1. The second active fins AF2 on the second active patterns AP2 may have second channel regions CH2 interposed between the second source/drain regions SD2. Each of the first channel regions CH1 may connect a pair of the first source/drain regions SD1 with each other. Each of the second channel regions CH2 may connect a pair of the second source/drain regions SD2 with each other. The first and second channel regions CH1 and CH2 may be positioned below and overlapped with the gate electrodes GE.
The first and second source/drain regions SD1 and SD2 may be epitaxial patterns, which are respectively grown using the first and second active patterns AP1 and AP2 as a seed layer. In example embodiments, the first source/drain regions SD1 may include a material capable of exerting a tensile strain to the first channel regions CH1, and the second source/drain regions SD2 may include a material capable of exerting a compressive strain to the second channel regions CH2. For example, in the case where the substrate 100 is a silicon substrate, the first source/drain regions SD1 may include a SiC layer having a lattice constant smaller than Si or a Si layer having substantially the same lattice constant as the substrate 100. The second source/drain region SD2 may include a SiGe layer having a lattice constant larger than Si.
In a sectional view, the first source/drain regions SD1 may have a different shape from the second source/drain regions SD2, as shown in FIG. 2C. As described above, this is because the first and second source/drain regions SD1 and SD2 are formed of different materials grown through an epitaxial growth process. For example, the maximum width in the first direction D1 of the first source/drain regions SD1 may be a third width W3, and the maximum width in the first direction D1 of the second source/drain regions SD2 may be a fourth width W4 that is different from the third width W3.
In example embodiments, the first source/drain regions SD1 may be provided to have the maximum widths W3 different from each other. For example, in the case where the first source/drain regions SD1 are formed of Si, the first source/drain regions SD1 may grow in an irregular manner. As a result, the first source/drain region SD1 may have a shape or size which varies depending on its position. In addition, although, in FIG. 2C, the fourth width W4 is illustrated to be greater than the third width W3, but the inventive concepts are not limited thereto. For example, the third width W3 may be greater than the fourth width W4.
The bottom surfaces of the first source/drain regions SD1 may be positioned at a first level BL1, and the bottom surfaces of the second source/drain regions SD2 may be positioned at a second level BL2. Here, the first level BL1 may be higher than the second level BL2. In addition, both of the first and second levels BL1 and BL2 may be higher than the bottom surfaces of the recess regions RS1-RS3.
The first active patterns AP1 may include first top surfaces TSa1, which are in direct contact with the bottom surfaces of the first source/drain regions SD1, and second top surfaces TSa2, which serve as top surfaces of the first channel regions CH1. The second active patterns AP2 may include first top surfaces TSb1, which are in direct contact with the bottom surfaces of the second source/drain regions SD2, and second top surfaces TSb2, which serve as top surfaces of the second channel regions CH2. The first top surfaces TSa1 and TSb1 of the first and second active patterns AP1 and AP2 may not be flat and may have a downward curved or rounded profile. Here, the first top surfaces TSa1 and TSb1 may be lower than the second top surfaces TSa2 and TSb2.
The first top surface TSa1 of the first active pattern AP1 may have a first width W1 and the first top surface TSb1 of the second active pattern AP2 may have a second width W2, when measured in the first direction D1. Here, the second width W2 may be greater than the first width W1. This is because the first and second active patterns AP1 and AP2 have downward increasing widths and the first top surface TSb1 of the second active pattern AP2 is positioned below the first top surface TSa1 of the first active pattern AP1.
Because the second source/drain regions SD2 are grown using the first top surfaces TSb1 of the second active patterns AP2 as a seed layer, the second source/drain regions SD2 may have a volume that is relatively larger than that of the first source/drain regions SD1. This configuration may allow for an increase in a magnitude of the compressive strain to be exerted to the second channel regions CH2 from the second source/drain regions SD2 and an increase in a contact area between the second source/drain regions SD2 and the second channel regions CH2. Accordingly, increasing carrier mobility of the second channel regions CH2 and reducing resistance of the second channel regions CH2 may be possible.
An etch stop layer 125 may be provided on the substrate 100. The etch stop layer 125 may cover top surfaces of the first and second device isolation patterns ST1 and ST2. For example, the etch stop layer 125 may cover inner surfaces of the recess regions RS1-RS3 of the second device isolation patterns ST2. In addition, the etch stop layer 125 may cover the first and second source/drain regions SD1 and SD2 and may extend to cover opposite side surfaces of the gate spacers GS. The etch stop layer 125 may include a material having an etch selectivity with respect to a first interlayered insulating layer 130. As an example, the etch stop layer 125 may include a silicon nitride layer or a silicon oxynitride layer.
The first interlayered insulating layer 130 may be provided on the substrate 100 to fill gap regions between the gate electrodes GE. The first interlayered insulating layer 130 may have a top surface that substantially coplanar with those of the capping patterns GP. In some example embodiments, the first interlayered insulating layer 130 may fill the recess regions RS1-RS3 provided with the etch stop layer 125. A second interlayered insulating layer 150 may be provided on the first interlayered insulating layer 130. The first and second interlayered insulating layers 130 and 150 may be formed of or include a silicon oxide layer.
Source/drain contacts CA may be provided at opposite sides of each of the gate electrodes GE. The source/drain contacts CA may be provided to pass through the second interlayered insulating layer 150, the first interlayered insulating layer 130, and the etch stop layer 125 and may be electrically connected to the first and second source/drain regions SD1 and SD2. When viewed in a plan view, each of the source/drain contacts CA may be provided to cross at least one of the first active patterns AP1 or at least one of the second active patterns AP2.
Each of the source/drain contacts CA may include a first conductive pattern 160 and a second conductive pattern 165 on the first conductive pattern 160. The first conductive pattern 160 may be a barrier conductive layer. As an example, the first conductive pattern 160 may include at least one of a titanium nitride layer, a tungsten nitride layer, or a tantalum nitride layer. The second conductive pattern 165 may be a metal layer. As an example, the second conductive pattern 165 may include at least one of tungsten, titanium, or tantalum. Although not shown, a metal silicide layer may be interposed between each pair of the source/drain contacts CA and the first and second source/drain regions SD1 and SD2. The metal silicide layer may include at least one of titanium silicide, tantalum silicide, or tungsten silicide.
Hereinafter, the second region R2 will be described in more detail. For concise description, an element described with reference to the first region R1 may be identified by a similar or identical reference number without repeating an overlapping description thereof. A vertical section of the second region R2 taken in the second direction D2 may be similar to that of the first region R1 described with reference to FIG. 2A.
Referring back to FIGS. 1 and 2D, the second region R2 may include a second NMOSFET region NR2 and a second PMOSFET region PR2. In some example embodiments, n-type transistors may be integrated on the second NMOSFET region NR2, and p-type transistors may be integrated on the second PMOSFET region PR2. The second region R2 may include a plurality of the second NMOSFET regions NR2 and a plurality of the second PMOSFET regions PR2 which are arranged in the first direction D1. The second NMOSFET region NR2 may be separated from the second PMOSFET region PR2 by the first device isolation patterns ST1.
Active patterns AP1 and AP2 may be provided on the second region R2. For example, the first active patterns AP1 protruding from the substrate 100 may be provided on the second NMOSFET region NR2 of the second region R2, and the second active patterns AP2 protruding from the substrate 100 may be provided on the second PMOSFET region PR2 of the second region R2.
The first and second active patterns AP1 and AP2 on the second region R2 may be spaced apart from each other by substantially the same space. As an example, when measured in the first direction D1, a pitch between the second active patterns AP2 on the second PMOSFET region PR2 may be a fourth length L4 and a pitch between the first active patterns AP1 on the second NMOSFET region NR2 may be a fifth length L5. Here, the fourth length L4 may be substantially equal to the fifth length L5. The fourth length L4 may be smaller than the second length L2 described above.
The second device isolation patterns ST2 may be provided to fill trenches between the first active patterns AP1 and trenches between the second active patterns AP2 on the second region R2. Each of the second portions P2 of the second device isolation patterns ST2 may have a recessed top surface. In other words, the second portions P2 may be provided to define recess regions RS4 and RS5. Referring back to FIG. 2D, the recess regions RS4 and RS5 may include fourth recess regions RS4 between the second active patterns AP2 and fifth recess regions RS5 between the first active patterns AP1. Here, the fourth recess regions RS4 and the fifth recess regions RS5 may have substantially the same recess depth. This is because the first and second active patterns AP1 and AP2 are spaced apart from each other by substantially the same space, unlike the first region R1. In addition, the second recess regions RS2 on the first region R1 may be provided to have a recess depth greater than that of the fourth recess regions RS4. In certain embodiments, the first device isolation patterns ST1 may have top surfaces, which are recessed at a recess depth greater than the fourth and fifth recess regions RS4 and RS5.
On the first and second active patterns AP1 and AP2 of the second region R2, the gate electrodes GE may be provided to cross the first and second active patterns AP1 and AP2 and extend in the first direction D1. The gate insulating pattern GI may be provided below each of the gate electrodes GE, and the gate spacers GS may be provided at opposite sides of each of the gate electrodes GE. In addition, the capping pattern GP may be provided to cover the top surface of each of the gate electrodes GE.
The first and second source/drain regions SD1 and SD2 may be provided on the first and second active patterns AP1 and AP2 and at opposite sides of each of the gate electrodes GE. In the meantime, the second source/drain regions SD2 on the first region R1 may be arranged spaced apart from each other in the first direction D1. However, the second source/drain regions SD2 on the second region R2 may be merged to each other to form a single source/drain region extending in the first direction D1. This is because a space between the second active patterns AP2 on the second region R2 is smaller than a space between the second active patterns AP2 on the first region R1 (i.e., L4<L2).
The etch stop layer 125 may be provided on the second region R2. The etch stop layer 125 may cover the top surfaces of the first and second device isolation patterns ST1 and ST2 and the first and second source/drain regions SD1 and SD2. The etch stop layer 125 may not cover inner surfaces of the fourth recess regions RS4. This may be because the second source/drain regions SD2 are merged to each other. By contrast, the etch stop layer 125 may be provided to cover inner surfaces of the fifth recess regions RS5.
The first interlayered insulating layer 130 may be provided on the second region R2 to fill gap regions between the gate electrodes GE. The first interlayered insulating layer 130 may fill the fifth recess regions RS5 provided with the etch stop layer 125. By contrast, the fourth recess regions RS4 may not be filled with the first interlayered insulating layer 130. In other words, first air gaps AG1 may be formed in the fourth recess regions RS4, respectively, which are positioned below the second source/drain regions SD2. The first air gaps AG1 may be a region in which a solid material is not provided and may be a substantially empty space. For example, the first air gaps AG1 may be directly enclosed by the second source/drain regions SD2 and the second device isolation patterns ST2. In other words, the first air gaps AG1 may not be enclosed by the etch stop layer 125. Because the first air gaps AG1 are provided below the second source/drain regions SD2, reducing parasitic capacitance between the second active patterns AP2 may be possible.
The source/drain contacts CA may be provided at opposite sides of each of the gate electrodes GE. The source/drain contacts CA may be electrically connected to the first and second source/drain regions SD1 and SD2 through the second interlayered insulating layer 150, the first interlayered insulating layer 130, and the etch stop layer 125.
FIGS. 3, 5 and 8 are plan views illustrating a method of fabricating a semiconductor device according to some example embodiments of the inventive concepts. FIGS. 4A to 4C are sectional views taken along lines A-A′, B-B′, C-C′, and D-D′ of FIG. 3 , FIGS. 6A to 6D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 5 , FIGS. 7A to 7D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 5 , and FIGS. 9A to 9D are sectional views taken along lines A-A′, B-B′, C-C′, D-D′, and E-E′ of FIG. 8 .
Referring to FIGS. 3 and 4A to 4C, a substrate 100 with a first region R1 and a second region R2 may be provided. In example embodiments, the substrate 100 may be a silicon substrate, a germanium substrate, or a silicon-on-insulator (SOI) substrate. The first region R1 may be a part of a memory cell region, on which a plurality of memory cells for storing data are provided, and the second region R2 may be a part of a logic cell region, on which logic transistors constituting a logic circuit are provided.
Each of the regions R1 and R2 may include NMOSFET regions NR1 and NR2 and PMOSFET regions PR1 and PR2. In some example embodiments, each of the NMOSFET regions NR1 and NR2 may be defined as an active region on which an n-type transistor is solely integrated, and each of the PMOSFET region PR1 and PR2 may be defined as an active region on which a p-type transistor is solely integrated. In each of the regions R1 and R2, the NMOSFET regions NR1 and NR2 and the PMOSFET regions PR1 and PR2 may be arranged in a first direction D1, but the inventive concepts may not be limited thereto.
The regions R1 and R2 of the substrate 100 may be patterned to form first trenches 101 defining first active patterns AP1 and second trenches 102 defining second active patterns AP2. The first and second active patterns AP1 and AP2 may be arranged in the first direction D1 and may be line-shaped structures extending in a second direction D2 crossing the first direction D1.
The first region R1 of the substrate 100 may be again patterned to form deep trenches 103. The deep trenches 103 may be formed at opposite sides of the first NMOSFET region NR1 and the first PMOSFET region PR1. Also, the deep trenches 103 may be formed by patterning the second region R2 of the substrate 100. The deep trenches 103 may be formed to have bottom surfaces that are lower than those of the first and second trenches 101 and 102. In the second region R2, the deep trenches 103 may be formed between the second NMOSFET and PMOSFET regions NR2 and PR2 to define the second NMOSFET and PMOSFET regions NR2 and PR2.
In the first region R1, the first active patterns AP1 may be formed in such a way that they are spaced apart from each other at a pitch of the first length L1, and the second active patterns AP2 may be formed in such a way that they are spaced apart from each other at a pitch of a second length L2. An adjacent pair of the first and second active patterns AP1 and AP2 may be formed in such a way that they are spaced apart from each other by a pitch of a third length L3. Here, the second length L2 may be longer than the first length L1, and the third length L3 may be longer than the second length L2.
By contrast, in the second region R2, the second active patterns AP2 may be formed in such a way that they are spaced apart from each other at a pitch of a fourth length L4, and the first active patterns AP1 may be formed in such a way that they are spaced apart from each other at a pitch of a fifth length L5. Here, the fourth length L4 may be substantially equal to the fifth length L5.
In each of the regions R1 and R2, first device isolation patterns ST1 may be formed in the deep trenches 103, respectively. In addition, second device isolation patterns ST2 may be formed in the first and second trenches 101 and 102. The second device isolation patterns ST2 may be formed to expose upper portions of the first and second active patterns AP1 and AP2. The upper portions of the first and second active patterns AP1 and AP2 exposed by the second device isolation patterns ST2 will be referred to as first and second active fins AF1 and AF2, respectively. In some example embodiments, the first and second device isolation patterns ST1 and ST2 may be substantially connected to each other to form a single insulating pattern. The first and second device isolation patterns ST1 and ST2 may be formed of or include a silicon oxide layer.
Referring to FIGS. 5 and 6A to 6D, sacrificial gate patterns 110 may be formed on each of the regions R1 and R2 of the substrate 100, and gate mask patterns 115 may be formed on the sacrificial gate patterns 110. The sacrificial gate patterns 110 may be formed to cross the first and second active patterns AP1 and AP2 and extend in the first direction D1. Each of the sacrificial gate patterns 110 may be formed to cover top and side surfaces of the first and second active fins AF1 and AF2, and moreover, the sacrificial gate patterns 110 may extend to cover top surfaces of the first and second device isolation patterns ST1 and ST2.
The formation of the sacrificial gate patterns 110 and the gate mask patterns 115 may include sequentially forming a sacrificial gate layer and a gate mask layer on the substrate 100 to cover the first and second active fins AF1 and AF2 and patterning the gate mask layer and the sacrificial gate layer. The sacrificial gate layer may be formed of or include a poly silicon layer. The gate mask layer may be formed of or include a silicon nitride layer or a silicon oxynitride layer.
Because the sacrificial gate patterns 110 are formed to cross the first and second active fins AF1 and AF2, each of the second device isolation patterns ST2 may have a first portion P1 and second portions P2. For example, the first portion P1 may be a portion of the second device isolation pattern ST2 that is positioned below the sacrificial gate pattern 110 and is overlapped with the sacrificial gate pattern 110 in a plan view. The second portions P2 may be other portions of the second device isolation pattern ST2 that are positioned at opposite sides of the sacrificial gate pattern 110 and are horizontally separated from each other by the first portion P1.
Thereafter, a gate spacer layer 120 may be formed on the substrate 100 to conformally cover the sacrificial gate patterns 110. As an example, the gate spacer layer 120 may be formed of or include at least one of a silicon oxide layer, a silicon nitride layer, or a silicon oxynitride layer. The gate spacer layer 120 may be formed by a deposition process (e.g., a CVD or ALD process). In example embodiments, the gate spacer layer 120 may be formed to cover the first and second active fins AF1 and AF2 exposed by the sacrificial gate patterns 110.
Referring to FIGS. 7A to 7D, the gate spacer layer 120 may be anisotropically etched to form gate spacers GS, and here, the gate spacers GS may be formed to cover opposite side surfaces of each of the sacrificial gate patterns 110. Moreover, the gate spacer layer 120 on the first and second active fins AF1 and AF2 also may be anisotropically etched to form other gate spacers GS, and not drawn here, the other gate spacers GS may be formed to cover opposite side surfaces, which may be exposed by the sacrificial gate patterns 110, of each of the first and second active fins AF1 and AF2.
An etching process may be performed to remove upper portions of the first and second active patterns AP1 and AP2, which provided on each of the regions R1 and R2 and are positioned at opposite sides of each of the sacrificial gate patterns 110. The other gate spacers GS on the first and second active fins AF1 and AF2 also may be removed during the etching process. The etching process may include forming a mask pattern on the substrate 100 and etching the upper portions of the first and second active patterns AP1 and AP2 using the mask pattern as an etch mask. The etching process may be performed in a dry and/or wet etching manner.
In some example embodiments, the etching process may be performed in such a way that the second active patterns AP2 are over-etched to have top surfaces lower than those of the first active patterns AP1.
As a result, each of the first active patterns AP1 may have a first top surface TSa1, which is etched during the etching process, and a second top surface TSa2, which is positioned below the sacrificial gate patterns 110 and is not etched during the etching process. That is, the second top surface TSa2 may be higher than the first top surface TSa1. Each of the second active patterns AP2 may have a first top surface TSb1, which is etched during the etching process, and a second top surface TSb2, which is positioned below the sacrificial gate patterns 110 and is not etched during the etching process. That is, the second top surface TSb2 may be higher than the first top surface TSb1. In some example embodiments, the first top surfaces TSa1 and TSb1 of the first and second active patterns AP1 and AP2 may have a downward rounded profile.
Because, compared with the first active patterns AP1, the second active patterns AP2 are more deeply etched, the first top surface TSb1 of each of the second active patterns AP2 may be lower than the first top surface TSa1 of each of the first active patterns AP1. Furthermore, a width W2 of the first top surface TSb1 of the second active pattern AP2 may be greater than a width W1 of the first top surface TSa1 of the first active pattern AP1. However, the second top surface TSa2 of each of the first active patterns AP1 may be positioned at substantially the same level as the second top surface TSb2 of each of the second active patterns AP2.
When the upper portions of the first and second active patterns AP1 and AP2 are removed from the first region R1, upper portions of the second portions P2 of the second device isolation pattern ST2 may be recessed. As a result, recess regions RS1, RS2, and RS3 may be formed on the second portions P2 of the second device isolation pattern ST2.
For example, first recess regions RS1 may be formed between the first active patterns AP1, second recess regions RS2 may be formed between the second active patterns AP2, and third recess regions RS3 may be formed between adjacent pairs of the first and second active patterns AP1 and AP2. The first to third recess regions RS1-RS3 may be formed to have a recess depth that is dependent on a pattern density (i.e., a space between the first and second active patterns AP1 and AP2).
Upper portions of the second portions P2 of the second device isolation pattern ST2 on the second region R2 may also be recessed. As a result, recess regions RS4 and RS5 may be formed on the second portions P2, respectively, of the second device isolation pattern ST2.
For example, fourth recess regions RS4 may be formed between the second active patterns AP2, and fifth recess regions RS5 may be formed between the first active patterns AP1. The fourth and fifth recess regions RS4 and RS5 may be formed to have substantially the same recess depth.
Thereafter, first and second source/drain regions SD1 and SD2 may be formed at opposite sides of each of the sacrificial gate patterns 110. The first source/drain regions SD1 may be formed on the first top surfaces TSa1 of the first active patterns AP1, respectively, and the second source/drain regions SD2 may be formed on the first top surfaces TSb1 of the second active patterns AP2, respectively. In other words, the first source/drain regions SD1 may be formed by a selective epitaxial growth process using the first top surfaces TSa1 of the first active patterns AP1 as a seed layer. The second source/drain regions SD2 may be formed by a selective epitaxial growth process using the first top surfaces TSb1 of the second active patterns AP2 as a seed layer.
The first source/drain regions SD1 may be formed to exert a tensile strain to first channel regions CH1 of the first active fins AF1 interposed therebetween. For example, in the case where the substrate 100 is a silicon substrate, the first source/drain regions SD1 may be formed of a Si or SiC layer. The first source/drain regions SD1 may be doped with n-type impurities after or during the epitaxial growth process.
By contrast, the second source/drain regions SD2 may be formed to exert a compressive strain to the second channel regions CH2 of the second active fins AF2 interposed therebetween. For example, in the case where the substrate 100 is a silicon substrate, the second source/drain regions SD2 may be formed of a SiGe layer. The second source/drain regions SD2 may be doped with p-type impurities after or during the epitaxial growth process.
Because the first and second source/drain regions SD1 and SD2 are formed of different materials that are grown through the epitaxial growth process, the first and second source/drain regions SD1 and SD2 may be different from each other in terms of their shape or size. For example, the maximum width W3 of the first source/drain regions SD1 may be different from the maximum width W4 in the second direction D2 of the second source/drain regions SD2. In addition, the second source/drain regions SD2 may be grown to have high thickness uniformity, compared with the first source/drain regions SD1. For example, when viewed in a section taken in the first direction D1, the second source/drain regions SD2 may have sharp top portions. By contrast, the first source/drain regions SD1 may have flat or truncated top portions.
The second source/drain regions SD2 on the first region R1 may be formed to be spaced apart from each other in the first direction D1. By contrast, the second source/drain regions SD2 on the second region R2 may be merged to each other during the epitaxial growth process. Accordingly, the second source/drain regions SD2 on the second region R2 may constitute a single source/drain region extending in the first direction D1. Because the second source/drain regions SD2 on the second region R2 are merged to each other, first air gaps AG1 may be formed below the second source/drain regions SD2 on the second region R2. The first air gaps AG1 may be regions that are directly enclosed by the second source/drain regions SD2 and the second device isolation patterns ST2.
Referring to FIGS. 8 and 9A to 9D, an etch stop layer 125 may be conformally formed on each of the regions R1 and R2. The etch stop layer 125 may be formed to cover the first and second device isolation patterns ST1 and ST2, the first and second source/drain regions SD1 and SD2, and the gate spacers GS. In addition, the etch stop layer 125 may be formed to cover inner surfaces of the first, second, third, and fifth recess regions RS1-RS3 and RS5 of the second device isolation patterns ST2. The etch stop layer 125 may be formed of a material having an etch selectivity with respect to a first interlayered insulating layer 130 to be described below. As an example. the etch stop layer 125 may be formed of or include a silicon nitride layer or a silicon oxynitride layer. The etch stop layer 125 may be formed using a CVD or ALD process.
A first interlayered insulating layer 130 may be formed on the substrate 100 provided with the etch stop layer 125. As an example, the first interlayered insulating layer 130 may be formed of or include a silicon oxide layer. Thereafter, a planarization process may be performed on the first interlayered insulating layer 130 to expose top surfaces of the sacrificial gate patterns 110. The planarization process may include an etch-back process and/or a chemical mechanical polishing (CMP) process. In example embodiments, the planarization process may be performed to remove not only a portion of the etch stop layer 125 but also the gate mask patterns 115, which are provided on the sacrificial gate patterns 110.
The sacrificial gate patterns 110 may be removed to form gap regions 140, and here, the gap regions 140 may be formed to expose the first and second channel regions CH1 and CH2 of the first and second active fins AF1 and AF2 between the gate spacers GS. In some example embodiments, the gap regions 140 may be formed by an etching process of selectively removing the sacrificial gate patterns 110.
An oxidation process using plasma may be performed on the first and second channel regions CH1 and CH2, and as a result, interface layers IL may be grown from the first and second channel regions CH1 and CH2, respectively. In other words, the interface layer IL may be formed by thermally or chemically oxidizing the exposed surfaces of the first and second channel regions CH1 and CH2. Plasma generated from at least one of oxygen (O2), ozone (O3), or steam (H2O ) may be used in the oxidation process. The interface layers IL may be formed of or include a silicon oxide layer.
A gate insulating pattern GI and a gate electrode GE may be sequentially formed to fill each of the gap regions 140. In detail, a gate insulating layer may be formed to partially fill the gap regions 140. The gate dielectric layer may be formed to cover the top surfaces of the first and second active fins AF1 and AF2. As an example, the gate dielectric layer may be formed of at least one of a silicon oxide layer, a silicon oxynitride layer, or high-k dielectric layers, whose dielectric constants are higher than that of the silicon oxide layer. A gate conductive layer may be formed on the gate dielectric layer to fill the remaining portions of the gap regions 140. As an example, the gate conductive layer may be formed of or include at least one of doped semiconductor, conductive metal nitrides, or metals. The gate dielectric layer and the gate conductive layer may be planarized, and as a result, the gate insulating pattern GI and the gate electrode GE may be formed in each of the gap regions 140.
The gate insulating patterns GI and gate electrodes GE in the gap regions 140 may be partially recessed, and capping patterns GP may be formed on the gate electrodes GE, respectively. As an example, the capping patterns GP may be formed of or include at least one of a silicon oxide layer, a silicon nitride layer, or a silicon oxynitride layer.
Referring back to FIGS. 1 and 2A to 2D, a second interlayered insulating layer 150 may be formed on the first interlayered insulating layer 130. As an example, the second interlayered insulating layer 150 may be formed using a silicon oxide layer.
Source/drain contacts CA may be formed at opposite sides of each of the gate electrodes GE. For example, contact holes may be formed to penetrate the second interlayered insulating layer 150, the first interlayered insulating layer 130, and the etch stop layer 125 and to expose the first and second source/drain regions SD1 and SD2. In example embodiments, upper portions of the first and second source/drain regions SD1 and SD2 may be partially etched, when the contact holes are formed. Thereafter, a first conductive pattern 160 and a second conductive pattern 165 may be sequentially formed to fill each of the contact holes. The first conductive pattern 160 may be a barrier conductive layer and may be formed of or include at least one of a titanium nitride layer, a tungsten nitride layer, or a tantalum nitride layer. The second conductive pattern 165 may be a metal layer and may be formed of or include at least one of tungsten, titanium, or tantalum.
Although not shown, interconnection lines may be formed on the second interlayered insulating layer 150 and may be coupled to the source/drain contacts CA, respectively. The interconnection lines may be formed of or include at least one of conductive materials.
FIG. 10 is a sectional view that is taken along line D-D′ of FIG. 1 to illustrate a semiconductor device according to some example embodiments of the inventive concepts. In the following description, an element previously described with reference to FIGS. 1 and 2A to 2D may be identified by a similar or identical reference number without repeating an overlapping description thereof, for the sake of brevity.
Referring to FIGS. 1 and 10 , the first source/drain regions SD1 on the first region R1 may be merged to form a single source/drain region extending in the first direction D1. Unlike that shown in FIG. 2C, the first source/drain regions SD1 may be merged to each other, but they may be grown in a relatively irregular manner.
The first air gaps AG1 may be formed below the first source/drain regions SD1 and in the first recess regions RS1, respectively. The first air gaps AG1 may be directly enclosed by the first source/drain regions SD1 and the second device isolation patterns ST2. In other words, the first air gaps AG1 may not be enclosed by the etch stop layer 125. Because the first air gaps AG1 are provided below the first source/drain regions SD1, reducing parasitic capacitance between the first active patterns AP1 may be possible.
On the first region R1, the etch stop layer 125 may be formed to fill gap regions between the second source/drain regions SD2 adjacent to each other. For example, the etch stop layer 125 may be conformally formed on the second source/drain regions SD2 in such a way that the gap regions between the second source/drain regions SD2 are sealed by the etch stop layer 125. Accordingly, second air gaps AG2 may be formed in the second recess regions RS2, respectively.
Unlike the first air gaps AG1, the second air gaps AG2 may be covered with the etch stop layer 125. Because the second recess regions RS2 are formed to have bottom surfaces lower than those of the first recess regions RS1, the second air gaps AG2 may be larger than the first air gaps AG1. Because the second air gaps AG2 are provided below the second source/drain regions SD2, reducing parasitic capacitance between the second active patterns AP2 may be possible.
FIG. 11 is a sectional view that is taken along line D-D′ of FIG. 1 to illustrate a semiconductor device according to some example embodiments of the inventive concepts. In the following description, an element previously described with reference to FIGS. 1 and 2A to 2D may be identified by a similar or identical reference number without repeating an overlapping description thereof, for the sake of brevity.
Referring to FIGS. 1 and 11 , the first source/drain regions SD1 on the first region R1 may be merged to form a single source/drain region extending in the first direction D1. The first air gaps AG1 may be formed below the first source/drain regions SD1 and in the first recess regions RS1, respectively.
First residue patterns 123 may be provided adjacent to interfaces between the second source/drain regions SD2 and the second active patterns AP2. The first residue patterns 123 may be formed between an adjacent pair of the second active patterns AP2. By contrast, the first residue patterns 123 may not be formed between an adjacent pair of the first active pattern AP1 and the second active pattern AP2.
As previously described with reference to FIGS. 6C and 7C, the gate spacer layer 120 covering the first and second active patterns AP1 and AP2 may be removed when the upper portions of the first and second active patterns AP1 and AP2 are recessed. However, in example embodiments, a portion of the gate spacer layer 120 may not be removed from the gap regions between the second active patterns AP2, thereby forming the first residue pattern 123. Owing to the presence of the first residue patterns 123, upper portions of the second active patterns AP2 may be incompletely recessed, and thus, the first top surfaces TSb1 of the second active patterns AP2 may be an inclined or asymmetric profile, unlike the first top surfaces TSa1 of the first active patterns AP1.
Owing to the presence of the first residue patterns 123 and/or the inclined or asymmetric profile of the first top surfaces TSb1, the second source/drain regions SD2 may be at an angle to the top surface of the substrate 100. For example, the second source/drain region SD2 may bend toward the first source/drain region SD1 adjacent thereto.
FIG. 12 is a sectional view that is taken along line D-D′ of FIG. 1 to illustrate a semiconductor device according to some example embodiments of the inventive concepts. In the following description, an element previously described with reference to FIGS. 1 and 2A to 2D may be identified by a similar or identical reference number without repeating an overlapping description thereof, for the sake of brevity.
Referring to FIGS. 1 and 12 , second residue patterns 124 may be formed to be adjacent to interfaces between the first source/drain regions SD1 and the first active patterns AP1 and on the first region R1. For example, the second residue patterns 124 may be formed on opposite side surfaces of a lower portion of at least one of the first source/drain regions SD1. When measured in the first direction D1, the at least one of the first source/drain regions SD1 may have an increasing width from the second residue patterns 124.
As previously described with reference to FIGS. 6C and 7C, the gate spacer layer 120 covering the first and second active patterns AP1 and AP2 may be removed when the upper portions of the first and second active patterns AP1 and AP2 are recessed. However, in example embodiments, a portion of the gate spacer layer 120 may not be removed from opposite sides of each of the first active patterns AP1, thereby forming the second residue patterns 124.
According to some example embodiments of the inventive concepts, a semiconductor device may be configured to include NMOS and PMOS-FETs having source/drain structures different from each other. This configuration may allow improvement to electric characteristics of NMOS and PMOSFETs independently.
While example embodiments of the inventive concepts have been particularly shown and described, it will be understood by one of ordinary skill in the art that variations in form and detail may be made therein without departing from the spirit and scope of the attached claims.

Claims (18)

What is claimed is:
1. A semiconductor device, comprising:
a substrate including an NMOSFET region and a PMOSFET region;
a plurality of first active fins on the NMOSFET region;
a plurality of second active fins on the PMOSFET region;
a first device isolation layer between the NMOSFET region and the PMOSFET region;
a second device isolation layer between a pair of the first active fins;
a third device isolation layer between a pair of the second active fins;
a gate electrode on the first and second active fins, the gate electrode extending in a first direction, the first and second active fins extending in a second direction crossing the first direction;
a first source/drain pattern on the first active fins; and
a second source/drain pattern on the second active fins, wherein the first and second source/drain patterns are adjacent to a side of the gate electrode,
a lowermost point of the first source/drain pattern is at a first level,
a lowermost point of the second source/drain pattern is at a second level,
the first level is higher than the second level,
a thickness of the first device isolation layer is greater than a thickness of the second device isolation layer, and
wherein the thickness of the first device isolation layer is greater than a thickness of the third device isolation layer, and
wherein a lowermost level of a top surface of the second device isolation layer is higher than a lowermost level of a top surface of the first device isolation layer,
wherein an interface is present between the second active fins and the second source/drain patterns, the second active fins being a first material and the second source/drain patterns being a second material, different from the first material, the interface being spaced apart from a top surface of the substrate, and
wherein a first bottom surface of the gate electrode that is between the first active fins and a second bottom surface of the gate electrode that is between the second active fins are located at substantially the same vertical level as each other.
2. The semiconductor device of claim 1, wherein a pitch between the first active fins is substantially the same as a pitch between the second active fins.
3. The semiconductor device of claim 1, wherein the lowermost point of the first source/drain pattern contacts an uppermost sidewall of one of the first active fins,
wherein the lowermost point of the second source/drain pattern contacts an uppermost sidewall of one of the second active fins,
wherein the one of the first active fins has a first width in the first direction at the first level,
wherein the one of the second active fins has a second width in the first direction at the second level, and
wherein the second width is greater than the first width.
4. The semiconductor device of claim 1, wherein the thickness of the second device isolation layer is substantially the same with the thickness of the third device isolation layer.
5. The semiconductor device of claim 1, wherein a width of the first device isolation layer is greater than a width of the second device isolation layer, and
wherein the width of the first device isolation layer is greater than a width of the third device isolation layer.
6. The semiconductor device of claim 1, further comprising an air gap under the second source/drain pattern and between a pair of the second active fins.
7. The semiconductor device of claim 1, further comprising an etch stop layer covering an outer surface of the first source/drain pattern and an outer surface of the second source/drain pattern.
8. The semiconductor device of claim 1, wherein the first source/drain pattern is a first epitaxial pattern formed by a first selective epitaxial growth process using top surfaces of the first active fins as a first seed layer, and
wherein the second source/drain pattern is a second epitaxial pattern formed by a second selective epitaxial growth process using top surfaces of the second active fins as a second seed layer.
9. The semiconductor device of claim 1, wherein the first source/drain pattern has a different shape from the second source/drain pattern.
10. The semiconductor device of claim 1, wherein top surfaces of the second active fins have a rounded profile.
11. A semiconductor device, comprising:
a substrate including a first region and a second region;
a first active fin on the first region of the substrate;
a second active fin on the first region of the substrate;
a third active fin on the second region of the substrate, the second active fin being between the first active fin and the third active fin;
a fourth active fin on the second region of the substrate, the third active fin being between the second active fin and the fourth active fin;
a gate electrode on the first, second, third and fourth active fins, the gate electrode extending in a first direction, the first to fourth active fins extending in a second direction crossing the first direction;
a first source/drain pattern on each of the first and second active fins; and
a second source/drain pattern on each of the third and fourth active fins,
wherein the first source/drain pattern has a different conductivity from that of the second source/drain pattern,
a lowermost bottom of a first recess between the first and second active fins is higher than a lowermost bottom of a second recess between the third and fourth active fins and a lowermost bottom of a third recess between the second and third active fins is lower than the lowermost bottom of the second recess, the lowermost bottom of the first recess being on top of a first isolation region, the lowermost bottom of the second recess being on top of a second isolation region, and the lowermost bottom of the third recess being on top of a third isolation region, and
wherein an interface is present between the third active fin and the second source/drain pattern, the third active fin being a different material from the second source/drain pattern, the interface being spaced apart from a top surface of the substrate.
12. The semiconductor device of claim 11, wherein the first source/drain pattern has a lowermost point contacting an uppermost sidewall of each of the first and second active fins,
wherein the second source/drain pattern has a lowermost point contacting an uppermost sidewall of each of the third and fourth active fins, and
wherein the lowermost point of the first source/drain pattern is higher than the lowermost point of the second source/drain pattern.
13. The semiconductor device of claim 11, wherein a distance between a top portion of the first active fin and a top portion of the second active fin is different from a distance between the top portion of the second active fin and a top portion of the third active fin.
14. The semiconductor device of claim 11, wherein the first region includes an NMOSFET region, and the second region includes a PMOSFET region.
15. A semiconductor device, comprising:
first and second active patterns protruding upward from a substrate;
a gate electrode crossing the first and second active patterns, the gate electrode extending in a first direction;
a device isolation layer on the substrate and covering lower sidewalls of the first and second active patterns;
a first source/drain region on the first active pattern and on at least one side of the gate electrode, a lowermost point of the first source/drain region contacting an uppermost sidewall of the first active pattern;
a second source/drain region on the second active pattern and on at least one side of the gate electrode, the second source/drain region having a conductivity type different from that of the first source/drain region, a lowermost point of the second source/drain region contacting an uppermost sidewall of the second active pattern;
an etch stop layer covering the first and second source/drain regions and the device isolation layer; and
a first air gap between the first source/drain region and the device isolation layer,
wherein the lowermost point of the first source/drain region is positioned at a first level,
wherein the lowermost point of the second source/drain region is positioned at a second level,
wherein the first level is higher than the second level,
wherein the first active pattern has a first width in the first direction at the first level,
wherein the second active pattern has a second width in the first direction at the second level,
wherein the second width is greater than the first width, and
wherein the first air gap is separated from the etch stop layer.
16. The device of claim 15, further comprising a second air gap between the second source/drain region and the device isolation layer,
wherein the second air gap is deeper than the first air gap.
17. The device of claim 16, wherein
the first active pattern and the first source/drain region constitute an NMOSFET; and
the second active pattern and the second source/drain region constitute a PMOSFET.
18. The device of claim 17, wherein a maximum width of the first source/drain region in the first direction is a third width and a maximum width of the second source/drain region in the first direction is a fourth width different from the third width.
US17/155,615 2015-10-26 2021-01-22 Semiconductor device and method of fabricating the same Active USRE49963E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/155,615 USRE49963E1 (en) 2015-10-26 2021-01-22 Semiconductor device and method of fabricating the same

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
KR1020150148961A KR102427326B1 (en) 2015-10-26 2015-10-26 Semiconductor device and method for manufacturing the same
KR10-2015-0148961 2015-10-26
US15/288,080 US10090413B2 (en) 2015-10-26 2016-10-07 Semiconductor device and method of fabricating the same
US16/111,854 US10411131B2 (en) 2015-10-26 2018-08-24 Semiconductor device and method of fabricating the same
US16/450,193 US10784376B2 (en) 2015-10-26 2019-06-24 Semiconductor device and method of fabricating the same
US17/155,615 USRE49963E1 (en) 2015-10-26 2021-01-22 Semiconductor device and method of fabricating the same

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US16/111,854 Continuation US10411131B2 (en) 2015-10-26 2018-08-24 Semiconductor device and method of fabricating the same
US16/450,193 Reissue US10784376B2 (en) 2015-10-26 2019-06-24 Semiconductor device and method of fabricating the same

Publications (1)

Publication Number Publication Date
USRE49963E1 true USRE49963E1 (en) 2024-05-07

Family

ID=58559075

Family Applications (4)

Application Number Title Priority Date Filing Date
US15/288,080 Active US10090413B2 (en) 2015-10-26 2016-10-07 Semiconductor device and method of fabricating the same
US16/111,854 Active US10411131B2 (en) 2015-10-26 2018-08-24 Semiconductor device and method of fabricating the same
US16/450,193 Ceased US10784376B2 (en) 2015-10-26 2019-06-24 Semiconductor device and method of fabricating the same
US17/155,615 Active USRE49963E1 (en) 2015-10-26 2021-01-22 Semiconductor device and method of fabricating the same

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US15/288,080 Active US10090413B2 (en) 2015-10-26 2016-10-07 Semiconductor device and method of fabricating the same
US16/111,854 Active US10411131B2 (en) 2015-10-26 2018-08-24 Semiconductor device and method of fabricating the same
US16/450,193 Ceased US10784376B2 (en) 2015-10-26 2019-06-24 Semiconductor device and method of fabricating the same

Country Status (3)

Country Link
US (4) US10090413B2 (en)
KR (2) KR102427326B1 (en)
CN (1) CN107068671B (en)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10490459B2 (en) 2017-08-25 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for source/drain contact formation in semiconductor devices
KR102427326B1 (en) * 2015-10-26 2022-08-01 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US10497701B2 (en) * 2015-12-16 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10535652B2 (en) * 2016-10-27 2020-01-14 International Business Machines Corporation Fabrication of vertical fin field effect transistors having top air spacers and a self-aligned top junction
US10515969B2 (en) 2016-11-17 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN108122976B (en) * 2016-11-29 2020-11-03 中芯国际集成电路制造(上海)有限公司 Semiconductor structure, forming method thereof and SRAM
KR102568562B1 (en) * 2017-01-24 2023-08-18 삼성전자주식회사 Semiconductor device
US10242918B2 (en) * 2017-02-08 2019-03-26 International Business Machines Corporation Shallow trench isolation structures and contact patterning
KR102519551B1 (en) * 2017-08-03 2023-04-10 삼성전자주식회사 Semiconductor device
US10541319B2 (en) * 2017-08-30 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin structures having varied fin heights for semiconductor device
US10269803B2 (en) 2017-08-31 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid scheme for improved performance for P-type and N-type FinFETs
KR102516266B1 (en) * 2017-11-10 2023-03-31 삼성전자주식회사 Semiconductor device
US10497628B2 (en) * 2017-11-22 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming epitaxial structures in fin-like field effect transistors
US10714475B2 (en) 2017-11-27 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102390096B1 (en) 2018-02-28 2022-04-26 삼성전자주식회사 Semiconductor device
US10734478B2 (en) 2018-03-19 2020-08-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR102541483B1 (en) * 2018-05-18 2023-06-09 삼성전자주식회사 Semiconductor device and manufacturing method thereof
KR102472070B1 (en) 2018-06-12 2022-11-30 삼성전자주식회사 Semiconductor device
KR102468784B1 (en) 2018-06-29 2022-11-22 삼성전자주식회사 Semiconductor device
KR102472571B1 (en) 2018-07-20 2022-12-01 삼성전자주식회사 Semiconductor device
KR102618493B1 (en) * 2018-08-03 2023-12-27 삼성전자주식회사 Semiconductor devices
US11362001B2 (en) 2018-08-14 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing nanostructures with various widths
US10720503B2 (en) 2018-08-14 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device
US10840342B2 (en) * 2018-08-14 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming source/drain contacts in field-effect transistors
KR102576212B1 (en) * 2018-09-21 2023-09-07 삼성전자주식회사 Semiconductor devices
KR102612592B1 (en) * 2018-10-15 2023-12-12 삼성전자주식회사 Semiconductor device
US10957604B2 (en) 2018-10-31 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR102491555B1 (en) * 2018-11-30 2023-01-20 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR20200140976A (en) * 2019-06-07 2020-12-17 삼성전자주식회사 Semiconductor device
JP2021044399A (en) * 2019-09-11 2021-03-18 キオクシア株式会社 Semiconductor device and manufacturing method for the same
US20210125875A1 (en) * 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US20210143153A1 (en) * 2019-11-13 2021-05-13 Qualcomm Incorporated Fin field-effect transistor (fet) (finfet) circuits employing replacement n-type fet (nfet) source/drain (s/d) to avoid or prevent short defects and related methods of fabrication
US11791336B2 (en) * 2020-02-19 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Bent fin devices
US11295991B2 (en) * 2020-02-24 2022-04-05 Qualcomm Incorporated Complementary cell circuits employing isolation structures for defect reduction and related methods of fabrication
US11908910B2 (en) * 2020-10-27 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having embedded conductive line and method of fabricating thereof

Citations (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050114951A (en) 2004-06-02 2005-12-07 삼성전자주식회사 A semiconductor device and method for fabricating the same
US20060084247A1 (en) * 2004-10-20 2006-04-20 Kaiping Liu Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US20080128797A1 (en) * 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US20080212366A1 (en) * 2007-03-01 2008-09-04 Kabushiki Kaisha Toshiba Semiconductor memory device
US20080265338A1 (en) * 2007-04-27 2008-10-30 Chen-Hua Yu Semiconductor Device Having Multiple Fin Heights
CN101533843A (en) 2008-03-12 2009-09-16 索尼株式会社 Semiconductor device
US20090309162A1 (en) * 2008-06-17 2009-12-17 Infineon Technologies Ag. Semiconductor device having different fin widths
US20090315112A1 (en) * 2008-06-20 2009-12-24 Jam-Wem Lee Forming ESD Diodes and BJTs Using FinFET Compatible Processes
US20110227162A1 (en) 2010-03-17 2011-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making a finfet, and finfet formed by the method
US20120115284A1 (en) * 2010-11-10 2012-05-10 Chin-Cheng Chien Method for manufacturing multi-gate transistor device
KR101153158B1 (en) 2010-03-01 2012-07-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Dual epitaxial process for a finfet device
US8501607B1 (en) * 2012-11-07 2013-08-06 Globalfoundries Inc. FinFET alignment structures using a double trench flow
US20130221491A1 (en) * 2012-02-23 2013-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors having controlled fin height and method of making
CN103296088A (en) 2012-02-27 2013-09-11 三星电子株式会社 Field effect transistor and method of fabricating the same
US20130244388A1 (en) 2012-03-15 2013-09-19 Globalfoundries Inc. Methods for fabricating integrated circuits with reduced electrical parameter variation
US20130270652A1 (en) 2012-04-13 2013-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US20130295756A1 (en) 2012-05-07 2013-11-07 Globalfoundries Inc. Methods of forming contacts for semiconductor devices using a local interconnect processing scheme
US8679925B2 (en) 2012-01-03 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US20140167110A1 (en) 2011-07-26 2014-06-19 Global Foundries Inc. Partial poly amorphization for channeling prevention
KR20140088419A (en) 2013-01-02 2014-07-10 삼성전자주식회사 Field effect transistor
US20140227857A1 (en) * 2013-02-08 2014-08-14 Samsung Electronics Co., Ltd. Methods of Fabricating Semiconductor Devices Including Fin-Shaped Active Regions
US20140312427A1 (en) 2013-04-23 2014-10-23 Shigenobu Maeda Semiconductor Devices Having Fin Shaped Channels
CN104241287A (en) 2013-06-21 2014-12-24 三星电子株式会社 Semiconductor device
US8936986B2 (en) 2013-03-12 2015-01-20 Globalfoundries Inc. Methods of forming finfet devices with a shared gate structure
KR20150033417A (en) 2013-09-24 2015-04-01 삼성전자주식회사 Semiconductor device and method of forming the same
US20150091059A1 (en) * 2013-09-30 2015-04-02 United Microelectronics Corp. PROCESS FOR FABRICATING FIN-TYPE FIELD EFFECT TRANSISTOR (FinFET) STRUCTURE AND PRODUCT THEREOF
US20150140756A1 (en) 2013-11-20 2015-05-21 Globalfoundries Inc. Fabrication methods facilitating integration of different device architectures
US9053944B2 (en) 2013-04-23 2015-06-09 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and manufacturing method thereof
US20150171085A1 (en) * 2013-12-18 2015-06-18 Semiconductor Manufacturing International (Shanghai) Corporation Fin field effect transistor and method for forming the same
US9064699B2 (en) 2013-09-30 2015-06-23 Samsung Electronics Co., Ltd. Methods of forming semiconductor patterns including reduced dislocation defects and devices formed using such methods
US20150214345A1 (en) 2014-01-27 2015-07-30 Globalfoundries Inc. Dopant diffusion barrier to form isolated source/drains in a semiconductor device
US20150221654A1 (en) 2014-02-03 2015-08-06 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US9105664B2 (en) 2010-05-14 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for enhancing channel strain
US20150228502A1 (en) 2014-02-13 2015-08-13 Imec Vzw Contact Formation in Ge-Containing Semiconductor Devices
US9112015B2 (en) 2012-07-30 2015-08-18 Samsung Electronics Co., Ltd. Semiconductor devices
US20150236131A1 (en) * 2014-02-14 2015-08-20 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (finfet) device and method for forming the same
US9142633B2 (en) 2012-12-13 2015-09-22 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with silicide contacts on non-planar structures
US20150287711A1 (en) 2014-04-04 2015-10-08 Samsung Electronics Co., Ltd. Semiconductor device
US9275905B1 (en) * 2015-01-28 2016-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure with anti-punch through structure
US9312180B2 (en) * 2014-07-23 2016-04-12 United Microelectronics Corp. Method for forming semiconductor structure
US9385191B2 (en) * 2014-11-20 2016-07-05 United Microelectronics Corporation FINFET structure
US20160293600A1 (en) 2015-04-02 2016-10-06 Jung-Gun You Semiconductor device
US20160315081A1 (en) 2015-04-21 2016-10-27 Miseon PARK Semiconductor device having fin active regions and method of fabricating the same
KR20160139816A (en) 2015-05-28 2016-12-07 삼성전자주식회사 Integrated circuit device
US10090413B2 (en) * 2015-10-26 2018-10-02 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6225511B2 (en) * 2013-07-02 2017-11-08 セイコーエプソン株式会社 Display device and electronic device

Patent Citations (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050114951A (en) 2004-06-02 2005-12-07 삼성전자주식회사 A semiconductor device and method for fabricating the same
US20060084247A1 (en) * 2004-10-20 2006-04-20 Kaiping Liu Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US20080128797A1 (en) * 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US20080212366A1 (en) * 2007-03-01 2008-09-04 Kabushiki Kaisha Toshiba Semiconductor memory device
US20080265338A1 (en) * 2007-04-27 2008-10-30 Chen-Hua Yu Semiconductor Device Having Multiple Fin Heights
US7932567B2 (en) 2008-03-12 2011-04-26 Sony Corporation Semiconductor device
CN101533843A (en) 2008-03-12 2009-09-16 索尼株式会社 Semiconductor device
US20090309162A1 (en) * 2008-06-17 2009-12-17 Infineon Technologies Ag. Semiconductor device having different fin widths
US20090315112A1 (en) * 2008-06-20 2009-12-24 Jam-Wem Lee Forming ESD Diodes and BJTs Using FinFET Compatible Processes
US8937353B2 (en) 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
KR101153158B1 (en) 2010-03-01 2012-07-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Dual epitaxial process for a finfet device
US20110227162A1 (en) 2010-03-17 2011-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making a finfet, and finfet formed by the method
US9105664B2 (en) 2010-05-14 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method for enhancing channel strain
US20120115284A1 (en) * 2010-11-10 2012-05-10 Chin-Cheng Chien Method for manufacturing multi-gate transistor device
US20140167110A1 (en) 2011-07-26 2014-06-19 Global Foundries Inc. Partial poly amorphization for channeling prevention
US8679925B2 (en) 2012-01-03 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US20130221491A1 (en) * 2012-02-23 2013-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors having controlled fin height and method of making
CN103296088A (en) 2012-02-27 2013-09-11 三星电子株式会社 Field effect transistor and method of fabricating the same
US9087723B2 (en) 2012-02-27 2015-07-21 Samsung Electronics Co., Ltd. Field effect transistor and method of fabricating the same
US20130244388A1 (en) 2012-03-15 2013-09-19 Globalfoundries Inc. Methods for fabricating integrated circuits with reduced electrical parameter variation
US20130270652A1 (en) 2012-04-13 2013-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US20130295756A1 (en) 2012-05-07 2013-11-07 Globalfoundries Inc. Methods of forming contacts for semiconductor devices using a local interconnect processing scheme
US9112015B2 (en) 2012-07-30 2015-08-18 Samsung Electronics Co., Ltd. Semiconductor devices
US8501607B1 (en) * 2012-11-07 2013-08-06 Globalfoundries Inc. FinFET alignment structures using a double trench flow
US9142633B2 (en) 2012-12-13 2015-09-22 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits with silicide contacts on non-planar structures
KR20140088419A (en) 2013-01-02 2014-07-10 삼성전자주식회사 Field effect transistor
US9269813B2 (en) 2013-01-02 2016-02-23 Samsung Electronics Co., Ltd. Field effect transistor
US20140227857A1 (en) * 2013-02-08 2014-08-14 Samsung Electronics Co., Ltd. Methods of Fabricating Semiconductor Devices Including Fin-Shaped Active Regions
US8936986B2 (en) 2013-03-12 2015-01-20 Globalfoundries Inc. Methods of forming finfet devices with a shared gate structure
US20140312427A1 (en) 2013-04-23 2014-10-23 Shigenobu Maeda Semiconductor Devices Having Fin Shaped Channels
US9053944B2 (en) 2013-04-23 2015-06-09 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and manufacturing method thereof
CN104241287A (en) 2013-06-21 2014-12-24 三星电子株式会社 Semiconductor device
US9627376B2 (en) 2013-06-21 2017-04-18 Samsung Electronics Co., Ltd. Semiconductor device with active fins separated by shallow and deep trench isolations and method for fabricating the same
KR20150033417A (en) 2013-09-24 2015-04-01 삼성전자주식회사 Semiconductor device and method of forming the same
US9490263B2 (en) 2013-09-24 2016-11-08 Samsung Electronics Co., Ltd. Semiconductor device and method of forming the same
US9166024B2 (en) 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers
US20150091059A1 (en) * 2013-09-30 2015-04-02 United Microelectronics Corp. PROCESS FOR FABRICATING FIN-TYPE FIELD EFFECT TRANSISTOR (FinFET) STRUCTURE AND PRODUCT THEREOF
US9064699B2 (en) 2013-09-30 2015-06-23 Samsung Electronics Co., Ltd. Methods of forming semiconductor patterns including reduced dislocation defects and devices formed using such methods
US20150140756A1 (en) 2013-11-20 2015-05-21 Globalfoundries Inc. Fabrication methods facilitating integration of different device architectures
US20150171085A1 (en) * 2013-12-18 2015-06-18 Semiconductor Manufacturing International (Shanghai) Corporation Fin field effect transistor and method for forming the same
US20150214345A1 (en) 2014-01-27 2015-07-30 Globalfoundries Inc. Dopant diffusion barrier to form isolated source/drains in a semiconductor device
US20150221654A1 (en) 2014-02-03 2015-08-06 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US20150228502A1 (en) 2014-02-13 2015-08-13 Imec Vzw Contact Formation in Ge-Containing Semiconductor Devices
US20150236131A1 (en) * 2014-02-14 2015-08-20 Taiwan Semiconductor Manufacturing Co., Ltd Fin field effect transistor (finfet) device and method for forming the same
US20150287711A1 (en) 2014-04-04 2015-10-08 Samsung Electronics Co., Ltd. Semiconductor device
US9312180B2 (en) * 2014-07-23 2016-04-12 United Microelectronics Corp. Method for forming semiconductor structure
US9385191B2 (en) * 2014-11-20 2016-07-05 United Microelectronics Corporation FINFET structure
US9275905B1 (en) * 2015-01-28 2016-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure with anti-punch through structure
KR20160118523A (en) 2015-04-02 2016-10-12 삼성전자주식회사 Semiconductor device
US20160293600A1 (en) 2015-04-02 2016-10-06 Jung-Gun You Semiconductor device
US20160315081A1 (en) 2015-04-21 2016-10-27 Miseon PARK Semiconductor device having fin active regions and method of fabricating the same
KR20160139816A (en) 2015-05-28 2016-12-07 삼성전자주식회사 Integrated circuit device
US10103142B2 (en) 2015-05-28 2018-10-16 Samsung Electronics Co., Ltd. Integrated circuit (IC) devices including stress inducing layers
US10090413B2 (en) * 2015-10-26 2018-10-02 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US10411131B2 (en) * 2015-10-26 2019-09-10 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same

Also Published As

Publication number Publication date
KR102427326B1 (en) 2022-08-01
KR102573764B1 (en) 2023-09-04
US20180366583A1 (en) 2018-12-20
US20190319127A1 (en) 2019-10-17
CN107068671A (en) 2017-08-18
US10090413B2 (en) 2018-10-02
CN107068671B (en) 2021-11-02
US10784376B2 (en) 2020-09-22
KR20220073718A (en) 2022-06-03
KR20170048666A (en) 2017-05-10
US10411131B2 (en) 2019-09-10
US20170117411A1 (en) 2017-04-27

Similar Documents

Publication Publication Date Title
USRE49963E1 (en) Semiconductor device and method of fabricating the same
US11784256B2 (en) Semiconductor devices
US11538913B2 (en) Semiconductor device having silicides and methods of manufacturing the same
US10553582B2 (en) Semiconductor device and method of manufacturing the same
US11862679B2 (en) Semiconductor device having increased contact area between a source/drain pattern and an active contact
US11695009B2 (en) Semiconductor device
JP6629142B2 (en) Semiconductor device and method of manufacturing the same
US9966377B2 (en) Semiconductor devices including fin-shaped active patterns in different conductivity type regions
US11637205B2 (en) Semiconductor device
US11978805B2 (en) Semiconductor device
KR20210066990A (en) Semiconductor device
US20230352547A1 (en) Semiconductor devices

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY