USRE49203E1 - Layout for multiple-fin SRAM cell - Google Patents

Layout for multiple-fin SRAM cell Download PDF

Info

Publication number
USRE49203E1
USRE49203E1 US16/422,627 US201916422627A USRE49203E US RE49203 E1 USRE49203 E1 US RE49203E1 US 201916422627 A US201916422627 A US 201916422627A US RE49203 E USRE49203 E US RE49203E
Authority
US
United States
Prior art keywords
fin
epitaxy
feature
forming
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
US16/422,627
Inventor
Jhon Jhy Liaw
Jeng-Jung Shen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Manufacturing Innovations Inc
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/422,627 priority Critical patent/USRE49203E1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SHEN, JENG-JUNG, LIAW, JHON JHY
Application granted granted Critical
Publication of USRE49203E1 publication Critical patent/USRE49203E1/en
Assigned to ADVANCED MANUFACTURING INNOVATIONS INC. reassignment ADVANCED MANUFACTURING INNOVATIONS INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L27/11
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors

Definitions

  • an embedded static random access memory (SRAM) device has become a popular storage unit of high speed communication, image processing and system-on-chip (SOC) products.
  • SRAM static random access memory
  • the amount of embedded SRAM in micro-processors and SOCs increases to meet the performance requirement in each new technology generation.
  • Vt intrinsic threshold voltage
  • CMOS complimentary metal-oxide-semiconductor
  • SNM SRAM cell static noise margin
  • the FinFET provides both speed and device stability.
  • the FinFET has a channel (referred to as a fin channel) associated with a top surface and opposite sidewalls. Benefits can be provided from the additional sidewall device width (Ion performance) as well as better short channel control (sub-threshold leakage).
  • the setting of single fin cell device faces cell ratio problems like beta ratio (Ipd/Ipg) or alpha ratio (Ipu/Ipg).
  • beta ratio is defined as the ratio between pull-down transistor drive current and pass-gate transistor drive current.
  • a high beta ratio greater than 1 is desired in order to improve the stability of the SRAM cell.
  • SRAM cell voltage Vcc_min is a factor related to the write capability.
  • the corresponding parameter is the ratio between pull-up transistor drive current and pass-gate transistor drive current, referred to as “alpha ratio.”
  • the present disclosure provides a static random access memory (SRAM) cell.
  • the SRAM cell includes a plurality of fin active regions formed on a semiconductor substrate, wherein the plurality of fin active regions include a pair adjacent fin active regions having a first spacing and a fin active region having a second spacing front adjacent fin active regions, the second spacing being greater than the first spacing; a plurality of fin field-effect transistors (FinFETs) formed on the plurality of fin active regions, wherein the plurality of FinFETs are configured to a first and second inverters cross-coupled for data storage and at least one port for data access; a first contact disposed between the first and second the fin active regions, electrically contacting both of the first and second the fin active regions; and a second contact disposed on and electrically contacting, the third fin active region.
  • FinFETs fin field-effect transistors
  • the present disclosure also provides one embodiment of a semiconductor structure.
  • the semiconductor structure includes a first and second fin active regions extended from a semiconductor substrate and spaced away from each other with a first distance; a third and fourth fin active regions extended front the semiconductor substrate and spaced away from each other with a second distance greater than the first distance; a first and second epitaxy features formed on the first and second fin active regions, respectively, wherein the first and second epitaxy features are laterally merged together; a third and fourth epitaxy features formed on the third and fourth fin active regions, respectively, wherein the third and fourth epitaxy features are separated from each other; a first contact disposed on the first and second epitaxy features merged together; and a second contact disposed on the third epitaxy feature, wherein the second contact is spaced away from the fourth epitaxy feature and is not electrically connected to the fourth fin active region.
  • the present disclosure provides yet another embodiment of a static random access memory (SRAM) cell.
  • the SRAM cell includes a first inverter including a first pull-up transistor (PU- 1 ) and a first and second pull-down transistors (PD- 1 and PU- 2 ); a second inverter including a second pull-up transistor (PU- 2 ) and a third and fourth pull-down transistors (PD- 3 and PD- 4 ), the second inverter being cross-coupled with the first inverter for data storage; a port including, a first pass-gate transistor (PG- 1 ) and a second pass-gate transistor (PG- 2 ), the port being coupled with the first and second inverters for data access, wherein each of PD- 1 , PD- 2 , PD- 3 , PD- 4 , PG- 1 and PG- 2 includes a n-type fin field-effect transistor (nFinFET) and each of PU- 1 and PU- 2 includes a p-type
  • FIGS. 1 to 4 are sectional views of a portion of a SRAM device constricted according to various aspects of the present disclosure in various embodiments.
  • FIGS. 5 to 7 are top views of a SRAM device or a portion thereof constructed according to various aspects of the present disclosure in various embodiments.
  • FIGS. 8 to 15 are sectional views of a portion of a SRAM device constructed according to various aspects of the present disclosure in various embodiments.
  • FIG. 16 is a schematic view of a static random access memory (SRAM) device constructed according to various aspects of the present disclosure in one embodiment.
  • SRAM static random access memory
  • FIG. 1 is a sectional view of a semiconductor structure 50 as a portion of a SRAM cell constructed according to various aspects of the present disclosure.
  • the semiconductor structure 50 includes a semiconductor substrate 52 .
  • the semiconductor substrate 52 includes silicon. Alternatively, the substrate includes germanium, silicon germanium or other proper semiconductor materials.
  • the semiconductor substrate 52 includes various isolation features 54 .
  • One isolation feature is a shallow trench isolation (STI) formed in the substrate to separate various devices.
  • the semiconductor substrate also includes various doped regions such as n-well and p-wells.
  • the semiconductor structure 50 includes various fin active regions 56 and 58 .
  • the fin active regions 56 arid 58 are oriented in parallel.
  • the fin active regions and the STI features can be formed in a processing sequence including forming trenches in the semiconductor substrate 52 and partially filling the trenches with a dielectric material. Alternatively, the trenches are completely filled with the dielectric material. Then a polishing process, such as chemical mechanical polishing (CMP) process is applied to remove the excessive dielectric material and planarize the surface. Thereafter, the formed STI features are partially removed to form the fin active regions using a selective etch such as hydrochloride (HF) wet etch.
  • the processing sequence includes etching trenches in the semiconductor substrate 52 and filling the trenches by one or more dielectric materials such as silicon oxide, silicon nitride, silicon oxynitride or combinations thereof.
  • the filled trench may have a multi-layer structure such as a thermal oxide liner layer with silicon nitride filling the trench.
  • the STI features are created using a process sequence such as: growing a pad oxide, forming a low pressure chemical vapor deposition (LPCVD) nitride layer, patterning an STI opening using photoresist and masking, etching a trench in the substrate, optionally growing a thermal oxide trench liner to improve the trench interface, filling the trench with CVD oxide, using chemical mechanical planarization (CMP) to etch back, and using nitride stripping to leave the STI structure.
  • the semiconductor substrate 52 also includes various n-wells and p-wells formed in various fin active regions.
  • a gate feature includes a gate dielectric layer 60 (such as silicon oxide) and a gate electrode 62 (such as doped polysilicon) disposed on the gate dielectric layer 60 .
  • the gate feature alternatively or additionally includes other proper materials for circuit performance and manufacturing integration.
  • the gate dielectric layer includes high-k dielectric material layer.
  • the gate electrode includes metal, such as aluminum, copper, tungsten or other proper conductive material.
  • the gate electrode includes a metal having proper work function to the associated FinFET.
  • the gate can be formed by a gate-last process or a high-k-last process (a complete gate-last process).
  • the semiconductor structure 50 includes a first region 64 for one or more FinFETs and a second region 66 for one or more FinFETs.
  • FIG. 2 is another embodiment of a sectional view of the semiconductor structure 80 as a portion of a SRAM cell.
  • the semiconductor structure 80 includes a semiconductor substrate 82 .
  • the semiconductor substrate 82 includes silicon.
  • the semiconductor substrate 82 includes germanium, silicon germanium or other proper semiconductor materials.
  • the semiconductor structure 80 includes a dielectric layer 84 formed on the semiconductor substrate 82 for isolation.
  • the dielectric layer 84 includes silicon oxide.
  • the semiconductor structure 80 includes another semiconductor layer 86 , such as silicon, formed on the dielectric layer 84 , referred to as semiconductor on insulator (SOI).
  • SOI semiconductor on insulator
  • the SOI structure can be formed by a proper technology, such as separation by implanted oxygen (SI-MOX) or wafer bonding to include the dielectric layer inside semiconductor material.
  • the semiconductor layer 86 is patterned to form fin active regions 88 and 90 .
  • the fin active regions 88 and 90 are configured and oriented in parallel.
  • the fin active regions 88 & 90 and the STI features can be formed in a processing sequence including forming a patterned mask layer on the semiconductor layer and etching the semiconductor layer through the openings of the patterned mask layer.
  • the patterned mask layer can be a patterned photoresist layer or a patterned hard mask layer, such as a patterned silicon nitride layer.
  • a gate feature includes a gate dielectric layer 92 (such as silicon oxide) and a gate electrode 94 (such as doped polysilicon) disposed on the gate dielectric layer 92 .
  • the gate dielectric layer 92 includes high-k dielectric material layer.
  • the gate electrode 94 includes metal, such as aluminum, copper, tungsten, or other proper conductive material.
  • the semiconductor structure 80 includes a first region 96 for one or more FinFETs and a second region 98 for one or more FinFETs.
  • the processing flow to form a SRAM cell including, the pass-gate and pull-down devices, have the following steps: formation of fin active regions; well formation; gate formation; epitaxy growth; light doped drain (LDD) formation; pocket implant (pocket junction) formation; gate spacer formation; source/drain (S/D) dopant formation; interlayer dielectric (ILD) formation; gate replacement; forming contact holes; silicide formation and forming contacts.
  • LDD light doped drain
  • pocket junction pocket implant
  • ILD interlayer dielectric
  • FIG. 3 is a sectional view of a semiconductor structure 100 having various FinFETs and contacts constructed according to various aspects of the present disclosure.
  • the semiconductor structure 100 is a portion of a SRAM cell.
  • the semiconductor structure 100 includes a semiconductor substrate 52 and isolation features 54 similar to the semiconductor substrate 52 and the isolation feature 54 of FIG. 1 .
  • the semiconductor structure 100 includes various fin active regions 104 , 106 , 108 and 110 similar to the fin active regions 56 and 58 of FIG. 1 in terms of composition and formation.
  • the fin active regions 104 , 106 , 108 and 110 are configured with different spacing (or distance) between adjacent fin active regions.
  • the fin active regions 104 and 106 are configured to have a first spacing “D 1 .”
  • the fin active region 108 is configured to have a second spacing “D 2 ” from adjacent fin active regions 106 and 110 .
  • the second spacing D 2 is greater than the first spacing D 1 .
  • the first spacing D 1 and the second spacing D 2 are referred to as narrow spacing and wide spacing, respectively.
  • the semiconductor structure 100 further includes an interlayer dielectric (ILD) 112 disposed on the fin active regions and the isolation features.
  • the ILD 112 includes one or more dielectric materials for providing isolation to interconnections.
  • the ILD 112 includes silicon oxide formed by chemical vapor deposition (CVD).
  • the ILD 112 includes a dielectric material of a loss dielectric constant, such as a dielectric constant less than about 3.5.
  • the ILD 112 includes silicon dioxide, silicon nitride, silicon oxynitride, polyimide, spin-on glass (SOG), fluoride-doped silicate glass (FSG), carbon doped silicon oxide, Black Diamond® (Applied Materials of Santa Clara, Calif.), Xerogel, Aerogel, amorphous fluorinated carbon. Parylene, BCB (bis-benzocyclobutenes), SiLK (Dow Chemical, Midland, Mich.), polyimide, and/or other suitable materials.
  • the ILD 112 may be foamed by a technique including spin-on, CVD, sputtering, or other suitable processes.
  • the semiconductor structure 100 further includes various contact formed in the ILD 112 and configured to provide electrical routing.
  • the contacts are vertical conductive features designed to electrically connect sources, drains and gate electrodes to metal lines.
  • the contacts are a portion of the multilayer interconnect for wiring.
  • the various contacts and other interconnect features are configured to form a SRAM cell.
  • the first contact 114 is designed with a proper geometry and is disposed to electrically contact both fin active regions 104 and 106 .
  • the contact 114 is configured to electrically contact both sources of a first FinFET on the fin active region 104 and a second FinFET on the fin active region 106 .
  • the first and second FinFETs are both pull-down devices configured in parallel; the sources are connected to the power line Vss and the drains are connected together (further coupled to the drain of an associated pull-up device as one inverter of the SRAM cell). Since the sources of the both FinFETs are designed to be applicable of a same electrical voltage (and the drains of the both FinFETs are designed to couple together), the fin active regions 104 and 106 are designed with a smaller spacing D 1 to reduce the cell size.
  • the semiconductor structure 100 also includes a second contact 116 designed and configured to land on and electrically connect only the fin active region 108 .
  • the second contact 116 is configured to electrically contact source of a third FinFET on the fin active region 108 .
  • the adjacent fin active regions 106 and 110 are designed to have a larger spacing D 2 with enough margin according to the manufacturing capability. Due to the manufacturing capability including lithography patterning, the reduction on the spacing between the adjacent fin active regions is limited by the manufacturing capability.
  • the formation of various contacts includes forming contact holes in the ILD 112 and filling the contact hole with a conductive material.
  • the contact holes can be formed by a lithography process and an etch process, such as plasma dry etch.
  • a patterned photoresist layer is formed on the ILD 112 with various openings defining regions for the contact holes.
  • the etch process is applied to the ILD 112 to form the contact holes using the patterned photoresist layer as an etch mask layer.
  • a hard mask is formed using the patterned photoresist layer and the etch process is applied to the ILD 112 through the openings of the patterned hard mask layer.
  • the filling in the contact holes includes a deposition process to form one or more conductive materials in the contact holes.
  • the deposition process may include CVD, sputtering, plating, or combinations thereof.
  • a chemical mechanical polishing (CMP) process may be subsequently applied to remove the excessive conductive materials and planarize the surface.
  • the formation of the various contacts includes forming a patterned photoresist layer by a lithography process; etching the ILD 112 to form contact holes; forming a conductive material in the contact holes; and performing a CMP to the ILD.
  • the contacts and other interconnect features include vias and metal lines to form an interconnect to electrically configure the FinFETs to functional circuits, such as a SARM cell or SRAM array.
  • tungsten is used to form tungsten plug in the contact holes.
  • the conductive material filled in the contact holes includes tungsten, aluminum, copper, other proper metals, or combinations thereof.
  • the contacts further include a barrier layer formed on the sidewalls of the contact holes before filling the contact holes with conductive material. For example, a titanium nitride may be deposited on the sidewalk of the contact holes by sputtering.
  • a silicide feature is formed between the contact and fin active region to reduce the contact resistance.
  • a silicide material is formed on the bottom of the contact holes and is disposed directly on the fin active regions within the contact holes.
  • the silicide feature is formed by a process known in the art as self-aligned silicide (salicide).
  • a metal layer is first deposited on the semiconductor structure 100 . The metal layer directly contacts silicon of the fin active regions within the contact holes. Then an annealing process with a proper temperature is applied to the semiconductor structure 100 such that the metal layer and the silicon of the fin active regions react to form silicide. The unreacted metal after the annealing process can be removed front the contact holes.
  • the metal material used to form silicide includes titanium, nickel, cobalt, platinum, palladium tungsten, tantalum or erbium in various embodiment.
  • the method of knurling contacts includes forming a patterned photoresist layer by a lithography process; etching the ILD 112 to form contact holes; forming silicide on fin active regions within the contact holes; forming a conductive material in the contact holes; and performing a CMP process to the ILD.
  • the silicide includes titanium (Ti), cobalt (Co), nickel (Ni), molybdenum (Mo), platinum (Pt), or combinations thereof.
  • the multilayer interconnection is further formed after the formation of the various contacts.
  • the multilayer interconnection includes vertical interconnects, such as conventional vias addition to the contacts and horizontal interconnect including metal lines.
  • the various interconnection features may implement various conductive materials including copper, aluminum, tungsten and silicide.
  • a damascene process is used to form copper related multilayer interconnection structure.
  • a SRAM cell includes multiple fin active regions with varying spacing or pitch.
  • the pitch of multiple fin active regions is defined as a dimension from one fin active region to an adjacent fin active region.
  • the semiconductor structure 100 includes two types of contacts, the first type of contacts is designed to electrically contact two adjacent fin active regions having a smaller spacing, such as the first spacing D 1 .
  • the second type of contacts is designed to electrically contact only one fin active region having a larger spacing (such as D 2 ) from the adjacent fin active regions.
  • FIG. 4 is a sectional view of a semiconductor structure 120 having canons FinFETs and contacts constructed according to various aspects of the present disclosure in another embodiment.
  • the semiconductor structure 120 is a portion of a SRAM cell.
  • the semiconductor structure 120 includes a semiconductor substrate 52 and isolation features 54 similar to the those of the semiconductor structure 100 in FIG. 3 .
  • the semiconductor structure 120 includes various fin active regions 104 , 106 , 108 and 110 .
  • the fin active regions 104 , 106 , 108 and 110 include a first portion extended from the semiconductor substrate 52 and a second portion formed on the first portion as epitaxy features 122 .
  • the epitaxy features 122 include 122 a, 122 b, 122 c and 122 d formed on the fin active regions 104 , 106 , 108 and 110 , respectively.
  • An interface 124 is formed between the epitaxy features 122 and first portion of the fin active regions.
  • the first portion of the fin active regions and the epitaxy features include a same semiconductor material, such as silicon.
  • the first portion of the fin active region include silicon and the epitaxy features include different semiconductor material(s) for strained effect.
  • the epitaxy features 122 in a n-well include silicon germanium (SiGe) configured for p-type FinFETs.
  • the epitaxy features 122 in a p-well include silicon carbide (SiC) or silicon configured for n-type FinFETs.
  • the epitaxy features 122 are formed by one or more epitaxy steps.
  • the isolation features 54 are formed by the STI technique; then the first portion of the fin active region extended from the semiconductor substrate 52 is recessed by an etching process; and then an epitaxy process is performed to form the second portion of the fin active regions.
  • the epitaxy features 122 (as the second portion of the fin active regions) use various semiconductor materials (such as silicon germanium for p-type FinFETs and silicon carbide for n-type FinFETs), two epitaxy processes are implemented to respective fin active regions.
  • the epitaxy process is implemented after the formation polysilicon gate stacks.
  • the fin active regions 104 , 106 , 108 and 110 are configured with different spacing between adjacent fin active regions.
  • the fin active regions 104 and 106 are configured to have a first spacing D 1 .
  • the fin active region 108 is configured to have a second spacing D 2 from adjacent fin active regions 106 and 110 .
  • the second spacing D 2 is greater than the first spacing D 1 .
  • the respective epitaxy features 122 When the epitaxy features 122 are formed on the respective fin active regions, lateral epitaxy growth will enlarge the dimension of the fin active regions and narrow the spacing as illustrated in FIG. 4 .
  • the respective epitaxy features For the fin active regions with the second spacing D 2 to the adjacent fin active regions, the respective epitaxy features have a spacing D 3 less than D 2 .
  • the fin active regions 108 and 110 have the spacing D 3 between the respective epitaxy features 122 c and 122 d.
  • the respective epitaxy features For the fin active regions with the first spacing D 2 , the respective epitaxy features have a narrow spacing or are even laterally merged (bridged) together.
  • the epitaxy features 122 a and 122 b are merged together to forma continuous landing feature. Since the epitaxy features are formed after the formation of the polysilicon gate stacks, the epitaxy features will only be formed on the source and drain regions but not on a portion of the fin active regions wherein the polysilicon gate
  • the semiconductor structure 120 includes an interlayer dielectric (ILD) 112 disposed on the fin active regions and the isolation features.
  • ILD 112 is similar to that of the semiconductor structure 100 in FIG. 3 in terms of composition and formation.
  • the semiconductor structure 120 further includes various contacts formed in the ILD 112 and configured to provide electrical routing.
  • the present embodiment includes contacts 126 and 128 .
  • the contact 126 is designed with a proper geometry and is disposed to electrically contact both epitaxy features 122 a and 122 b.
  • the contact 126 is configured to land on the merged epitaxy features 122 a and 122 b. In this case, the contact 126 may have a less dimension titan the contact 114 of FIG. 3 .
  • the contact 128 is designed to land only on the epitaxy feature 122 c that has a larger spacing D 3 from the adjacent epitaxy features ( 122 b and 122 d). Similar to the contacts 114 and 116 of FIG. 3 , contacts 126 and 128 are designed to reduce the SRAM cell size without sacrificing the quality of the SRAM device.
  • the formation of various contacts is similar to the formation of the contacts 114 and 116 of FIG. 3 and includes forming contact holes in the ILD 112 and filling the contact hole with a conductive material.
  • the formation of the contacts 126 and 128 includes forming contact holes in the ILD 112 , forming silicide on the substrate within the contact holes and filling the contact hole with a conductive material.
  • FIGS. 1 to 4 provide various portions of a SRAM cell and various embodiments of a same portion of the SRAM cell.
  • FIGS. 1 and 2 provide sectional views of the SRAM cell along a gate stack in two different embodiment.
  • FIGS. 3 and 4 provide sectional views of the SRAM cell along a source/drain region in two different embodiment.
  • FIGS. 5 to 15 provide other embodiments of a SRAM cell according to various aspects of the present disclosure.
  • FIG. 5 is a top view of a semiconductor structure 130 as a portion of a SRAM cell.
  • the semiconductor structure 130 includes a n-well region 132 and a p-well region 134 .
  • the semiconductor structure 130 includes multiple fin active regions 136 a through 136 e.
  • the fin active regions 136 a and 136 b are disposed in the p-well region 134 for forming various n-type FinFETs (such as pull-down devices and/or pass-gate devices), and the fin active regions 136 , 136 d and 136 e are disposed in the n-well region 132 for forming various p-type FinFETs (such as pull-up devices).
  • a gate 138 is formed over the multiple fin active regions 136 a through 136 e.
  • Various contacts 140 a through 140 e are formed on some fin active regions and the gate 138 .
  • the contact 140 a is formed on drains of the n-type FinFETs associated the fin active regions 136 a and 136 b.
  • the contact 140 e is formed on sources of the n-type FinFETs associated the fin active regions 136 a and 136 b.
  • the contact 140 e is coupled to the complimentary power line Vss.
  • the contact 140 b is formed on drains of the p-type FinFETs associated the fin active regions 136 c, 136 d and 136 e.
  • the contact 140 d is formed on sources of the p-type FinFETs associated the fin active regions 136 c, 136 d and 136 e.
  • the contact 140 d is coupled to the power line Vcc.
  • the contact 140 c is formed on the gate 138 .
  • the semiconductor structure 130 also includes metal lines 142 a, 142 b and 142 c.
  • the metal line 142 a is coupled to the contact 140 e and the complimentary power line Vss.
  • the metal line 142 b is coupled to the contact 140 d and the power line Vcc
  • the metal line 142 c is coupled to the contact 140 a and 140 a.
  • a semiconductor structure 146 includes a semiconductor structure 52 ; isolation features 54 ; the fin active regions 136 c, 136 d and 136 e; gate dielectric 60 and the gate electrode 62 .
  • Various features in the semiconductor structure 146 are similar to the corresponding features in the semiconductor structure 50 of FIG. 1 .
  • a semiconductor structure 148 includes a semiconductor structure 82 ; a dielectric material layer 84 ; the fin active regions 136 c, 136 d and 136 e; gate dielectric 92 and the gate electrode 94 .
  • Various features in the semiconductor structure 148 are similar to the corresponding features in the semiconductor structure 80 of FIG. 2 .
  • a semiconductor structure 150 illustrates a right portion of the semiconductor structure 130 along the line bb′.
  • the semiconductor structure 150 includes the semiconductor structure 52 ; the isolation features 54 ; the fin active regions 136 c, 136 d and 136 e; epitaxy features 122 ; and interlayer dielectric (ILD) 112 .
  • An interface 124 is formed between the epitaxy features 122 and the fin active regions.
  • Various features in the semiconductor structure 150 are similar to the corresponding features in the semiconductor structure 120 of FIG. 4 . For example.
  • the fin active regions 136 c, 136 d and 136 e have a large spacing D 2 and the epitaxy features 122 have a spacing D 3 less than D 2 .
  • the epitaxy features may include silicon germanium for p-type FinFETs or silicon carbide for n-type FinFETs.
  • a semiconductor structure 152 includes the semiconductor structure 52 ; the isolation features 54 ; the fin active regions 136 a and 136 b; epitaxy features 122 ; and the ILD 112 .
  • the semiconductor structure 152 illustrates a left portion of the semiconductor structure 130 in FIG. 5 .
  • Various features in the semiconductor structure 150 are similar to the corresponding features in the semiconductor structure 120 of FIG. 4 .
  • the fin active regions 136 a and 136 b are similar to the fin active regions 104 and 106 of FIG. 4 .
  • the fin active regions 136 a and 136 b have a smaller spacing D 1 .
  • the epitaxy features 122 are similar to the epitaxy features 122 a and 122 b of FIG. 4 .
  • the two adjacent epitaxy features 122 are merged together.
  • the epitaxy features may include silicon germanium for p-type FinFETs or silicon carbide for n-type FinFETs.
  • a semiconductor structure 154 includes the semiconductor structure 52 ; the isolation features 54 ; the fin active regions 156 a, 156 b and 156 e; epitaxy features 122 ; and the ILD 112 .
  • Various features in the semiconductor structure 154 are similar to the corresponding features in the semiconductor structure 120 of FIG. 4 .
  • the fin active regions 156 b and 156 c are similar to the fin active regions 104 and 106 of FIG. 4 .
  • the fin active region 156 a is similar to the fin active region 108 of FIG. 4 .
  • the fin active regions 156 b and 156 c have a smaller spacing S 1 .
  • the epitaxy features 122 associated with the adjacent fin active regions 156 b and 156 c are merged together.
  • the silicon substrate 52 include silicon and the epitaxy features 122 include silicon as well.
  • a semiconductor structure 158 includes the semiconductor structure 52 ; the isolation features 54 ; the fin active regions 160 a, 160 b and 160 c; epitaxy features 122 ; and the ILD 112 .
  • Various features in the semiconductor structure 158 are similar to the corresponding features in the semiconductor structure 120 of FIG. 4 .
  • the fin active regions 160 a, 160 b and 160 c are similar to the fin active regions 108 and 110 of FIG. 4 .
  • the fin active regions 160 a, 160 b and 160 c have a larger spacing S 2 .
  • the epitaxy features 122 laterally expand but are separated from the adjacent epitaxy feature 122 .
  • the silicon substrate 52 include silicon and the epitaxy features 122 include silicon as well.
  • FIG. 14 is a sectional view of the semiconductor structure 154 , similar to the FIG. 12 but further including a contact 126 .
  • the contact 126 is disposed on the merged epitaxy features 122 and electrically connected to the two corresponding fin active regions 156 a and 156 b.
  • the contact 126 is similar to the contact 126 of FIG. 4
  • FIG. 15 is a sectional view of the semiconductor structure 158 , similar to the FIG. 13 bit further including a contact feature 128 .
  • the contact feature 128 lands on the epitaxy feature 122 of the fin active regions 160 b.
  • the contact 128 is to similar to the contact 128 of FIG. 4 .
  • FIG. 6 is a top view of a semiconductor structure 160 as a portion of a SRAM cell.
  • the semiconductor structure 160 includes multiple fin active regions 136 a through 136 c.
  • the fin active regions 136 a, 136 b and 136 c are disposed in different well regions for different FinFETs.
  • the fin active regions 136 a and 136 b are disposed in a p-well region for forming various n-type FinFETs (such as pull-down devices and/or pass-gate devices), and the fin active region 136 e is disposed in a n-well region for forming various p-type FinFETs (such as pull-up devices).
  • a gate 138 is formed over the multiple fin active regions 136 a through 136 c.
  • Various contacts 140 a through 140 g are formed on some fin active regions and the gate 138 .
  • Various metal lines 142 a through 142 f are formed over the contacts and designed to couple with the respective contacts to form a functional circuit.
  • the functional circuit includes one or more SRAM cells.
  • the contact 140 a is designed to land on the fin active region 136 a and is coupled to the metal line 142 a.
  • the contact 140 b is designed to land on the fin active region 136 b and is coupled to the metal line 142 b, and so on.
  • the contact 140 g is designed to land on the gate 138 .
  • the contact 140 a contacts a source region of a first FinFET and the contact 140 d contacts a drain region of the first FinFET.
  • the contact 140 b contacts a source region of a second FinFET and the contact 140 e contacts a drain region of the second FinFET.
  • the contact 140 c contacts a source region of a third FinFET and the contact 140 f contacts a drain region of the third FinFET.
  • the contacts 140 a through 140 f are similar to the contact 128 of FIG. 4 .
  • a sectional view of the semiconductor structure 160 along the line cc′ is shown, in portion, in FIG. 10 , which is described previously.
  • FIG. 7 is a top view of a semiconductor structure 162 as a portion of a SRAM cell.
  • the semiconductor structure 162 includes multiple fin active regions 136 a and 136 b.
  • the fin active regions 136 a and 136 b are disposed in a well region (a n-well or a p-well).
  • the fin active regions 136 a and 136 b are disposed in a p-well region for forming various n-type FinFETs (such as pull-down devices and/or pass-gate devices).
  • a gate 138 is formed over the multiple fin active regions 136 a and 136 b to form the first and second FinFETs.
  • Various contacts 140 a through 140 c are formed on the fin active regions and the gate 138 .
  • Various metal lines 142 a and 142 b are formed over the contacts and designed to couple with the respective contacts to form a functional circuit.
  • the contact 140 a is disposed between the two adjacent fin active regions 136 a and 136 b.
  • the contact 140 a contacts both the adjacent fin active regions 136 a and 136 b.
  • the contact 140 b is disposed between the two adjacent fin active regions 136 a and 136 b, and contacts both the adjacent fin active regions 136 a and 136 b.
  • the contact 140 a is further coupled to the metal line 142 a.
  • the contact 140 b is farther coupled to the metal line 142 b.
  • the contact 140 a contacts source regions of the first and second FinFETs and the contact 140 b contacts drain regions of the first and second FinFETs.
  • a sectional view of the semiconductor structure 162 along the line dd′ is shown, in portion, in FIG. 11 , which is described previously.
  • the processing flow to form a SRAM cell including the pass-gate, pull-down and pull-up devices, have the following steps: formation of fin active regions, well formation, channel dopant formation gate formation, epitaxy growth to form epitaxy features, light doped drain (LDD) formation, gate spacer formation, source/drain (S/D) dopant formation, ILD deposition, gate replacement to form gate stacks having high-k dielectric material and metal gate electrode, etching to form contact holes, formation of silicide on source/drain regions and gates, forming contacts, and subsequent steps to form multilayer metal lines and vias.
  • the epitaxy features are formed after the gate formation and are only formed on the source and drain regions.
  • the fin active regions include portions underlying the gate stacks are free of the epitaxy semiconductor materials and are not enlarged laterally.
  • the fin active regions are configured with different spacing.
  • a SRAM cell includes two adjacent fin active regions having a small spacing D 1 and a fin active region having a larger spacing D 2 from the adjacent active regions.
  • the two fin active regions with the smaller spacing D 1 include a farther reduced spacing between the corresponding epitaxy features. In one case, the two epitaxy features are merged together.
  • the SRAM cell also includes a first and second type of contacts.
  • the first type of contacts each is formed between the two fin active regions with the smaller spacing D 1 and contacts the both two fin active regions.
  • the second type of contacts each is disposed on a fin active region having the larger spacing D 2 from the adjacent fin active regions and contacts only the corresponding fin active region.
  • FIG. 16 is a schematic view of a SRAM cell 170 constructed according to various aspects of the present disclosure in one embodiment.
  • the SRAM cell 170 includes fin field-effect transistors (FinFETs).
  • the SRAM cell 170 includes a first and second inverters that are cross coupled as a data storage.
  • the first inverter includes a first pull-up device formed with a p-type fin field-effect transistor (pFinFET), referred to as PU- 1 .
  • the first inverter includes a first pull-down device formed with an n-type fin field-effect transistor (nFinFET), referred to as PD- 1 .
  • pFinFET p-type fin field-effect transistor
  • PD- 1 n-type fin field-effect transistor
  • the drains of the PU- 1 and PD- 1 are electrically connected together, forming a first data node (“Node 1 ”).
  • the gates of PI- 1 and PD- 1 are electrically connected together.
  • the source of PU- 1 is electrically connected to a power line Vcc.
  • the source of PD- 1 is electrically connected to a complimentary power line Vss.
  • the second inverter includes a second pull-up device formed with a pFinFET, referred to as PU- 2 .
  • the second inverter also includes a second pull-down device formed with an nFinFET, referred to as PD- 2 .
  • the drains of the PU- 2 and PD- 2 are electrically connected together, farming a second data node (“Node- 2 ).
  • the gates of PU- 2 and PD- 2 are electrically connected together.
  • the source of PU- 2 is electrically connected to the power line Vcc.
  • the source of PD- 2 is electrically connected to the complimentary power line Vss.
  • the first data node is electrically connected to the gates of PU- 2 and PD- 2
  • the second data node is electrically connected to the gates of PU- 1 and PD- 1 . Therefore, the first and second inverters are cross-coupled as illustrated in FIG. 16 .
  • the SRAM cell 170 further includes a first pass-gate device formed with an n-type fin field-effect transistor (nFinFET), referred to as PG- 1 , and a second pass-gate device formed with an n-type fin field-effect transistor (nFinFET), referred to as PG- 2 .
  • the source of the first pass-gate PG- 1 is electrically connected to the first data node and the source of the first pass-gate PG- 2 is electrically connected to the second data node, forming a port for data access.
  • the drain of PG- 1 is electrically connected to a bit line (“BL”), and the gate of PG- 1 is electrically connected to a word line (“WL”).
  • the drain of PG- 2 is electrically connected to a bit line bar (“BLB”), and the gate of PG- 2 is electrically connected to the word line WL.
  • the various nFinFETs and pFinFETs are formed using high-k/metal gate technology so the gate stacks includes a high-k dielectric material layer for gate dielectric and one or more metals for gate electrode.
  • the SRAM cell 170 may include additional devices, such as additional pull-down devices and pass-gate devices.
  • each of the first and second inverter includes multiple pull-down devices formed on multiple fin active regions and configured in parallel. The multiple pull-down devices in parallel are configured such that the drains are connected together, the sources are connected together to the complimentary power line Vss, the gates are connected together.
  • the fin active regions with the smaller spacing D 1 are implemented and the first type of contacts are formed on the closed disposed or merged epitaxy features.
  • the cell 170 include an additional port having two or more pass-gate devices for additional data access, such as data reading or writing. Those pass-gate devices are configured in parallel and can also implement the configuration and design of the fin active regions and the first type of contacts similar to those for the pull-down devices in parallel.
  • a pull-up device may implement the fin active region having the larger spacing D 2 from the adjacent fin active regions and the second type of contacts.
  • a contact is designed to land on and contact multiple fin active regions tightly packed (e.g., with the smaller spacing D 1 ).
  • the contact 140 d contacts three fin active regions 136 c, 136 d and 136 e.
  • an epitaxy feature includes a raised structure having a top surface higher than the gate dielectric layer of the corresponding gate stack.
  • the epitaxy feature with the raised structure is a silicon epitaxy feature.
  • the two merged epitaxy features are two silicon epitaxy features. A silicide is formed on the two merged silicon epitaxy features so that the corresponding sources or drains are electrically connected together.

Abstract

The present disclosure provides a static random access memory (SRAM) cell. The SRAM cell includes a plurality of fin active regions foamed on a semiconductor substrate, wherein the plurality of fin active regions include a pair adjacent fin active regions having a first spacing and a fin active region having a second spacing from adjacent fin active regions, the second spacing being greater than the first spacing; a plurality of fin field-effect transistors (FinFETs) formed on the plurality of fin active regions, wherein the plurality of FinFETs are configured to a first and second inverters cross-coupled for data storage and at least one port for data access; a first contact disposed between the first and second the fin active regions, electrically contacting both of the first and second the fin active regions; and a second contact disposed on and electrically contacting the third fin active region.

Description

Notice: More than one reissue application has been filed for U.S. Pat. No. 8,653,630. The reissue applications are U.S. patent application Ser. No. 15/041,843, filed Feb. 11, 2016, and the present application, filed May 24, 2019, which is a divisional reissue of U.S. patent application Ser. No. 15/041,843.
PRIORITY DATA
The present application is a divisional application of U.S. patent application Ser. No. 12/827,690, filed Jun. 30, 2010, which is incorporated by reference in its entirety.
This application is a divisional reissue of U.S. patent application Ser. No. 15/041,843, filed Feb. 11, 2016, which is an application for reissue of U.S. Pat. No. 8,653,630, now U.S. Pat. No. RE 47,409. U.S. Pat. No. 8,653,630 claims priority to and is a divisional of U.S. patent application Ser. No. 12/827,690, filed Jun. 30, 2010, now U.S. Pat. No. 8,399,931. The entire disclosures of each of the foregoing identified patents and their corresponding originally-filed applications are hereby incorporated by reference.
CROSS REFERENCE
The present disclosure is related to the following commonly-assigned U.S. patent applications, the entire disclosures of which are incorporated herein by reference: U.S. Ser. No. 12/721,476 filed Mar. 10, 2010 by the same inventor Jhon Jhy Liaw for “FULLY BALANCED DUAL-PORT MEMORY CELL”; and U.S. Ser. No. 12/823,860 filed Jun. 25, 2010 by the same inventor Jhon Jhy Liaw for “STRUCTURE AND METHOD FOR SRAM CELL CIRCUIT”.
BACKGROUND
In deep sub-micron integrated circuit technology, an embedded static random access memory (SRAM) device has become a popular storage unit of high speed communication, image processing and system-on-chip (SOC) products. The amount of embedded SRAM in micro-processors and SOCs increases to meet the performance requirement in each new technology generation. As silicon technology continues to scale from one generation to the next, the impact of intrinsic threshold voltage (Vt) variations in minimum geometry size bulk planar transistors reduces the complimentary metal-oxide-semiconductor (CMOS) SRAM cell static noise margin (SNM). This reduction in SNM caused by increasingly smaller transistor geometries is undesirable. SNM is further reduced when Vcc is scaled to a lower voltage.
To solve SRAM issues and continue to improve cell shrink capability, the fin field effect transistor (FinFET) devices are often considered for some applications. The FinFET provides both speed and device stability. The FinFET has a channel (referred to as a fin channel) associated with a top surface and opposite sidewalls. Benefits can be provided from the additional sidewall device width (Ion performance) as well as better short channel control (sub-threshold leakage). In FinFet cell devices, the setting of single fin cell device faces cell ratio problems like beta ratio (Ipd/Ipg) or alpha ratio (Ipu/Ipg). One important parameter of cell stability is referred to as “beta ratio” and is defined as the ratio between pull-down transistor drive current and pass-gate transistor drive current. A high beta ratio greater than 1 is desired in order to improve the stability of the SRAM cell. SRAM cell voltage Vcc_min is a factor related to the write capability. The corresponding parameter is the ratio between pull-up transistor drive current and pass-gate transistor drive current, referred to as “alpha ratio.” Hence, in order to increase electrical current in a given cell area, the pitch between the fins has to be minimized. Unfortunately, it is difficult to achieve further reductions in pitch in FinFET devices, due to fundamental limitations in existing lithography techniques (like tight pitch fin nodes connection and contact to contact space rule).
Therefore, there is a need of new structure and method for SRAM cells to address these concerns for high-end cell application and improved multiple fins cell size.
SUMMARY
The present disclosure provides a static random access memory (SRAM) cell. The SRAM cell includes a plurality of fin active regions formed on a semiconductor substrate, wherein the plurality of fin active regions include a pair adjacent fin active regions having a first spacing and a fin active region having a second spacing front adjacent fin active regions, the second spacing being greater than the first spacing; a plurality of fin field-effect transistors (FinFETs) formed on the plurality of fin active regions, wherein the plurality of FinFETs are configured to a first and second inverters cross-coupled for data storage and at least one port for data access; a first contact disposed between the first and second the fin active regions, electrically contacting both of the first and second the fin active regions; and a second contact disposed on and electrically contacting, the third fin active region.
The present disclosure also provides one embodiment of a semiconductor structure. The semiconductor structure includes a first and second fin active regions extended from a semiconductor substrate and spaced away from each other with a first distance; a third and fourth fin active regions extended front the semiconductor substrate and spaced away from each other with a second distance greater than the first distance; a first and second epitaxy features formed on the first and second fin active regions, respectively, wherein the first and second epitaxy features are laterally merged together; a third and fourth epitaxy features formed on the third and fourth fin active regions, respectively, wherein the third and fourth epitaxy features are separated from each other; a first contact disposed on the first and second epitaxy features merged together; and a second contact disposed on the third epitaxy feature, wherein the second contact is spaced away from the fourth epitaxy feature and is not electrically connected to the fourth fin active region.
The present disclosure provides yet another embodiment of a static random access memory (SRAM) cell. The SRAM cell includes a first inverter including a first pull-up transistor (PU-1) and a first and second pull-down transistors (PD-1 and PU-2); a second inverter including a second pull-up transistor (PU-2) and a third and fourth pull-down transistors (PD-3 and PD-4), the second inverter being cross-coupled with the first inverter for data storage; a port including, a first pass-gate transistor (PG-1) and a second pass-gate transistor (PG-2), the port being coupled with the first and second inverters for data access, wherein each of PD-1, PD-2, PD-3, PD-4, PG-1 and PG-2 includes a n-type fin field-effect transistor (nFinFET) and each of PU-1 and PU-2 includes a p-type fin field-effect transistor (pFinFET); a first and second fin active regions having a first and second silicon epitaxy features, respectively, wherein the first and second silicon epitaxy features are merged together, and the PD-1 and PD-2 are formed on the first and second fin active regions, respectively; and a silicide feature is formed on the first and second silicon epitaxy features merged together, electrically connecting source regions of PD-1 and PD-2 together.
BRIEF DESCRIPTION OF THE DRAWINGS
Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
FIGS. 1 to 4 are sectional views of a portion of a SRAM device constricted according to various aspects of the present disclosure in various embodiments.
FIGS. 5 to 7 are top views of a SRAM device or a portion thereof constructed according to various aspects of the present disclosure in various embodiments.
FIGS. 8 to 15 are sectional views of a portion of a SRAM device constructed according to various aspects of the present disclosure in various embodiments.
FIG. 16 is a schematic view of a static random access memory (SRAM) device constructed according to various aspects of the present disclosure in one embodiment.
DETAILED DESCRIPTION
It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of various embodiments. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
FIG. 1 is a sectional view of a semiconductor structure 50 as a portion of a SRAM cell constructed according to various aspects of the present disclosure. The semiconductor structure 50 includes a semiconductor substrate 52. The semiconductor substrate 52 includes silicon. Alternatively, the substrate includes germanium, silicon germanium or other proper semiconductor materials. The semiconductor substrate 52 includes various isolation features 54. One isolation feature is a shallow trench isolation (STI) formed in the substrate to separate various devices. The semiconductor substrate also includes various doped regions such as n-well and p-wells. The semiconductor structure 50 includes various fin active regions 56 and 58. The fin active regions 56 arid 58 are oriented in parallel. The fin active regions and the STI features can be formed in a processing sequence including forming trenches in the semiconductor substrate 52 and partially filling the trenches with a dielectric material. Alternatively, the trenches are completely filled with the dielectric material. Then a polishing process, such as chemical mechanical polishing (CMP) process is applied to remove the excessive dielectric material and planarize the surface. Thereafter, the formed STI features are partially removed to form the fin active regions using a selective etch such as hydrochloride (HF) wet etch. Particularly, the processing sequence includes etching trenches in the semiconductor substrate 52 and filling the trenches by one or more dielectric materials such as silicon oxide, silicon nitride, silicon oxynitride or combinations thereof. The filled trench may have a multi-layer structure such as a thermal oxide liner layer with silicon nitride filling the trench. In furtherance of the present embodiment, the STI features are created using a process sequence such as: growing a pad oxide, forming a low pressure chemical vapor deposition (LPCVD) nitride layer, patterning an STI opening using photoresist and masking, etching a trench in the substrate, optionally growing a thermal oxide trench liner to improve the trench interface, filling the trench with CVD oxide, using chemical mechanical planarization (CMP) to etch back, and using nitride stripping to leave the STI structure. The semiconductor substrate 52 also includes various n-wells and p-wells formed in various fin active regions.
Various gates are further formed on the fin active regions. A gate feature includes a gate dielectric layer 60 (such as silicon oxide) and a gate electrode 62 (such as doped polysilicon) disposed on the gate dielectric layer 60. In another embodiment, the gate feature alternatively or additionally includes other proper materials for circuit performance and manufacturing integration. For example, the gate dielectric layer includes high-k dielectric material layer. The gate electrode includes metal, such as aluminum, copper, tungsten or other proper conductive material. In yet another embodiment, the gate electrode includes a metal having proper work function to the associated FinFET. For a gate stack including high-k dielectric material and metal, the gate can be formed by a gate-last process or a high-k-last process (a complete gate-last process). In the present embodiment for illustration, the semiconductor structure 50 includes a first region 64 for one or more FinFETs and a second region 66 for one or more FinFETs.
FIG. 2 is another embodiment of a sectional view of the semiconductor structure 80 as a portion of a SRAM cell. The semiconductor structure 80 includes a semiconductor substrate 82. The semiconductor substrate 82 includes silicon. Alternatively, the semiconductor substrate 82 includes germanium, silicon germanium or other proper semiconductor materials. The semiconductor structure 80 includes a dielectric layer 84 formed on the semiconductor substrate 82 for isolation. In one example, the dielectric layer 84 includes silicon oxide. The semiconductor structure 80 includes another semiconductor layer 86, such as silicon, formed on the dielectric layer 84, referred to as semiconductor on insulator (SOI). The SOI structure can be formed by a proper technology, such as separation by implanted oxygen (SI-MOX) or wafer bonding to include the dielectric layer inside semiconductor material.
The semiconductor layer 86 is patterned to form fin active regions 88 and 90. The fin active regions 88 and 90 are configured and oriented in parallel. The fin active regions 88 & 90 and the STI features can be formed in a processing sequence including forming a patterned mask layer on the semiconductor layer and etching the semiconductor layer through the openings of the patterned mask layer. The patterned mask layer can be a patterned photoresist layer or a patterned hard mask layer, such as a patterned silicon nitride layer.
Various gates are further formed on the fin active regions. A gate feature includes a gate dielectric layer 92 (such as silicon oxide) and a gate electrode 94 (such as doped polysilicon) disposed on the gate dielectric layer 92. In one embodiment, the gate dielectric layer 92 includes high-k dielectric material layer. The gate electrode 94 includes metal, such as aluminum, copper, tungsten, or other proper conductive material. In the present embodiment for illustration, the semiconductor structure 80 includes a first region 96 for one or more FinFETs and a second region 98 for one or more FinFETs.
In one embodiment, the processing flow to form a SRAM cell, including, the pass-gate and pull-down devices, have the following steps: formation of fin active regions; well formation; gate formation; epitaxy growth; light doped drain (LDD) formation; pocket implant (pocket junction) formation; gate spacer formation; source/drain (S/D) dopant formation; interlayer dielectric (ILD) formation; gate replacement; forming contact holes; silicide formation and forming contacts.
FIG. 3 is a sectional view of a semiconductor structure 100 having various FinFETs and contacts constructed according to various aspects of the present disclosure. The semiconductor structure 100 is a portion of a SRAM cell. The semiconductor structure 100 includes a semiconductor substrate 52 and isolation features 54 similar to the semiconductor substrate 52 and the isolation feature 54 of FIG. 1. The semiconductor structure 100 includes various fin active regions 104, 106, 108 and 110 similar to the fin active regions 56 and 58 of FIG. 1 in terms of composition and formation. The fin active regions 104, 106, 108 and 110 are configured with different spacing (or distance) between adjacent fin active regions. In the present embodiment, the fin active regions 104 and 106 are configured to have a first spacing “D1.” The fin active region 108 is configured to have a second spacing “D2” from adjacent fin active regions 106 and 110. The second spacing D2 is greater than the first spacing D1. The first spacing D1 and the second spacing D2 are referred to as narrow spacing and wide spacing, respectively. The semiconductor structure 100 further includes an interlayer dielectric (ILD) 112 disposed on the fin active regions and the isolation features. The ILD 112 includes one or more dielectric materials for providing isolation to interconnections. In one embodiment, the ILD 112 includes silicon oxide formed by chemical vapor deposition (CVD). In another embodiment, the ILD 112 includes a dielectric material of a loss dielectric constant, such as a dielectric constant less than about 3.5. in another embodiment, the ILD 112 includes silicon dioxide, silicon nitride, silicon oxynitride, polyimide, spin-on glass (SOG), fluoride-doped silicate glass (FSG), carbon doped silicon oxide, Black Diamond® (Applied Materials of Santa Clara, Calif.), Xerogel, Aerogel, amorphous fluorinated carbon. Parylene, BCB (bis-benzocyclobutenes), SiLK (Dow Chemical, Midland, Mich.), polyimide, and/or other suitable materials. The ILD 112 may be foamed by a technique including spin-on, CVD, sputtering, or other suitable processes.
The semiconductor structure 100 further includes various contact formed in the ILD 112 and configured to provide electrical routing. The contacts are vertical conductive features designed to electrically connect sources, drains and gate electrodes to metal lines. The contacts are a portion of the multilayer interconnect for wiring. In the present embodiment, the various contacts and other interconnect features are configured to form a SRAM cell. The first contact 114 is designed with a proper geometry and is disposed to electrically contact both fin active regions 104 and 106. In one embodiment, the contact 114 is configured to electrically contact both sources of a first FinFET on the fin active region 104 and a second FinFET on the fin active region 106. In furtherance of the present embodiment, the first and second FinFETs are both pull-down devices configured in parallel; the sources are connected to the power line Vss and the drains are connected together (further coupled to the drain of an associated pull-up device as one inverter of the SRAM cell). Since the sources of the both FinFETs are designed to be applicable of a same electrical voltage (and the drains of the both FinFETs are designed to couple together), the fin active regions 104 and 106 are designed with a smaller spacing D1 to reduce the cell size. The semiconductor structure 100 also includes a second contact 116 designed and configured to land on and electrically connect only the fin active region 108. In one embodiment, the second contact 116 is configured to electrically contact source of a third FinFET on the fin active region 108. In order to avoid any electrical malfunctions caused by misalignment the adjacent fin active regions 106 and 110 are designed to have a larger spacing D2 with enough margin according to the manufacturing capability. Due to the manufacturing capability including lithography patterning, the reduction on the spacing between the adjacent fin active regions is limited by the manufacturing capability.
The formation of various contacts (such as contacts 114 and 116) includes forming contact holes in the ILD 112 and filling the contact hole with a conductive material. The contact holes can be formed by a lithography process and an etch process, such as plasma dry etch. In the lithography process, a patterned photoresist layer is formed on the ILD 112 with various openings defining regions for the contact holes. The etch process is applied to the ILD 112 to form the contact holes using the patterned photoresist layer as an etch mask layer. Alternatively, a hard mask is formed using the patterned photoresist layer and the etch process is applied to the ILD 112 through the openings of the patterned hard mask layer. The filling in the contact holes includes a deposition process to form one or more conductive materials in the contact holes. The deposition process may include CVD, sputtering, plating, or combinations thereof. A chemical mechanical polishing (CMP) process may be subsequently applied to remove the excessive conductive materials and planarize the surface. In one embodiment, the formation of the various contacts includes forming a patterned photoresist layer by a lithography process; etching the ILD 112 to form contact holes; forming a conductive material in the contact holes; and performing a CMP to the ILD.
The contacts and other interconnect features include vias and metal lines to form an interconnect to electrically configure the FinFETs to functional circuits, such as a SARM cell or SRAM array. In one embodiment, tungsten is used to form tungsten plug in the contact holes. In another embodiment, the conductive material filled in the contact holes includes tungsten, aluminum, copper, other proper metals, or combinations thereof. In yet another embodiment, the contacts further include a barrier layer formed on the sidewalls of the contact holes before filling the contact holes with conductive material. For example, a titanium nitride may be deposited on the sidewalk of the contact holes by sputtering.
In another embodiment, a silicide feature is formed between the contact and fin active region to reduce the contact resistance. Specifically, a silicide material is formed on the bottom of the contact holes and is disposed directly on the fin active regions within the contact holes. In one example, the silicide feature is formed by a process known in the art as self-aligned silicide (salicide). In one embodiment of salicide technique, a metal layer is first deposited on the semiconductor structure 100. The metal layer directly contacts silicon of the fin active regions within the contact holes. Then an annealing process with a proper temperature is applied to the semiconductor structure 100 such that the metal layer and the silicon of the fin active regions react to form silicide. The unreacted metal after the annealing process can be removed front the contact holes. The metal material used to form silicide includes titanium, nickel, cobalt, platinum, palladium tungsten, tantalum or erbium in various embodiment. In yet another embodiment, the method of knurling contacts includes forming a patterned photoresist layer by a lithography process; etching the ILD 112 to form contact holes; forming silicide on fin active regions within the contact holes; forming a conductive material in the contact holes; and performing a CMP process to the ILD. In yet another embodiment, the silicide includes titanium (Ti), cobalt (Co), nickel (Ni), molybdenum (Mo), platinum (Pt), or combinations thereof.
Other processing steps may be implemented before, during and/or after the formation of the contacts. For example, the multilayer interconnection is further formed after the formation of the various contacts. The multilayer interconnection includes vertical interconnects, such as conventional vias addition to the contacts and horizontal interconnect including metal lines. The various interconnection features may implement various conductive materials including copper, aluminum, tungsten and silicide. In one example, a damascene process is used to form copper related multilayer interconnection structure.
In the semiconductor structure 100, a SRAM cell includes multiple fin active regions with varying spacing or pitch. The pitch of multiple fin active regions is defined as a dimension from one fin active region to an adjacent fin active region. Furthermore, the semiconductor structure 100 includes two types of contacts, the first type of contacts is designed to electrically contact two adjacent fin active regions having a smaller spacing, such as the first spacing D1. The second type of contacts is designed to electrically contact only one fin active region having a larger spacing (such as D2) from the adjacent fin active regions. By implementing the above multiple fin active regions with varying spacing and two types of contacts configured with the fin active regions according to the respective spacing, the SRAM cell is further reduced in the cell area and improved on the cell quality such that the is various issues discussed in the background are addressed.
FIG. 4 is a sectional view of a semiconductor structure 120 having canons FinFETs and contacts constructed according to various aspects of the present disclosure in another embodiment. The semiconductor structure 120 is a portion of a SRAM cell. The semiconductor structure 120 includes a semiconductor substrate 52 and isolation features 54 similar to the those of the semiconductor structure 100 in FIG. 3. The semiconductor structure 120 includes various fin active regions 104, 106, 108 and 110. The fin active regions 104, 106, 108 and 110 include a first portion extended from the semiconductor substrate 52 and a second portion formed on the first portion as epitaxy features 122. In the present embodiment, the epitaxy features 122 include 122a, 122b, 122c and 122d formed on the fin active regions 104, 106, 108 and 110, respectively. An interface 124 is formed between the epitaxy features 122 and first portion of the fin active regions. In one embodiment, the first portion of the fin active regions and the epitaxy features include a same semiconductor material, such as silicon. In another embodiment, the first portion of the fin active region include silicon and the epitaxy features include different semiconductor material(s) for strained effect. In furtherance the embodiment, the epitaxy features 122 in a n-well include silicon germanium (SiGe) configured for p-type FinFETs. The epitaxy features 122 in a p-well include silicon carbide (SiC) or silicon configured for n-type FinFETs. The epitaxy features 122 are formed by one or more epitaxy steps. In one embodiment, the isolation features 54 are formed by the STI technique; then the first portion of the fin active region extended from the semiconductor substrate 52 is recessed by an etching process; and then an epitaxy process is performed to form the second portion of the fin active regions. In the case the epitaxy features 122 (as the second portion of the fin active regions) use various semiconductor materials (such as silicon germanium for p-type FinFETs and silicon carbide for n-type FinFETs), two epitaxy processes are implemented to respective fin active regions. In the present embodiment, the epitaxy process is implemented after the formation polysilicon gate stacks.
The fin active regions 104, 106, 108 and 110 are configured with different spacing between adjacent fin active regions. In the present embodiment, the fin active regions 104 and 106 are configured to have a first spacing D1. The fin active region 108 is configured to have a second spacing D2 from adjacent fin active regions 106 and 110. The second spacing D2 is greater than the first spacing D1.
When the epitaxy features 122 are formed on the respective fin active regions, lateral epitaxy growth will enlarge the dimension of the fin active regions and narrow the spacing as illustrated in FIG. 4. For the fin active regions with the second spacing D2 to the adjacent fin active regions, the respective epitaxy features have a spacing D3 less than D2. For example, the fin active regions 108 and 110 have the spacing D3 between the respective epitaxy features 122c and 122d. For the fin active regions with the first spacing D2, the respective epitaxy features have a narrow spacing or are even laterally merged (bridged) together. For example, the epitaxy features 122a and 122b are merged together to forma continuous landing feature. Since the epitaxy features are formed after the formation of the polysilicon gate stacks, the epitaxy features will only be formed on the source and drain regions but not on a portion of the fin active regions wherein the polysilicon gate stacks run over.
The semiconductor structure 120 includes an interlayer dielectric (ILD) 112 disposed on the fin active regions and the isolation features. The ILD 112 is similar to that of the semiconductor structure 100 in FIG. 3 in terms of composition and formation.
The semiconductor structure 120 further includes various contacts formed in the ILD 112 and configured to provide electrical routing. The present embodiment includes contacts 126 and 128. The contact 126 is designed with a proper geometry and is disposed to electrically contact both epitaxy features 122a and 122b. Alternatively, the contact 126 is configured to land on the merged epitaxy features 122a and 122b. In this case, the contact 126 may have a less dimension titan the contact 114 of FIG. 3. The contact 128 is designed to land only on the epitaxy feature 122c that has a larger spacing D3 from the adjacent epitaxy features (122b and 122d). Similar to the contacts 114 and 116 of FIG. 3, contacts 126 and 128 are designed to reduce the SRAM cell size without sacrificing the quality of the SRAM device.
The formation of various contacts (such as contacts 126 and 128) is similar to the formation of the contacts 114 and 116 of FIG. 3 and includes forming contact holes in the ILD 112 and filling the contact hole with a conductive material. In another embodiment, the formation of the contacts 126 and 128 includes forming contact holes in the ILD 112, forming silicide on the substrate within the contact holes and filling the contact hole with a conductive material.
FIGS. 1 to 4 provide various portions of a SRAM cell and various embodiments of a same portion of the SRAM cell. For example, FIGS. 1 and 2 provide sectional views of the SRAM cell along a gate stack in two different embodiment. FIGS. 3 and 4 provide sectional views of the SRAM cell along a source/drain region in two different embodiment.
FIGS. 5 to 15 provide other embodiments of a SRAM cell according to various aspects of the present disclosure.
FIG. 5 is a top view of a semiconductor structure 130 as a portion of a SRAM cell. In the present embodiment, the semiconductor structure 130 includes a n-well region 132 and a p-well region 134. The semiconductor structure 130 includes multiple fin active regions 136a through 136e. For example, the fin active regions 136a and 136b are disposed in the p-well region 134 for forming various n-type FinFETs (such as pull-down devices and/or pass-gate devices), and the fin active regions 136, 136d and 136e are disposed in the n-well region 132 for forming various p-type FinFETs (such as pull-up devices). A gate 138 is formed over the multiple fin active regions 136a through 136e. Various contacts 140a through 140e are formed on some fin active regions and the gate 138. For example, the contact 140a is formed on drains of the n-type FinFETs associated the fin active regions 136a and 136b. The contact 140e is formed on sources of the n-type FinFETs associated the fin active regions 136a and 136b. The contact 140e is coupled to the complimentary power line Vss. In another example, the contact 140b is formed on drains of the p-type FinFETs associated the fin active regions 136c, 136d and 136e. The contact 140d is formed on sources of the p-type FinFETs associated the fin active regions 136c, 136d and 136e. The contact 140d is coupled to the power line Vcc. The contact 140c is formed on the gate 138. The semiconductor structure 130 also includes metal lines 142a, 142b and 142c. For example, the metal line 142a is coupled to the contact 140e and the complimentary power line Vss. The metal line 142b is coupled to the contact 140d and the power line Vcc, The metal line 142c is coupled to the contact 140a and 140a.
A sectional view of the semiconductor structure 130 along the. lung aa′ is shown, in portion, in FIGS. 8 and 9 for different embodiments, In FIG. 8, a semiconductor structure 146 includes a semiconductor structure 52; isolation features 54; the fin active regions 136c, 136d and 136e; gate dielectric 60 and the gate electrode 62. Various features in the semiconductor structure 146 are similar to the corresponding features in the semiconductor structure 50 of FIG. 1. In another embodiment shown in FIG. 9, a semiconductor structure 148 includes a semiconductor structure 82; a dielectric material layer 84; the fin active regions 136c, 136d and 136e; gate dielectric 92 and the gate electrode 94. Various features in the semiconductor structure 148 are similar to the corresponding features in the semiconductor structure 80 of FIG. 2.
Referring back to FIG. 5, a sectional view of the semiconductor structure 130 along the line bb′ is shown (in portion) in FIGS. 10 through 15 in various embodiments. In FIG. 10, a semiconductor structure 150 illustrates a right portion of the semiconductor structure 130 along the line bb′. The semiconductor structure 150 includes the semiconductor structure 52; the isolation features 54; the fin active regions 136c, 136d and 136e; epitaxy features 122; and interlayer dielectric (ILD) 112. An interface 124 is formed between the epitaxy features 122 and the fin active regions. Various features in the semiconductor structure 150 are similar to the corresponding features in the semiconductor structure 120 of FIG. 4. For example. the fin active regions 136c, 136d and 136e have a large spacing D2 and the epitaxy features 122 have a spacing D3 less than D2. In various embodiments, the epitaxy features may include silicon germanium for p-type FinFETs or silicon carbide for n-type FinFETs.
In another embodiment illustrated in FIG. 11, a semiconductor structure 152 includes the semiconductor structure 52; the isolation features 54; the fin active regions 136a and 136b; epitaxy features 122; and the ILD 112. In one embodiment, the semiconductor structure 152 illustrates a left portion of the semiconductor structure 130 in FIG. 5. Various features in the semiconductor structure 150 are similar to the corresponding features in the semiconductor structure 120 of FIG. 4. For example, the fin active regions 136a and 136b are similar to the fin active regions 104 and 106 of FIG. 4. The fin active regions 136a and 136b have a smaller spacing D1. The epitaxy features 122 are similar to the epitaxy features 122a and 122b of FIG. 4. The two adjacent epitaxy features 122 are merged together. In various embodiments, the epitaxy features may include silicon germanium for p-type FinFETs or silicon carbide for n-type FinFETs.
In another embodiment illustrated in FIG. 12, a semiconductor structure 154 includes the semiconductor structure 52; the isolation features 54; the fin active regions 156a, 156b and 156e; epitaxy features 122; and the ILD 112. Various features in the semiconductor structure 154 are similar to the corresponding features in the semiconductor structure 120 of FIG. 4. For example, the fin active regions 156b and 156c are similar to the fin active regions 104 and 106 of FIG. 4. The fin active region 156a is similar to the fin active region 108 of FIG. 4. The fin active regions 156b and 156c have a smaller spacing S1. The epitaxy features 122 associated with the adjacent fin active regions 156b and 156c are merged together. The epitaxy feature 122 on the fin active region 156a laterally expands hut is separated front the adjacent epitaxy feature 122 with a distance. In one embodiment, the silicon substrate 52 include silicon and the epitaxy features 122 include silicon as well.
In another embodiment illustrated in FIG. 13, a semiconductor structure 158 includes the semiconductor structure 52; the isolation features 54; the fin active regions 160a, 160b and 160c; epitaxy features 122; and the ILD 112. Various features in the semiconductor structure 158 are similar to the corresponding features in the semiconductor structure 120 of FIG. 4. For example, the fin active regions 160a, 160b and 160c are similar to the fin active regions 108 and 110 of FIG. 4. The fin active regions 160a, 160b and 160c have a larger spacing S2. The epitaxy features 122 laterally expand but are separated from the adjacent epitaxy feature 122. In one embodiment, the silicon substrate 52 include silicon and the epitaxy features 122 include silicon as well.
FIG. 14 is a sectional view of the semiconductor structure 154, similar to the FIG. 12 but further including a contact 126. The contact 126 is disposed on the merged epitaxy features 122 and electrically connected to the two corresponding fin active regions 156a and 156b. The contact 126 is similar to the contact 126 of FIG. 4
FIG. 15 is a sectional view of the semiconductor structure 158, similar to the FIG. 13 bit further including a contact feature 128. The contact feature 128 lands on the epitaxy feature 122 of the fin active regions 160b. The contact 128 is to similar to the contact 128 of FIG. 4.
FIG. 6 is a top view of a semiconductor structure 160 as a portion of a SRAM cell. In the present embodiment, the semiconductor structure 160 includes multiple fin active regions 136a through 136c. In various embodiments, the fin active regions 136a, 136b and 136c are disposed in different well regions for different FinFETs. For example, the fin active regions 136a and 136b are disposed in a p-well region for forming various n-type FinFETs (such as pull-down devices and/or pass-gate devices), and the fin active region 136e is disposed in a n-well region for forming various p-type FinFETs (such as pull-up devices). A gate 138 is formed over the multiple fin active regions 136a through 136c. Various contacts 140a through 140g are formed on some fin active regions and the gate 138. Various metal lines 142a through 142f are formed over the contacts and designed to couple with the respective contacts to form a functional circuit. In the present embodiment, the functional circuit includes one or more SRAM cells. In various examples, the contact 140a is designed to land on the fin active region 136a and is coupled to the metal line 142a. The contact 140b is designed to land on the fin active region 136b and is coupled to the metal line 142b, and so on. The contact 140g is designed to land on the gate 138.
Furthermore, the contact 140a contacts a source region of a first FinFET and the contact 140d contacts a drain region of the first FinFET. The contact 140b contacts a source region of a second FinFET and the contact 140e contacts a drain region of the second FinFET. The contact 140c contacts a source region of a third FinFET and the contact 140f contacts a drain region of the third FinFET. The contacts 140a through 140f are similar to the contact 128 of FIG. 4. A sectional view of the semiconductor structure 160 along the line cc′ is shown, in portion, in FIG. 10, which is described previously.
FIG. 7 is a top view of a semiconductor structure 162 as a portion of a SRAM cell. In the present embodiment, the semiconductor structure 162 includes multiple fin active regions 136a and 136b. In one embodiment, the fin active regions 136a and 136b are disposed in a well region (a n-well or a p-well). For example, the fin active regions 136a and 136b are disposed in a p-well region for forming various n-type FinFETs (such as pull-down devices and/or pass-gate devices). A gate 138 is formed over the multiple fin active regions 136a and 136b to form the first and second FinFETs. Various contacts 140a through 140c are formed on the fin active regions and the gate 138. Various metal lines 142a and 142b are formed over the contacts and designed to couple with the respective contacts to form a functional circuit. In one example, the contact 140a is disposed between the two adjacent fin active regions 136a and 136b. The contact 140a contacts both the adjacent fin active regions 136a and 136b. Similarly, the contact 140b is disposed between the two adjacent fin active regions 136a and 136b, and contacts both the adjacent fin active regions 136a and 136b. The contact 140a is further coupled to the metal line 142a. The contact 140b is farther coupled to the metal line 142b. Furthermore, the contact 140a contacts source regions of the first and second FinFETs and the contact 140b contacts drain regions of the first and second FinFETs. A sectional view of the semiconductor structure 162 along the line dd′ is shown, in portion, in FIG. 11, which is described previously.
In one embodiment, the processing flow to form a SRAM cell, including the pass-gate, pull-down and pull-up devices, have the following steps: formation of fin active regions, well formation, channel dopant formation gate formation, epitaxy growth to form epitaxy features, light doped drain (LDD) formation, gate spacer formation, source/drain (S/D) dopant formation, ILD deposition, gate replacement to form gate stacks having high-k dielectric material and metal gate electrode, etching to form contact holes, formation of silicide on source/drain regions and gates, forming contacts, and subsequent steps to form multilayer metal lines and vias. Particularly, the epitaxy features are formed after the gate formation and are only formed on the source and drain regions. The fin active regions include portions underlying the gate stacks are free of the epitaxy semiconductor materials and are not enlarged laterally. The fin active regions are configured with different spacing. A SRAM cell includes two adjacent fin active regions having a small spacing D1 and a fin active region having a larger spacing D2 from the adjacent active regions. The two fin active regions with the smaller spacing D1 include a farther reduced spacing between the corresponding epitaxy features. In one case, the two epitaxy features are merged together. The SRAM cell also includes a first and second type of contacts. The first type of contacts each is formed between the two fin active regions with the smaller spacing D1 and contacts the both two fin active regions. The second type of contacts each is disposed on a fin active region having the larger spacing D2 from the adjacent fin active regions and contacts only the corresponding fin active region.
FIG. 16 is a schematic view of a SRAM cell 170 constructed according to various aspects of the present disclosure in one embodiment. The SRAM cell 170 includes fin field-effect transistors (FinFETs). The SRAM cell 170 includes a first and second inverters that are cross coupled as a data storage. The first inverter includes a first pull-up device formed with a p-type fin field-effect transistor (pFinFET), referred to as PU-1. The first inverter includes a first pull-down device formed with an n-type fin field-effect transistor (nFinFET), referred to as PD-1. The drains of the PU-1 and PD-1 are electrically connected together, forming a first data node (“Node 1”). The gates of PI-1 and PD-1 are electrically connected together. The source of PU-1 is electrically connected to a power line Vcc. The source of PD-1 is electrically connected to a complimentary power line Vss. The second inverter includes a second pull-up device formed with a pFinFET, referred to as PU-2. The second inverter also includes a second pull-down device formed with an nFinFET, referred to as PD-2. The drains of the PU-2 and PD-2 are electrically connected together, farming a second data node (“Node-2). The gates of PU-2 and PD-2 are electrically connected together. The source of PU-2 is electrically connected to the power line Vcc. The source of PD-2 is electrically connected to the complimentary power line Vss. Furthermore, the first data node is electrically connected to the gates of PU-2 and PD-2, and the second data node is electrically connected to the gates of PU-1 and PD-1. Therefore, the first and second inverters are cross-coupled as illustrated in FIG. 16.
The SRAM cell 170 further includes a first pass-gate device formed with an n-type fin field-effect transistor (nFinFET), referred to as PG-1, and a second pass-gate device formed with an n-type fin field-effect transistor (nFinFET), referred to as PG-2. The source of the first pass-gate PG-1 is electrically connected to the first data node and the source of the first pass-gate PG-2 is electrically connected to the second data node, forming a port for data access. Furthermore, the drain of PG-1 is electrically connected to a bit line (“BL”), and the gate of PG-1 is electrically connected to a word line (“WL”). Similarly, the drain of PG-2 is electrically connected to a bit line bar (“BLB”), and the gate of PG-2 is electrically connected to the word line WL.
In one embodiment, the various nFinFETs and pFinFETs are formed using high-k/metal gate technology so the gate stacks includes a high-k dielectric material layer for gate dielectric and one or more metals for gate electrode. The SRAM cell 170 may include additional devices, such as additional pull-down devices and pass-gate devices. In one example, each of the first and second inverter includes multiple pull-down devices formed on multiple fin active regions and configured in parallel. The multiple pull-down devices in parallel are configured such that the drains are connected together, the sources are connected together to the complimentary power line Vss, the gates are connected together. In this case, the fin active regions with the smaller spacing D1 are implemented and the first type of contacts are formed on the closed disposed or merged epitaxy features.
In yet another example, the cell 170 include an additional port having two or more pass-gate devices for additional data access, such as data reading or writing. Those pass-gate devices are configured in parallel and can also implement the configuration and design of the fin active regions and the first type of contacts similar to those for the pull-down devices in parallel. In another example, if a pull-up device may implement the fin active region having the larger spacing D2 from the adjacent fin active regions and the second type of contacts. In another embodiments, a contact is designed to land on and contact multiple fin active regions tightly packed (e.g., with the smaller spacing D1). For example with reference to FIG. 5, the contact 140d contacts three fin active regions 136c, 136d and 136e.
In various embodiments, the disclosed SRAM device addresses various issues noted in the background. In one example, by implementing first fin active regions with the smaller spacing D1 and a second fin active regions with the larger spacing D2, the first type of contacts to the first fin active regions, and the second type of contacts to the second fin active regions, the SRAM cell area is reduced while the functionality and performance of the SRAM cell are improved or maintained. In another embodiment, an epitaxy feature includes a raised structure having a top surface higher than the gate dielectric layer of the corresponding gate stack. In a particular example, the epitaxy feature with the raised structure is a silicon epitaxy feature. In yet another embodiment, the two merged epitaxy features are two silicon epitaxy features. A silicide is formed on the two merged silicon epitaxy features so that the corresponding sources or drains are electrically connected together.
The foregoing has outlined features of several embodiments. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (33)

What is claimed is:
1. A device comprising:
a first fin active region, a second fin active region, and a third fin active region extending from a semiconductor substrate, wherein the first and second fin active regions are spaced apart from each other a first distance and the second and third fin active regions are spaced apart from each other a second distance, wherein the second distance is different than the first distance;
a plurality of fin field-effect transistors (FinFETs) formed on at least one of the first, second, and third fin active regions;
a first contact disposed on the first and second fin active regions; and
a second contact disposed on the third fin active region.
2. The device of claim 1, further comprising a fourth fin active region extending from the semiconductor substrate, the third and fourth fin active regions are spaced way from each other the second distance.
3. The device of claim 1, wherein the second distance is greater than the first distance.
4. The device of claim 1, wherein at least one of the first and second fin active regions includes an epitaxy feature formed thereon.
5. The device of claim 4, wherein the epitaxy feature includes at least one of silicon germanium and silicon carbide.
6. The device of claim 1, wherein the first contact physically contacts the first and second fin active regions.
7. The device of claim 1, wherein the plurality of FinFETs include:
a first inverter including a first pull-up transistor (PU-1) and a first and second pull-down transistors (PD-1 and PD-2); and
a second inverter including a second pull-up transistor (PU-2) and a third and fourth pull-down transistors (PD-3 and PD-4), the second inverter being cross-coupled with the first inverter for data storage, and
wherein the PD-1 and PD-2 are formed on the first and second fin active regions, respectively.
8. A device comprising:
a first fin active region, a second fin active region, and a third fin active region extending from a semiconductor substrate;
a first isolation element extending a first distance from the first fin active region to the second fin active region;
a second isolation element extending a second distance from the second fin active region to the third fin active region, wherein the second distance is different than the first distance;
a plurality of fin field-effect transistors (FinFETs) formed on at least one of the first, second, and third fin active regions;
a first contact disposed on the first and second fin active regions; and
a second contact disposed on the third fin active region.
9. The device of claim 8, wherein the first and second fin active regions have a first silicon epitaxy feature and a second silicon epitaxy feature, respectively.
10. The device of claim 9, further comprising a silicide feature formed on the first and second silicon epitaxy features.
11. The device of claim 8, further comprising a fourth fin active region extending from the semiconductor substrate; and
a third isolation element extending the second distance from the third fin active region to the fourth fin active region.
12. The device of claim 11, wherein the second contact is not electrically coupled to the fourth fin active region.
13. The device of claim 8, wherein the plurality of FinFETs includes:
a first pull-up device and a second pull-up device;
a first pull-down device configured with the first pull-up device to form a first inverter;
a second pull-down device configured with the second pull-up device to form a second inverter; and
a first and second pass-gate devices configured with the first and second inverters as a first port.
14. A method comprising:
forming a first fin, a second fin, and a third fin extending from a substrate, wherein the first fin is spaced away from the second fin by a first distance and the second fin is spaced away from the third fin by a second distance, wherein the second distance is different than the first distance, wherein the first fin includes a first source region and a first drain region, the second fin includes a second source region and a second drain region, and the third fin includes a third source region and a third drain region;
forming a first isolation feature disposed between the first fin and the second fin and a second isolation feature disposed between the second fin and the third fin;
recessing the first fin, the second fin, and the third fin, such that a top surface of the first fin, a top surface of the second fin, and a top surface of the third fin are lower than top surfaces of the first isolation feature and the second isolation feature;
forming a gate structure wrapping the first fin, the second fin, and the third fin, such that the gate structure traverses the first source region and the first drain region of the first fin, the second source region and the second drain region of the second fin, and the third source region and the third drain region of the third fin;
forming first epitaxy features disposed on the first source region and the first drain region of the first fin, second epitaxy features disposed on the second source region and the second drain region of the second fin, and third epitaxy features disposed on the third source region and the third drain region of the third fin, wherein:
the second epitaxy feature disposed on the second source region is merged with the first epitaxy feature disposed on the first source region,
the second epitaxy feature disposed on the second source region is not merged with the third epitaxy feature disposed on the third source region, and
the first epitaxy feature, the second epitaxy feature, and the third epitaxy feature are disposed respectively over the top surfaces of the first fin, the second fin, and the third fin, such that a portion of the second epitaxy features is disposed between the first isolation feature and the second isolation feature;
forming a first contact disposed on a portion of the first epitaxy feature and a portion of the second epitaxy feature disposed respectively on the first source region of the first fin and the second source region of the second fin, such that the first contact couples the first source region of the first fin and the second source region of the second fin to a first voltage line; and
forming a second contact disposed on a portion of the third epitaxy feature disposed on the third source region of the third fin, such that the second contact couples the third source region of the third fin to a second voltage line.
15. The method of claim 14, wherein the forming the first fin, the second fin, and the third fin and the forming the first isolation feature and the second isolation feature includes:
etching trenches in the substrate;
filling the trenches with a dielectric material; and
etching back the dielectric material.
16. The method of claim 14, wherein the forming the first epitaxy features, the second epitaxy features, and the third epitaxy features is performed before a replacement process implemented for the forming the gate structure.
17. The method of claim 14, wherein the forming the first epitaxy features and the second epitaxy features includes growing a first semiconductor material and the forming the third epitaxy features includes growing a second semiconductor material that is different than the first semiconductor material.
18. The method of claim 14, further comprising forming a first metal line and a second metal line, wherein the first contact is connected to the first metal line and the second contact is connected to the second metal line, and further wherein the first metal line and the second metal line are complementary power lines.
19. The method of claim 14, wherein the forming the first contact and the forming the second contact includes: performing a lithography and etching process to form a first contact hole in a dielectric layer that exposes the portion of the first epitaxy feature and the portion of the second epitaxy feature and a second contact hole that exposes the portion of the third epitaxy feature; and
depositing a conductive material in the first contact hole and the second contact hole.
20. The method of claim 14, further comprising configuring the first fin, the second fin, the third fin, the first epitaxy feature, the second epitaxy features, the third epitaxy features, the first contact, and the second contact to form a portion of a static random access memory (SRAM).
21. A method comprising:
forming a first fin of a first fin field effect transistor (FinFET), a second fin of a second FinFET, a third fin of a third FinFET, and a fourth fin of a fourth FinFET, wherein the first, second, third, and fourth fins are oriented substantially parallel to one another, the first fin and the second fin are separated by a first distance, the third fin and the fourth fin are separated by a second distance that is greater than the first distance, the first FinFET and the second FinFET are first type FinFETs, the third FinFETs and the fourth FinFETs are second type FinFETs, and the first type is opposite the second type;
forming a single gate structure that traverses the first fin, the second fin, the third fin, and the fourth fin, such that the gate structure wraps a first portion respectively of the first fin, the second fin, the third fin, and the fourth fin;
forming a first epitaxy feature disposed on a second portion of the first fin, a second epitaxy feature disposed on a second portion of the second fin, a third epitaxy feature disposed on a second portion of the third fin, and a fourth epitaxy feature disposed on a second portion of the fourth fin, wherein the first epitaxy feature is merged with the second epitaxy feature and the third epitaxy feature is not merged with the fourth epitaxy feature;
forming a first contact disposed on the first epitaxy feature and the second epitaxy feature, wherein the first contact spans the first distance between the first fin and the second fin; and
forming a second contact disposed on the third epitaxy feature and the fourth epitaxy feature, wherein the second contact spans the second distance between the third fin and the fourth fin.
22. The method of claim 21, wherein:
the forming the first epitaxy feature and the second epitaxy feature includes epitaxially growing a first semiconductor material from the second portion of the first fin and the second portion of the second fin; and
the forming the third epitaxy feature and the fourth epitaxy feature includes epitaxially growing a second semiconductor material from the second portion of the third fin and the second portion of the fourth fin, wherein the second semiconductor material is different than the first semiconductor material.
23. The method of claim 22, further comprising forming silicide features on the first semiconductor material and the second semiconductor material before forming the first contact and the second contact.
24. The method of claim 22, further comprising etching the first fin and the second fin before epitaxially growing the first semiconductor material and the third fin and the fourth fin before epitaxially growing the second semiconductor material.
25. The method of claim 21, wherein the second fin is separated from the third fin by the second distance.
26. The method of claim 21, wherein:
the first FinFET and the second FinFET are pull-up devices;
the third FinFET and the fourth FinFET are pull-down devices; and
wherein the pull-down devices and the pull-up devices are configured to form a first inverter and a second inverter.
27. The method of claim 21, wherein the forming the single gate structure includes:
forming a polysilicon gate stack over the first portion respectively of the first fin, the second fin, the third fin, and the fourth fin; and
replacing the polysilicon gate stack with a gate stack that includes a high-k gate dielectric and a metal gate electrode.
28. The method of claim 21, further comprising forming an interlayer dielectric layer over the first fin, the second fin, the third fin, and the fourth fin, wherein the first contact and the second contact are formed in the interlayer dielectric layer.
29. A method comprising:
forming a first fin and a second fin separated by a first spacing;
forming a third fin, a fourth fin, and a fifth fin separated by a second spacing, wherein the fourth fin is disposed between the third fin and the fifth fin, and further wherein the second spacing is greater than the first spacing;
forming a first contact over the first fin and the second fin, wherein the first contact spans the first spacing, a width of the first fin, and a width of the second fin, such that the first contact extends beyond outermost sidewalls of the first fin and the second fin;
forming a second contact disposed over the third fin, the fourth fin, and the fifth fin, wherein the second contact spans the second spacing between the third fin and the fourth fin, the second spacing between the fourth fin and the fifth fin, and a width of the fourth fin, such that the second contact does not extend beyond outermost sidewalls of the third fin and the fifth fin; and
forming a single gate structure that traverses the first, second, third, fourth, and fifth fins.
30. The method of claim 29, further comprising:
forming a first isolation feature separating the first fin and the second fin;
forming a second isolation feature separating the third fin and the fourth fin; and
forming a third isolation feature separating the fourth fin and the fifth fin.
31. The method of claim 30, further comprising:
forming a first epitaxy feature disposed on a portion the first fin, a second epitaxy feature disposed on a portion of the second fin, a third epitaxy feature disposed on a portion of the third fin, a fourth epitaxy feature disposed on a portion of the fourth fin, and a fifth epitaxy feature disposed on a portion of the fifth fin;
wherein the first contact is disposed on the first and the second epitaxy features; and
wherein the second contact is disposed on the third, fourth, and fifth epitaxy features.
32. The method of claim 31, wherein:
the forming the first epitaxy feature disposed on the portion on the first fin includes etching back the first fin, such that a top surface of the portion of the first fin is lower than a top surface of the first isolation feature;
the forming the second epitaxy feature disposed on the portion on the second fin includes etching back the second fin, such that a top surface of the portion of the second fin is lower than a top surface of the first isolation feature;
the forming the third epitaxy feature disposed on the portion on the third fin includes etching back the third fin, such that a top surface of the portion of the third fin is lower than a top surface of the second isolation feature;
the forming the fourth epitaxy feature disposed on the portion on the fourth fin includes etching back the fourth fin, such that a top surface of the portion of the fourth fin is lower than a top surface of the second isolation feature; and
the forming the fifth epitaxy feature disposed on the portion on the fifth fin includes etching back the fifth fin, such that a top surface of the portion of the fifth fin is lower than a top surface of the third isolation feature.
33. The method of claim 31, wherein the first epitaxy feature merges with the second epitaxy feature over the first isolation feature, the third epitaxy feature does not merge with the fourth epitaxy feature, and the fourth epitaxy feature does not merge with the fifth epitaxy feature.
US16/422,627 2010-06-30 2019-05-24 Layout for multiple-fin SRAM cell Active USRE49203E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/422,627 USRE49203E1 (en) 2010-06-30 2019-05-24 Layout for multiple-fin SRAM cell

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/827,690 US8399931B2 (en) 2010-06-30 2010-06-30 Layout for multiple-fin SRAM cell
US13/797,190 US8653630B2 (en) 2010-06-30 2013-03-12 Layout for multiple-Fin SRAM cell
US15/041,843 USRE47409E1 (en) 2010-06-30 2016-02-11 Layout for multiple-fin SRAM cell
US16/422,627 USRE49203E1 (en) 2010-06-30 2019-05-24 Layout for multiple-fin SRAM cell

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/797,190 Reissue US8653630B2 (en) 2010-06-30 2013-03-12 Layout for multiple-Fin SRAM cell

Publications (1)

Publication Number Publication Date
USRE49203E1 true USRE49203E1 (en) 2022-09-06

Family

ID=45399031

Family Applications (4)

Application Number Title Priority Date Filing Date
US12/827,690 Active 2031-04-27 US8399931B2 (en) 2010-03-10 2010-06-30 Layout for multiple-fin SRAM cell
US13/797,190 Ceased US8653630B2 (en) 2010-06-30 2013-03-12 Layout for multiple-Fin SRAM cell
US15/041,843 Active USRE47409E1 (en) 2010-06-30 2016-02-11 Layout for multiple-fin SRAM cell
US16/422,627 Active USRE49203E1 (en) 2010-06-30 2019-05-24 Layout for multiple-fin SRAM cell

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US12/827,690 Active 2031-04-27 US8399931B2 (en) 2010-03-10 2010-06-30 Layout for multiple-fin SRAM cell
US13/797,190 Ceased US8653630B2 (en) 2010-06-30 2013-03-12 Layout for multiple-Fin SRAM cell
US15/041,843 Active USRE47409E1 (en) 2010-06-30 2016-02-11 Layout for multiple-fin SRAM cell

Country Status (2)

Country Link
US (4) US8399931B2 (en)
CN (1) CN102315213B (en)

Families Citing this family (234)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8153493B2 (en) 2008-08-28 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET process compatible native transistor
US8357569B2 (en) 2009-09-29 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating finfet device
US8110466B2 (en) 2009-10-27 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cross OD FinFET patterning
US8415718B2 (en) 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8964455B2 (en) 2010-03-10 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a SRAM circuit
US8942030B2 (en) 2010-06-25 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for SRAM cell circuit
US8212295B2 (en) 2010-06-30 2012-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. ROM cell circuit for FinFET devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9130058B2 (en) 2010-07-26 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Forming crown active regions for FinFETs
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8633076B2 (en) 2010-11-23 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for adjusting fin width in integrated circuitry
US9472550B2 (en) 2010-11-23 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Adjusted fin width in integrated circuitry
US8649209B1 (en) * 2011-03-25 2014-02-11 Altera Corporation Memory element circuitry with reduced oxide definition width
TWI514614B (en) * 2011-08-30 2015-12-21 Lextar Electronics Corp Solid state light emitting semiconductor structure and epitaxy growth method thereof
JP2013058688A (en) * 2011-09-09 2013-03-28 Toshiba Corp Semiconductor device manufacturing method
US8796124B2 (en) 2011-10-25 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Doping method in 3D semiconductor device
US8693235B2 (en) 2011-12-06 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for finFET SRAM arrays in integrated circuits
US8582352B2 (en) * 2011-12-06 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for FinFET SRAM cells
US8987831B2 (en) * 2012-01-12 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cells and arrays
KR101876793B1 (en) * 2012-02-27 2018-07-11 삼성전자주식회사 Field Effect Transistor and Method of fabricating the same
US10515956B2 (en) 2012-03-01 2019-12-24 Taiwan Semiconductor Manufacturing Company Semiconductor devices having Fin Field Effect Transistor (FinFET) structures and manufacturing and design methods thereof
US9105744B2 (en) 2012-03-01 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices having inactive fin field effect transistor (FinFET) structures and manufacturing and design methods thereof
US9368388B2 (en) * 2012-04-13 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US8969974B2 (en) 2012-06-14 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9024387B2 (en) * 2012-06-25 2015-05-05 International Business Machines Corporation FinFET with body contact
US9018713B2 (en) 2012-06-25 2015-04-28 International Business Machines Corporation Plural differential pair employing FinFET structure
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
KR20140040543A (en) * 2012-09-26 2014-04-03 삼성전자주식회사 Fin sturctured field effect transistor, memory device including the same and semiconductor device thereof
US8822320B2 (en) * 2012-11-20 2014-09-02 International Business Machines Corporation Dense finFET SRAM
US8779528B2 (en) * 2012-11-30 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell comprising FinFETs
US9397217B2 (en) * 2012-12-28 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of non-planar semiconductor device
US9196548B2 (en) * 2012-12-28 2015-11-24 Globalfoundries Inc. Methods of using a trench salicide routing layer
US9859429B2 (en) 2013-01-14 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of fabricating same
US8779546B1 (en) * 2013-03-07 2014-07-15 Sony Corporation Semiconductor memory system with bit line and method of manufacture thereof
US20160016240A1 (en) * 2013-03-12 2016-01-21 Robert Bosch Gmbh Workpiece Material Detector for a Power Tool
US9892922B1 (en) * 2013-07-05 2018-02-13 Altera Corporation Methods for fabricating integrated circuits with triple gate oxide devices
US9023697B2 (en) 2013-08-08 2015-05-05 International Business Machines Corporation 3D transistor channel mobility enhancement
US9059002B2 (en) * 2013-08-27 2015-06-16 International Business Machines Corporation Non-merged epitaxially grown MOSFET devices
US9373720B2 (en) * 2013-10-14 2016-06-21 Globalfoundries Inc. Three-dimensional transistor with improved channel mobility
KR102224525B1 (en) 2014-02-03 2021-03-08 삼성전자주식회사 Layout design system, semiconductor device fabricated by using the system and method for fabricating the semiconductor device
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
CN106030812B (en) 2014-03-27 2019-09-24 英特尔公司 Germanium tin channel transistor
US9698240B2 (en) * 2014-03-31 2017-07-04 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9391173B2 (en) 2014-04-22 2016-07-12 International Business Machines Corporation FinFET device with vertical silicide on recessed source/drain epitaxy regions
US10177133B2 (en) 2014-05-16 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain contact having height below gate stack
US9564530B2 (en) 2014-06-23 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and method with solid phase diffusion
US9608116B2 (en) * 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US9966471B2 (en) 2014-06-27 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked Gate-All-Around FinFET and method forming the same
KR102192350B1 (en) 2014-08-05 2020-12-18 삼성전자주식회사 Method for forming fine patterns of semiconductor devices and method for forming semiconductor devices using the same
US9614088B2 (en) 2014-08-20 2017-04-04 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9324619B2 (en) * 2014-08-25 2016-04-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US10026661B2 (en) 2014-09-18 2018-07-17 Samsung Electronics Co., Ltd. Semiconductor device for testing large number of devices and composing method and test method thereof
US9811626B2 (en) 2014-09-18 2017-11-07 Samsung Electronics Co., Ltd. Method of designing layout of semiconductor device
US9704862B2 (en) 2014-09-18 2017-07-11 Samsung Electronics Co., Ltd. Semiconductor devices and methods for manufacturing the same
US10095825B2 (en) 2014-09-18 2018-10-09 Samsung Electronics Co., Ltd. Computer based system for verifying layout of semiconductor device and layout verify method thereof
US9767248B2 (en) 2014-09-18 2017-09-19 Samsung Electronics, Co., Ltd. Semiconductor having cross coupled structure and layout verification method thereof
US9589955B2 (en) 2014-10-01 2017-03-07 Samsung Electronics Co., Ltd. System on chip
KR102288869B1 (en) 2014-10-01 2021-08-10 삼성전자주식회사 System on chip
US9437484B2 (en) 2014-10-17 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Etch stop layer in integrated circuits
US9418896B2 (en) 2014-11-12 2016-08-16 Samsung Electronics Co., Ltd. Semiconductor device and fabricating method thereof
US9466494B2 (en) 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
US9508858B2 (en) 2014-11-18 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Contacts for highly scaled transistors
US9613850B2 (en) 2014-12-19 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic technique for feature cut by line-end shrink
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9859286B2 (en) * 2014-12-23 2018-01-02 International Business Machines Corporation Low-drive current FinFET structure for improving circuit density of ratioed logic in SRAM devices
US9876114B2 (en) 2014-12-30 2018-01-23 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D FinFET metal gate
US9673112B2 (en) 2015-02-13 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor fabrication with height control through active region profile
US9859115B2 (en) 2015-02-13 2018-01-02 National Taiwan University Semiconductor devices comprising 2D-materials and methods of manufacture thereof
KR102352154B1 (en) 2015-03-03 2022-01-17 삼성전자주식회사 Integrated circuit device
US9502502B2 (en) 2015-03-16 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9911727B2 (en) 2015-03-16 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Strapping structure of memory circuit
KR102307467B1 (en) * 2015-03-20 2021-09-29 삼성전자주식회사 Semiconductor device comprising active fin
KR102352153B1 (en) 2015-03-25 2022-01-17 삼성전자주식회사 Integrated circuit device and method for manufacturing the same
US9698048B2 (en) 2015-03-27 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
KR102432268B1 (en) 2015-04-14 2022-08-12 삼성전자주식회사 A semiconductor device and method of manufacturing the semiconductor device
KR102170701B1 (en) 2015-04-15 2020-10-27 삼성전자주식회사 Semiconductor device and method of fabricating the same
KR102293185B1 (en) 2015-04-21 2021-08-24 삼성전자주식회사 Semiconductor device having contact plugs and method of forming the same
US9653346B2 (en) 2015-05-07 2017-05-16 United Microelectronics Corp. Integrated FinFET structure having a contact plug pitch larger than fin and first metal pitch
US9673145B2 (en) * 2015-05-07 2017-06-06 United Microelectronics Corp. Semiconductor integrated circuit layout structure
US9741829B2 (en) 2015-05-15 2017-08-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9761683B2 (en) 2015-05-15 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9576796B2 (en) 2015-05-15 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US10062779B2 (en) 2015-05-22 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9685368B2 (en) 2015-06-26 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure having an etch stop layer over conductive lines
US10403744B2 (en) 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US11424399B2 (en) 2015-07-07 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated thermoelectric devices in Fin FET technology
US9418886B1 (en) 2015-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming conductive features
US9536980B1 (en) 2015-07-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming same
KR102415952B1 (en) 2015-07-30 2022-07-05 삼성전자주식회사 Method of design layout of semiconductor device, and method for manufacturing semiconductor device using the same
US9928333B2 (en) * 2015-07-30 2018-03-27 Samsung Electronics Co., Ltd. Methods of designing a layout of a semiconductor device including field effect transistor and methods of manufacturing a semicondutor device using the same
US9564363B1 (en) 2015-08-19 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming butted contact
US9721887B2 (en) 2015-08-19 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd Method of forming metal interconnection
US9831090B2 (en) 2015-08-19 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor device having gate spacer protection layer
US9698100B2 (en) 2015-08-19 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for interconnection
US9728402B2 (en) 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
US9786602B2 (en) 2015-08-21 2017-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnection structure and methods of fabrication the same
US9490136B1 (en) 2015-08-31 2016-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trench cut
US9613856B1 (en) 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9972529B2 (en) 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US10163797B2 (en) 2015-10-09 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Forming interlayer dielectric material by spin-on metal oxide deposition
US9735052B2 (en) 2015-10-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Metal lines for interconnect structure and method of manufacturing same
US9711533B2 (en) 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9659864B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
KR102323943B1 (en) 2015-10-21 2021-11-08 삼성전자주식회사 Method of manufacturing semiconductor device
US9647116B1 (en) 2015-10-28 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating self-aligned contact in a semiconductor device
US9818690B2 (en) 2015-10-30 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnection structure and method
US9627531B1 (en) 2015-10-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Field-effect transistor with dual vertical gates
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9899387B2 (en) 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US10164051B2 (en) 2015-11-16 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9633999B1 (en) 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US10340348B2 (en) 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US9773879B2 (en) 2015-11-30 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10163719B2 (en) 2015-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming self-alignment contact
US9873943B2 (en) 2015-12-15 2018-01-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for spatial atomic layer deposition
US9728501B2 (en) 2015-12-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US10163704B2 (en) 2015-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9887128B2 (en) 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
DE102016116026B4 (en) 2015-12-29 2024-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method
US9614086B1 (en) 2015-12-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Conformal source and drain contacts for multi-gate field effect transistors
US9899269B2 (en) 2015-12-30 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd Multi-gate device and method of fabrication thereof
US11088030B2 (en) 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10115796B2 (en) 2016-01-07 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pulling-back sidewall metal layer
US10811262B2 (en) 2016-01-14 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a uniform and thin silicide layer on an epitaxial source/ drain structure and manufacturing method thereof
US9881872B2 (en) 2016-01-15 2018-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a local interconnect in a semiconductor device
US10283605B2 (en) 2016-01-29 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Self-aligned metal gate etch back process and device
US10163912B2 (en) 2016-01-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device fabrication with improved source drain proximity
US10727094B2 (en) 2016-01-29 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd Thermal reflector device for semiconductor fabrication tool
US9812451B2 (en) 2016-02-03 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd Field effect transistor contact with reduced contact resistance
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9543161B1 (en) 2016-02-10 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of planarizating film
US9947756B2 (en) 2016-02-18 2018-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
TWI726869B (en) * 2016-02-24 2021-05-11 聯華電子股份有限公司 Layout structure for sram and manufacturing methods thereof
US9754822B1 (en) 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US9755019B1 (en) 2016-03-03 2017-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9570556B1 (en) 2016-03-03 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10056407B2 (en) 2016-03-04 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US9711402B1 (en) 2016-03-08 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact metal
US10109627B2 (en) 2016-03-08 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric
US9911611B2 (en) 2016-03-17 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming openings in a material layer
US9779984B1 (en) 2016-03-25 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming trenches with different depths
DE102016114724B4 (en) 2016-03-25 2021-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches of different depths and apparatus - US Pat
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US9847477B2 (en) 2016-04-12 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a bottom electrode of a magnetoresistive random access memory cell
US9805951B1 (en) 2016-04-15 2017-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of integration process for metal CMP
US9893062B2 (en) 2016-04-28 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10475847B2 (en) 2016-04-28 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having stress-neutralized film stack and method of fabricating same
US9899266B2 (en) 2016-05-02 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US11127629B2 (en) 2016-05-17 2021-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and fabricating method thereof
US10276662B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming contact trench
US9917085B2 (en) 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US9941386B2 (en) 2016-06-01 2018-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with fin structure and method for forming the same
US10109467B2 (en) 2016-06-01 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Advanced exhaust system
US9627258B1 (en) 2016-06-15 2017-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact
US10164032B2 (en) 2016-06-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact and manufacturing method thereof
US10515822B2 (en) 2016-06-20 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing bottom layer wrinkling in a semiconductor device
US10008414B2 (en) 2016-06-28 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for widening Fin widths for small pitch FinFET devices
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US9768064B1 (en) 2016-07-14 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US9640540B1 (en) 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
US10121873B2 (en) 2016-07-29 2018-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate and contact plug design and method forming same
US9721805B1 (en) 2016-07-29 2017-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US10510850B2 (en) 2016-08-03 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9929271B2 (en) 2016-08-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10164111B2 (en) 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10043886B2 (en) 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US10522536B2 (en) 2016-08-03 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with gate stacks
US9991205B2 (en) 2016-08-03 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10269926B2 (en) 2016-08-24 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Purging deposition tools to reduce oxygen and moisture in wafers
US9997524B2 (en) 2016-08-24 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device and manufacturing method thereof
US9865697B1 (en) 2016-08-25 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10580901B2 (en) * 2016-09-02 2020-03-03 International Business Machines Corporation Stacked series connected VFETs for high voltage applications
US9812358B1 (en) 2016-09-14 2017-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET structures and methods of forming the same
US9865589B1 (en) 2016-10-31 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of fabricating ESD FinFET with improved metal landing in the drain
US10049930B2 (en) 2016-11-28 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and operation method thereof
US10043665B2 (en) 2016-11-28 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Formation method of semiconductor device structure with semiconductor nanowire
US9837539B1 (en) 2016-11-29 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10510598B2 (en) 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10886268B2 (en) * 2016-11-29 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with separated merged source/drain structure
CN108122976B (en) * 2016-11-29 2020-11-03 中芯国际集成电路制造(上海)有限公司 Semiconductor structure, forming method thereof and SRAM
US10290546B2 (en) 2016-11-29 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage adjustment for a gate-all-around semiconductor structure
US9881834B1 (en) 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Contact openings and methods forming same
US9985134B1 (en) 2016-11-29 2018-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10008416B2 (en) 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US10707316B2 (en) 2016-12-09 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate structure
US9865595B1 (en) 2016-12-14 2018-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with epitaxial structures that wrap around the fins and the method of fabricating the same
EP3335821B1 (en) 2016-12-14 2020-10-07 Sandvik Intellectual Property AB Internal milling cutter
US10157781B2 (en) 2016-12-14 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure using polishing process
US10049936B2 (en) * 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US9972571B1 (en) 2016-12-15 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Logic cell structure and method
US10651171B2 (en) 2016-12-15 2020-05-12 Taiwan Semiconductor Manufacturing Co. Ltd. Integrated circuit with a gate structure and method making the same
US10079289B2 (en) 2016-12-22 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10270430B2 (en) * 2016-12-28 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Cell of transmission gate free circuit and integrated circuit and integrated circuit layout including the same
US10164106B2 (en) 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US9985023B1 (en) 2017-02-21 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
US9859364B1 (en) 2017-03-03 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10153198B2 (en) 2017-04-07 2018-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Low-resistance contact plugs and method forming same
US10056390B1 (en) 2017-04-20 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET SRAM having discontinuous PMOS fin lines
US10522643B2 (en) 2017-04-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method for tuning threshold voltage by implementing different work function metals in different segments of a gate
US10522417B2 (en) 2017-04-27 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device with different liners for PFET and NFET and method of fabricating thereof
US11545495B2 (en) * 2017-06-29 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Preventing gate-to-contact bridging by reducing contact dimensions in FinFET SRAM
KR102360410B1 (en) * 2017-08-30 2022-02-08 삼성전자주식회사 Semiconductor device
US10522423B2 (en) * 2017-08-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for fin-like field effect transistor
US10411020B2 (en) 2017-08-31 2019-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Using three or more masks to define contact-line-blocking components in FinFET SRAM fabrication
US10453753B2 (en) 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US10276720B2 (en) * 2017-08-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming fin field effect transistor (FINFET) device structure
US10276697B1 (en) 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
US10522557B2 (en) 2017-10-30 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Surface topography by forming spacer-like components
US10366915B2 (en) 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
US10510894B2 (en) 2017-11-30 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure having different distances to adjacent FinFET devices
US10756114B2 (en) 2017-12-28 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor circuit with metal structure and manufacturing method
CN109979881B (en) * 2017-12-28 2023-07-18 中芯国际集成电路制造(北京)有限公司 Structure of static random access memory and forming method thereof
US10854615B2 (en) 2018-03-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having non-merging epitaxially grown source/drains
US10629706B2 (en) 2018-05-10 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Fin and gate dimensions for optimizing gate formation
US11302535B2 (en) 2018-06-27 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Performing annealing process to improve fin quality of a FinFET semiconductor
US10665506B2 (en) 2018-06-27 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced via bridging risk
US10388771B1 (en) 2018-06-28 2019-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method and device for forming cut-metal-gate feature
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US10886226B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US11121129B2 (en) * 2018-07-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US10998241B2 (en) 2018-09-19 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation using a maskless fabrication process flow
US11210447B2 (en) 2018-09-26 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Reconfiguring layout and sizing for transistor components to simultaneously optimize logic devices and non-logic devices
US10797058B2 (en) * 2018-09-28 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation
US11069793B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US11139203B2 (en) 2018-10-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Using mask layers to facilitate the formation of self-aligned contacts and vias
US10971605B2 (en) 2018-10-22 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy dielectric fin design for parasitic capacitance reduction
KR20200116646A (en) * 2019-04-02 2020-10-13 삼성전자주식회사 Intergrated circuits and semiconductor device including standard cell
US11508822B2 (en) 2019-09-25 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain via having reduced resistance
US11764220B2 (en) 2020-04-27 2023-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device by patterning a serpentine cut pattern
US11769821B2 (en) 2020-05-15 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a corner spacer

Citations (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5289432A (en) 1991-04-24 1994-02-22 International Business Machines Corporation Dual-port static random access memory cell
US6084820A (en) 1999-01-06 2000-07-04 Virage Logic Corporation Dual port memory device with vertical shielding
US6091626A (en) 1997-04-02 2000-07-18 Texas Instruments Incorporated Low voltage, low power static random access memory cell
US6181634B1 (en) 1999-01-29 2001-01-30 Nec Corporation Multiple-port semiconductor memory device
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6858478B2 (en) 2002-08-23 2005-02-22 Intel Corporation Tri-gate devices and methods of fabrication
US6914338B1 (en) 2004-04-06 2005-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell having conductive sill
US20050153490A1 (en) 2003-12-16 2005-07-14 Jae-Man Yoon Method of forming fin field effect transistor
US6924561B1 (en) 2003-12-08 2005-08-02 Advanced Micro Devices, Inc. SRAM formation using shadow implantation
US20050224890A1 (en) * 2004-04-12 2005-10-13 International Business Machines Corporation FinFET transistor and circuit
US20050247981A1 (en) 2004-05-10 2005-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device having shielded access lines
US20050253287A1 (en) 2004-05-11 2005-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-port SRAM cell structure
US7002258B2 (en) 2003-12-03 2006-02-21 Arm Physical Ip, Inc. Dual port memory core cell architecture with matched bit line capacitances
US20060068531A1 (en) 2002-12-19 2006-03-30 Matthew Breitwisch Finfet sram cell using inverted finfet thin film transistors
US7023056B2 (en) 2003-11-26 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
US7026689B2 (en) 2004-08-27 2006-04-11 Taiwan Semiconductor Manufacturing Company Metal gate structure for MOS devices
US7092309B2 (en) 2004-04-30 2006-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Standby mode SRAM design for power reduction
US7098491B2 (en) 2003-12-30 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Protection circuit located under fuse window
US7112857B2 (en) 2004-07-06 2006-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Devices with different electrical gate dielectric thicknesses but with substantially similar physical configurations
US20070025132A1 (en) 2005-07-29 2007-02-01 Taiwan Semiconductor Manufacturing Company Ltd. Dual port cell structure
US7176125B2 (en) 2004-07-23 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a static random access memory with a buried local interconnect
US7187036B2 (en) 2004-03-31 2007-03-06 Taiwan Semiconductor Manufacturing Company Connection structure for SOI devices
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US20070090428A1 (en) 2005-10-25 2007-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
US20070122953A1 (en) 2005-07-01 2007-05-31 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with High-Permittivity Dielectric Isolation Material
US20070120156A1 (en) 2005-07-01 2007-05-31 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with Multi Layer Regions
US20070122954A1 (en) 2005-07-01 2007-05-31 Synopsys, Inc. Sequential Selective Epitaxial Growth
US20070128782A1 (en) 2005-07-01 2007-06-07 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with Narrowed Base Regions
US7233032B2 (en) 2003-12-05 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM device having high aspect ratio cell boundary
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7250657B2 (en) 2005-03-11 2007-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Layout structure for memory arrays with SOI devices
US7257017B2 (en) 2004-05-28 2007-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell for soft-error rate reduction and cell stability improvement
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7269056B1 (en) 2006-04-27 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Power grid design for split-word line style memory cell
US20070228372A1 (en) 2004-10-19 2007-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Fabricating a Body Contact in a Finfet Structure and a Device Including the Same
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7286896B2 (en) 2004-09-13 2007-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-function teach pendant for a semiconductor manufacturing environment
US7300837B2 (en) 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
US20080019171A1 (en) 2006-07-18 2008-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Dual port memory device with reduced coupling effect
US7365432B2 (en) 2004-08-23 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
US7403413B2 (en) 2006-06-28 2008-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple port resistive memory cell
US20080258228A1 (en) 2007-04-18 2008-10-23 Harry Chuang Contact Scheme for MOSFETs
US20080296632A1 (en) 2007-05-30 2008-12-04 Synopsys, Inc. Stress-Enhanced Performance Of A FinFet Using Surface/Channel Orientations And Strained Capping Layers
US20080308848A1 (en) * 2007-05-15 2008-12-18 Satoshi Inaba Semiconductor device
US7468902B2 (en) 2006-09-27 2008-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM device with a low operation voltage
US20090035909A1 (en) 2007-07-31 2009-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a finfet element
US7502273B2 (en) 2006-09-27 2009-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Two-port SRAM with a high speed sensing scheme
US7505354B2 (en) 2006-08-10 2009-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. Word line voltage control circuit for memory devices
US7514757B2 (en) 2006-08-31 2009-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory formation with reduced metallization layers
US7525868B2 (en) 2006-11-29 2009-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-port SRAM device
US7529117B2 (en) 2007-03-07 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Design solutions for integrated circuits with triple gate oxides
US7586147B2 (en) 2006-04-17 2009-09-08 Taiwan Semiconductor Manufacturing Co. Ltd. Butted source contact and well strap
US7592675B2 (en) 2006-10-02 2009-09-22 Taiwan Semiconductor Manufacutring Company, Ltd. Partial FinFET memory cell
US20100006974A1 (en) 2008-07-14 2010-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos nand flash charge retention
US20100006945A1 (en) 2008-06-11 2010-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet drive strength modification
US7660149B2 (en) 2006-12-07 2010-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell with separate read and write ports
US20100052059A1 (en) 2008-08-28 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet process compatible native transistor
US7675124B2 (en) 2006-02-24 2010-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array structure with strapping cells
US7679947B2 (en) 2006-08-02 2010-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with source and bulk coupled to separate voltage supplies
US7692230B2 (en) 2006-12-06 2010-04-06 Taiwan Semiconductor Manufacturing Co. Ltd. MRAM cell structure
US20100109086A1 (en) 2008-11-06 2010-05-06 Qualcomm Incorporated Method of Fabricating A Fin Field Effect Transistor (FinFET) Device
US7723806B2 (en) 2006-03-28 2010-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cells and semiconductor memory device using the same
US7738282B2 (en) 2007-02-15 2010-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure of dual port SRAM
US20100183961A1 (en) 2009-01-20 2010-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US20100203734A1 (en) 2009-02-12 2010-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
US20100264468A1 (en) 2009-04-17 2010-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method Of Fabrication Of A FinFET Element
US7864561B2 (en) 2006-07-28 2011-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cell structure with buried capacitor for soft error rate improvement
US8009463B2 (en) 2009-07-31 2011-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Cell structure for dual port SRAM
US20110222332A1 (en) 2010-03-10 2011-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Fully Balanced Dual-Port Memory Cell
US20110317477A1 (en) 2010-06-25 2011-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port sram
US20110317485A1 (en) 2010-06-25 2011-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for sram cell circuit
US20120001232A1 (en) 2010-06-30 2012-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Rom cell circuit for finfet devices
US20120001197A1 (en) 2010-06-30 2012-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin sram cell
US8120939B2 (en) 2009-09-24 2012-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. ROM cell having an isolation transistor formed between first and second pass transistors and connected between a differential bitline pair
US8144540B2 (en) 2009-04-14 2012-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Two-port 8T SRAM design
US8174868B2 (en) 2009-09-30 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded SRAM structure and chip
US8189368B2 (en) 2009-07-31 2012-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Cell structure for dual port SRAM
US8188537B2 (en) 2008-01-29 2012-05-29 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
US8218354B2 (en) 2009-12-30 2012-07-10 Taiwan Semicondcutor Manufacturing Co., Ltd. SRAM word-line coupling noise restriction
US8258572B2 (en) 2009-12-07 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with FinFETs having multiple fins
US8263451B2 (en) 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US8361871B2 (en) 2008-12-24 2013-01-29 Intel Corporation Trigate static random-access memory with independent source and drain engineering, and devices made therefrom
US8390033B2 (en) 2009-02-23 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Metal structure for memory device
US8399935B2 (en) 2009-09-18 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SRAM memory for low power applications
US8405216B2 (en) 2005-06-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for integrated circuits
US8421130B2 (en) 2007-04-04 2013-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing SRAM devices with reduced threshold voltage deviation
US8472227B2 (en) 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8737107B2 (en) 2009-01-15 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Memory circuits and routing of conductive layers thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10361695B3 (en) * 2003-12-30 2005-02-03 Infineon Technologies Ag Transistor structure for dynamic random-access memory cell has recess structure between source/drain regions and vertical gate electrode enclosing active region on at least 2 sides
KR100615581B1 (en) * 2004-05-10 2006-08-25 삼성전자주식회사 flash memory device having FinFET structure and fabrication method thereof
KR100744137B1 (en) * 2006-04-06 2007-08-01 삼성전자주식회사 Semiconductor device and method of fabricating the same
US7470570B2 (en) * 2006-11-14 2008-12-30 International Business Machines Corporation Process for fabrication of FinFETs

Patent Citations (107)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5289432A (en) 1991-04-24 1994-02-22 International Business Machines Corporation Dual-port static random access memory cell
US6091626A (en) 1997-04-02 2000-07-18 Texas Instruments Incorporated Low voltage, low power static random access memory cell
US6084820A (en) 1999-01-06 2000-07-04 Virage Logic Corporation Dual port memory device with vertical shielding
US6181634B1 (en) 1999-01-29 2001-01-30 Nec Corporation Multiple-port semiconductor memory device
US6858478B2 (en) 2002-08-23 2005-02-22 Intel Corporation Tri-gate devices and methods of fabrication
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US20060068531A1 (en) 2002-12-19 2006-03-30 Matthew Breitwisch Finfet sram cell using inverted finfet thin film transistors
US7271451B2 (en) 2003-11-26 2007-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
US7023056B2 (en) 2003-11-26 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
US7002258B2 (en) 2003-12-03 2006-02-21 Arm Physical Ip, Inc. Dual port memory core cell architecture with matched bit line capacitances
US7233032B2 (en) 2003-12-05 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM device having high aspect ratio cell boundary
US6924561B1 (en) 2003-12-08 2005-08-02 Advanced Micro Devices, Inc. SRAM formation using shadow implantation
US20050153490A1 (en) 2003-12-16 2005-07-14 Jae-Man Yoon Method of forming fin field effect transistor
US7098491B2 (en) 2003-12-30 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Protection circuit located under fuse window
US7187036B2 (en) 2004-03-31 2007-03-06 Taiwan Semiconductor Manufacturing Company Connection structure for SOI devices
US6914338B1 (en) 2004-04-06 2005-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell having conductive sill
US20050224890A1 (en) * 2004-04-12 2005-10-13 International Business Machines Corporation FinFET transistor and circuit
US7092309B2 (en) 2004-04-30 2006-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Standby mode SRAM design for power reduction
US7300837B2 (en) 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
US20050247981A1 (en) 2004-05-10 2005-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device having shielded access lines
US20050253287A1 (en) 2004-05-11 2005-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-port SRAM cell structure
US7257017B2 (en) 2004-05-28 2007-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell for soft-error rate reduction and cell stability improvement
US7112857B2 (en) 2004-07-06 2006-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Devices with different electrical gate dielectric thicknesses but with substantially similar physical configurations
US7176125B2 (en) 2004-07-23 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a static random access memory with a buried local interconnect
US7365432B2 (en) 2004-08-23 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
US7026689B2 (en) 2004-08-27 2006-04-11 Taiwan Semiconductor Manufacturing Company Metal gate structure for MOS devices
US7419898B2 (en) 2004-08-27 2008-09-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for metal gate structure for MOS devices
US7286896B2 (en) 2004-09-13 2007-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-function teach pendant for a semiconductor manufacturing environment
US20070228372A1 (en) 2004-10-19 2007-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Fabricating a Body Contact in a Finfet Structure and a Device Including the Same
US7250657B2 (en) 2005-03-11 2007-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Layout structure for memory arrays with SOI devices
US8405216B2 (en) 2005-06-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for integrated circuits
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070128782A1 (en) 2005-07-01 2007-06-07 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with Narrowed Base Regions
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US20090181477A1 (en) 2005-07-01 2009-07-16 Synopsys, Inc. Integrated Circuit On Corrugated Substrate
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US20070132053A1 (en) 2005-07-01 2007-06-14 Synopsys Inc. Integrated Circuit On Corrugated Substrate
US7528465B2 (en) 2005-07-01 2009-05-05 Synopsys, Inc. Integrated circuit on corrugated substrate
US20070122954A1 (en) 2005-07-01 2007-05-31 Synopsys, Inc. Sequential Selective Epitaxial Growth
US20070120156A1 (en) 2005-07-01 2007-05-31 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with Multi Layer Regions
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US20070122953A1 (en) 2005-07-01 2007-05-31 Synopsys, Inc. Enhanced Segmented Channel MOS Transistor with High-Permittivity Dielectric Isolation Material
US7605449B2 (en) * 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US20080290470A1 (en) 2005-07-01 2008-11-27 Synopsys, Inc. Integrated Circuit On Corrugated Substrate
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7405994B2 (en) 2005-07-29 2008-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Dual port cell structure
US20070025132A1 (en) 2005-07-29 2007-02-01 Taiwan Semiconductor Manufacturing Company Ltd. Dual port cell structure
US7485934B2 (en) 2005-10-25 2009-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
US20070090428A1 (en) 2005-10-25 2007-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
US7812407B2 (en) 2006-02-24 2010-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array structure with strapping cells
US7675124B2 (en) 2006-02-24 2010-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array structure with strapping cells
US7723806B2 (en) 2006-03-28 2010-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cells and semiconductor memory device using the same
US7906389B2 (en) 2006-04-17 2011-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Butted source contact and well strap
US7586147B2 (en) 2006-04-17 2009-09-08 Taiwan Semiconductor Manufacturing Co. Ltd. Butted source contact and well strap
US7269056B1 (en) 2006-04-27 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Power grid design for split-word line style memory cell
US7403413B2 (en) 2006-06-28 2008-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple port resistive memory cell
US20080019171A1 (en) 2006-07-18 2008-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Dual port memory device with reduced coupling effect
US7577040B2 (en) 2006-07-18 2009-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Dual port memory device with reduced coupling effect
US7864561B2 (en) 2006-07-28 2011-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cell structure with buried capacitor for soft error rate improvement
US7679947B2 (en) 2006-08-02 2010-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with source and bulk coupled to separate voltage supplies
US7505354B2 (en) 2006-08-10 2009-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. Word line voltage control circuit for memory devices
US7514757B2 (en) 2006-08-31 2009-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory formation with reduced metallization layers
US7502273B2 (en) 2006-09-27 2009-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Two-port SRAM with a high speed sensing scheme
US7468902B2 (en) 2006-09-27 2008-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM device with a low operation voltage
US7592675B2 (en) 2006-10-02 2009-09-22 Taiwan Semiconductor Manufacutring Company, Ltd. Partial FinFET memory cell
US7525868B2 (en) 2006-11-29 2009-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-port SRAM device
US7692230B2 (en) 2006-12-06 2010-04-06 Taiwan Semiconductor Manufacturing Co. Ltd. MRAM cell structure
US7660149B2 (en) 2006-12-07 2010-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell with separate read and write ports
US7738282B2 (en) 2007-02-15 2010-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure of dual port SRAM
US7529117B2 (en) 2007-03-07 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Design solutions for integrated circuits with triple gate oxides
US8421130B2 (en) 2007-04-04 2013-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing SRAM devices with reduced threshold voltage deviation
US20080263492A1 (en) 2007-04-18 2008-10-23 Harry Chuang 3-Dimensional Device Design Layout
US20080258228A1 (en) 2007-04-18 2008-10-23 Harry Chuang Contact Scheme for MOSFETs
US20080308848A1 (en) * 2007-05-15 2008-12-18 Satoshi Inaba Semiconductor device
US7994583B2 (en) 2007-05-15 2011-08-09 Kabushiki Kaisha Toshiba Semiconductor device including n-type and p-type FinFET's constituting an inverter structure
US20080296632A1 (en) 2007-05-30 2008-12-04 Synopsys, Inc. Stress-Enhanced Performance Of A FinFet Using Surface/Channel Orientations And Strained Capping Layers
US20090035909A1 (en) 2007-07-31 2009-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a finfet element
US8188537B2 (en) 2008-01-29 2012-05-29 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
US20100006945A1 (en) 2008-06-11 2010-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet drive strength modification
US20100006974A1 (en) 2008-07-14 2010-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos nand flash charge retention
US20100052059A1 (en) 2008-08-28 2010-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Finfet process compatible native transistor
US20100109086A1 (en) 2008-11-06 2010-05-06 Qualcomm Incorporated Method of Fabricating A Fin Field Effect Transistor (FinFET) Device
US8361871B2 (en) 2008-12-24 2013-01-29 Intel Corporation Trigate static random-access memory with independent source and drain engineering, and devices made therefrom
US8737107B2 (en) 2009-01-15 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Memory circuits and routing of conductive layers thereof
US20100183961A1 (en) 2009-01-20 2010-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US20100203734A1 (en) 2009-02-12 2010-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
US8691633B2 (en) 2009-02-23 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Metal structure for memory device
US8390033B2 (en) 2009-02-23 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Metal structure for memory device
US8144540B2 (en) 2009-04-14 2012-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Two-port 8T SRAM design
US20100264468A1 (en) 2009-04-17 2010-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method Of Fabrication Of A FinFET Element
US8009463B2 (en) 2009-07-31 2011-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Cell structure for dual port SRAM
US8189368B2 (en) 2009-07-31 2012-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Cell structure for dual port SRAM
US8399935B2 (en) 2009-09-18 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SRAM memory for low power applications
US8120939B2 (en) 2009-09-24 2012-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. ROM cell having an isolation transistor formed between first and second pass transistors and connected between a differential bitline pair
US8174868B2 (en) 2009-09-30 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded SRAM structure and chip
US8258572B2 (en) 2009-12-07 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with FinFETs having multiple fins
US8218354B2 (en) 2009-12-30 2012-07-10 Taiwan Semicondcutor Manufacturing Co., Ltd. SRAM word-line coupling noise restriction
US8472227B2 (en) 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8263451B2 (en) 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US8315084B2 (en) 2010-03-10 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fully balanced dual-port memory cell
US20110222332A1 (en) 2010-03-10 2011-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Fully Balanced Dual-Port Memory Cell
US20110317485A1 (en) 2010-06-25 2011-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for sram cell circuit
US20110317477A1 (en) 2010-06-25 2011-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port sram
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US20120001197A1 (en) 2010-06-30 2012-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin sram cell
US20120001232A1 (en) 2010-06-30 2012-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Rom cell circuit for finfet devices
US8653630B2 (en) 2010-06-30 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-Fin SRAM cell

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Koji Nii et al., "27.9—A 90nm Dual-Port SRAM with 2.04 μm28T-Thin Cell Using Dynamically-Controlled Column Bias Scheme", ISSCC 2004 / Session 27 / SRAM / 27.9, 2004 IEEE International Solid-State Circuits Conference, 0-7803-8267-6/04, 2004 IEEE, 10 pages.

Also Published As

Publication number Publication date
US20130200395A1 (en) 2013-08-08
US8653630B2 (en) 2014-02-18
CN102315213B (en) 2013-07-10
US20120001197A1 (en) 2012-01-05
USRE47409E1 (en) 2019-05-28
US8399931B2 (en) 2013-03-19
CN102315213A (en) 2012-01-11

Similar Documents

Publication Publication Date Title
USRE49203E1 (en) Layout for multiple-fin SRAM cell
US9691774B2 (en) Structure and method for SRAM cell circuit
US9870815B2 (en) Structure and method for a SRAM circuit
US9640540B1 (en) Structure and method for an SRAM circuit
US20180012650A1 (en) Dual-Port SRAM Connection Structure
US8436405B2 (en) ROM cell circuit for FinFET devices
US9892781B2 (en) Cell structure for dual-port static random access memory
US10083969B2 (en) Static random access memory and method of manufacturing the same
US20040135215A1 (en) Static random access memories (SRAMS) having vertical transistors and methods of fabricating the same
CN111106115B (en) Semiconductor structure
KR102494318B1 (en) Sram structure with asymmetric interconnection
KR102609556B1 (en) Integrated circuit devices
US10418368B1 (en) Buried local interconnect in source/drain region
US7045864B2 (en) Semiconductor integrated circuit device
US11264393B2 (en) Source/drain contact having a protruding segment
TW202303969A (en) Method of fabricating a semiconductor device
CN115083472A (en) SRAM cell

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIAW, JHON JHY;SHEN, JENG-JUNG;SIGNING DATES FROM 20100610 TO 20100611;REEL/FRAME:060400/0391

AS Assignment

Owner name: ADVANCED MANUFACTURING INNOVATIONS INC., DELAWARE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED;REEL/FRAME:064180/0278

Effective date: 20230620