US8357609B2 - Dual damascene-like subtractive metal etch scheme - Google Patents
Dual damascene-like subtractive metal etch scheme Download PDFInfo
- Publication number
- US8357609B2 US8357609B2 US12/773,219 US77321910A US8357609B2 US 8357609 B2 US8357609 B2 US 8357609B2 US 77321910 A US77321910 A US 77321910A US 8357609 B2 US8357609 B2 US 8357609B2
- Authority
- US
- United States
- Prior art keywords
- metal
- interconnect lines
- vias
- forming
- layers
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related, expires
Links
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 137
- 239000002184 metal Substances 0.000 title claims abstract description 137
- 230000009977 dual effect Effects 0.000 title description 5
- 239000000758 substrate Substances 0.000 claims abstract description 18
- 238000000059 patterning Methods 0.000 claims abstract description 17
- 238000000151 deposition Methods 0.000 claims abstract description 16
- 238000000034 method Methods 0.000 claims description 37
- 230000004888 barrier function Effects 0.000 claims description 29
- 239000010949 copper Substances 0.000 claims description 27
- 238000005530 etching Methods 0.000 claims description 27
- 229910052802 copper Inorganic materials 0.000 claims description 8
- 229910052782 aluminium Inorganic materials 0.000 claims description 7
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 6
- 238000000137 annealing Methods 0.000 claims description 6
- 238000000231 atomic layer deposition Methods 0.000 claims description 6
- 238000004519 manufacturing process Methods 0.000 claims description 6
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 5
- 230000008021 deposition Effects 0.000 claims description 5
- 238000009713 electroplating Methods 0.000 claims description 5
- 125000006850 spacer group Chemical group 0.000 claims description 5
- 238000005234 chemical deposition Methods 0.000 claims description 4
- 238000005498 polishing Methods 0.000 claims description 4
- 239000000126 substance Substances 0.000 claims description 4
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 claims description 3
- 239000003989 dielectric material Substances 0.000 claims description 2
- 229910052715 tantalum Inorganic materials 0.000 claims description 2
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 2
- 239000011148 porous material Substances 0.000 abstract 1
- 150000002739 metals Chemical class 0.000 description 10
- 230000008569 process Effects 0.000 description 9
- 229920002120 photoresistant polymer Polymers 0.000 description 6
- 238000001020 plasma etching Methods 0.000 description 5
- HEDRZPFGACZZDS-UHFFFAOYSA-N Chloroform Chemical compound ClC(Cl)Cl HEDRZPFGACZZDS-UHFFFAOYSA-N 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 230000000694 effects Effects 0.000 description 4
- 238000012986 modification Methods 0.000 description 4
- 230000004048 modification Effects 0.000 description 4
- VZGDMQKNWNREIO-UHFFFAOYSA-N tetrachloromethane Chemical compound ClC(Cl)(Cl)Cl VZGDMQKNWNREIO-UHFFFAOYSA-N 0.000 description 4
- 230000008901 benefit Effects 0.000 description 3
- 239000000463 material Substances 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 229960001701 chloroform Drugs 0.000 description 2
- 230000000593 degrading effect Effects 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 229910000881 Cu alloy Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 1
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 239000010941 cobalt Substances 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 1
- JPNWDVUTVSTKMV-UHFFFAOYSA-N cobalt tungsten Chemical compound [Co].[W] JPNWDVUTVSTKMV-UHFFFAOYSA-N 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000001066 destructive effect Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 230000003467 diminishing effect Effects 0.000 description 1
- 238000005108 dry cleaning Methods 0.000 description 1
- 239000004615 ingredient Substances 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 239000011368 organic material Substances 0.000 description 1
- 239000002574 poison Substances 0.000 description 1
- 231100000614 poison Toxicity 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 230000036962 time dependent Effects 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76885—By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/7685—Barrier, adhesion or liner layers the layer covering a conductive structure
- H01L21/76852—Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76886—Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
Definitions
- the present disclosure relates to methods for forming semiconductor metal interconnects.
- the present disclosure is particularly applicable to 100 nanometer (nm) pitch devices and smaller.
- BEOL metal interconnect layers employ a copper or copper alloy (Cu) inlay or damascene process, because of difficulties in patterning blanket Cu metal films into interconnect traces.
- Cu copper or copper alloy
- FEOL front-end-of-line
- BEOL metal interconnect line pitch decreases.
- the metal trench width is reduced, the trench aspect ratio increases, making it increasingly more difficult to deposit barrier/seed layers with good uniformity and integrity, and without creating voids, which cause reliability and yield problems and high line resistance. Grain growth in small features is also limited, which degrades electromigration (EM).
- EM electromigration
- the dual damascene approach the low-k dielectric in which the trenches are etched becomes damaged by the etch processes, thereby degrading capacitance and time-dependent dielectric breakdown (TDDB).
- Reactive ion etching or short RIE has also been used for aluminum (Al), as it has the advantage of producing an anisotropic or directional etch pattern. This allows for approximately rectangular interconnect cross sections, which in turn allows for high interconnect densities, as required for modern microchips.
- RIE reactive ion etching
- Cu does not readily form volatile compounds for a dry etching process, except with high temperatures that are destructive to the semiconductor features.
- chloride used for dry etching poisons Cu.
- An aspect of the present disclosure is an improved method of fabricating a metal interconnect and via in which the interconnect and via are patterned together prior to forming the dielectric layer.
- some technical effects may be achieved in part by a method of fabricating metal interconnects, the method comprising: forming metal layers on a substrate; patterning the metal layers to form metal interconnect lines and vias; and forming a dielectric layer on the substrate, metal interconnect lines, and vias, thereby filling gaps between the metal interconnect lines and between the vias.
- aspects of the present disclosure include patterning the metal layers by subtractive etching. Further aspects include annealing the metal layers prior to patterning. Other aspects include forming the metal layers of copper (Cu). Another aspect includes forming the metal layers of aluminum (Al). Additional aspects include forming a barrier layer on each metal layer. Further aspects include forming a liner on sidewalls of the metal interconnect lines and the vias prior to depositing the dielectric layer. Other aspects include forming the liner by electroplating, selective atomic layer deposition, selective chemical vapor deposition, or deposition followed by spacer etching. Another aspect includes chemical mechanical polishing the dielectric layer. Additional aspects include forming additional layers of interconnect lines by repeating the steps of forming metal layers, patterning the metal layers to form metal interconnect lines and vias, and forming a dielectric layer.
- Another aspect of the present disclosure is a method of fabricating metal interconnects, the method comprising: forming a first metal layer on a substrate; forming a second metal layer on the first metal layer; etching the first and second metal layers to form metal interconnect lines and vias on the metal interconnects; and depositing a dielectric layer on the substrate, metal interconnect lines, and vias, thereby filling gaps between the metal interconnect lines and between the vias.
- aspects include annealing the first and second metal layers prior to etching. Further aspects include depositing a barrier layer on each metal layer. Other aspects include forming the first and second metal layers of copper (Cu). Another aspect includes forming a liner on sidewalls of the metal interconnect lines and the vias prior to depositing the dielectric layer. Additional aspects include forming the liner by electroplating, selective atomic layer deposition, chemical vapor deposition, or deposition followed by spacer etching. Further aspects include forming the first and second metal layers of aluminum (Al). Other aspects include etching the first and second metal layers by subtractive etching. Additional aspects include depositing a porous dielectric material with a dielectric constant less than 2.4 to form the dielectric layer.
- FIGS. 1A through 12A and FIGS. 1B through 12B schematically illustrate cross sectional and top down views, respectively, of sequential steps of a method in accordance with an exemplary embodiment
- FIGS. 13 and 14 schematically illustrate steps corresponding to FIGS. 7 and 8 when no barrier and/or etch-stop layers are employed.
- the present disclosure addresses and solves the metal fill problems attendant upon forming Cu interconnects by a dual damascene process, reduces the number of steps of an Al subtractive RIE process, and solves problematic low-k dielectric damage attendant upon forming interconnects by either process.
- metal such as Al or Cu is blanket deposited on the substrate and etched into interconnects and vias prior to forming the dielectric layer. Consequently, no metal fill is required, the number of steps is reduced by patterning both the interconnect lines and vias together, and the dielectric is not exposed to plasma, and, therefore is not damaged. Accordingly, capacitance is improved.
- Methodology in accordance with embodiments of the present disclosure includes forming metal layers on a substrate, patterning the metal layers to form metal interconnect lines and vias, and forming a dielectric layer on the substrate, metal interconnect lines, and vias, thereby filling gaps between the metal interconnect lines and between the vias.
- a first layer of metal 101 is formed on a substrate 103 .
- a barrier layer 105 optionally may be formed on first metal layer 101 .
- a second metal layer 107 and optional second barrier layer 109 are consecutively formed on barrier layer 105 .
- Metal layers 101 and 107 may be formed of Cu, Al, W or any other conductive material suitable for metal lines.
- Barrier layers 105 and 109 may be formed, for example, of tantalum (Ta). Metal layers 101 and 107 are then annealed, thereby maximizing grain size.
- a mask layer 111 may be formed on barrier layer 109 , for example of silicon nitride (SiN), silicon carbon nitride (SiCN), silicon carbide (SiC), an organic material, or other suitable mask material.
- An oxide layer 113 may be formed on mask layer 111 .
- a photoresist (not shown for illustrative convenience) is formed and patterned on oxide layer 113 .
- Oxide layer 113 is lithographically patterned through the photoresist to form islands 113 a of oxide where vias will later be formed, and the photoresist is removed, as illustrated in FIGS. 2A and 2B .
- FIGS. 3A and 3B Adverting to FIGS. 3A and 3B , another photoresist (not shown for illustrative convenience) is formed and patterned on oxide islands 113 a and mask layer 111 .
- Mask layer 111 is then lithographically patterned through the photoresist, removing mask material except where metal lines will later be formed, thereby forming patterned mask 111 a , and the photoresist is removed.
- a subtractive etch is employed to remove metal layer 107 and barrier layer 109 except where metal lines are to be formed, resulting in the structure shown in FIGS. 4A and 4B .
- patterned metal layer 107 a and patterned barrier layer 109 a remain, covered with patterned mask 111 a .
- Etchants used for patterning metal layer 107 may include chlorine (Cl) based etchants, such as Cl 2 , boron trichloride (BCl 3 ), trichloromethane or chloroform (CHCl 3 ), carbon tetrachloride (CCl 4 ) for Al and other non-Cu metals.
- Cl 2 chlorine
- BCl 3 boron trichloride
- CHCl 3 trichloromethane or chloroform
- CCl 4 carbon tetrachloride
- patterned mask 111 a is etched leaving mask islands 111 b , covered with oxide islands 113 a , where vias will be formed.
- patterned metal layer 107 a and patterned barrier layer 109 a are etched to form metal layer islands 107 b and barrier layer islands 109 b , respectively, which together form vias 601
- metal layer 101 and barrier layer 105 are etched to form patterned metal layer 101 a and patterned barrier layer 105 a , respectively, which together form metal interconnect lines 603 . All metal is removed except for vias 601 and metal interconnect lines 603 .
- the etchant may be the same as that used for etching metal layer 107 and barrier layer 109 in FIGS. 4A and 4B .
- Etchants used for etching metal layers 101 and 107 may be selective to barrier metals 105 and 109 , and different etchants may be used for barrier layers 105 and 109 .
- etching parameters such as temperature and time, may be controlled to stop etching on barrier layer 105 .
- oxide islands 113 a and mask islands 111 b are removed, such as by etching. Barrier layer islands 109 b are thereby exposed, as illustrated in FIGS. 7A and 7B .
- a liner 801 for example Ta, tantalum nitride (TaN), cobalt (Co), W, ruthinium (Ru), titanium (Ti), or titanium nitride (TiN), may then be formed on sidewalls of vias 601 and metal interconnect lines 603 to prevent metal, especially Cu, from diffusing into the dielectric that will later fill the gaps.
- Liner 801 may be formed by deposition and spacer etch, electroplating, or selective atomic layer deposition (ALD) or chemical vapor deposition (CVD). A wet or dry cleaning step may be performed on the substrate between metal interconnect lines 603 .
- a dielectric is then deposited to fill the gaps between metal interconnect lines 603 and between vias 601 , followed by chemical mechanical polishing (CMP), to prepare the surface for the next layer of metal interconnect lines.
- CMP chemical mechanical polishing
- a third barrier layer 1001 , third metal layer 1003 , and fourth barrier layer 1005 may then be deposited, as illustrated in FIGS. 10A and 10B .
- Layers 1001 , 1003 , and 1005 may be patterned and etched to form a second layer of metal interconnect lines 1101 , as illustrated in FIGS. 11A and 11B .
- the same etchants employed for etching first vias 601 and first metal interconnect lines 603 may be used for etching second metal interconnect lines 701 .
- third metal layer 1003 Prior to patterning, third metal layer 1003 may be annealed to maximize grain size.
- liner 1201 may be deposited on sidewalls of second metal interconnect lines, for example of the same materials and by the same methods as used for liner 801 . Although the formation of two layers of metal interconnect lines are described, additional layers may be formed by repeating the line and via patterning illustrated in FIGS. 1 through 9 prior to forming third barrier layer 1001 , third metal layer 1003 , and fourth barrier layer 1005 .
- etching parameters such as temperature and time, may be regulated to control the etching shown in FIGS. 4 and 6 .
- etching parameters such as temperature and time, may be regulated to control the etching shown in FIGS. 4 and 6 .
- metal interconnect lines 1301 and vias 1303 remain, as illustrated in FIG. 13 .
- Metal for example cobalt tungsten phosphide (CoWP), CVD Ru, or CVD W, is then selectively deposited only on the metal and not on other surfaces, to form liner 1401 , as illustrated in FIG. 14 .
- CoWP cobalt tungsten phosphide
- CVD Ru or CVD W
- the embodiments of the present disclosure achieve several technical effects, including improved interconnect resistance and yield, electromigration, capacitance, and TDDB, with about the same number of process steps as conventional dual damascene approaches.
- the present disclosure enjoys industrial applicability in any of various types of highly integrated semiconductor devices particularly 100 nm pitch devices and smaller.
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
Description
Claims (20)
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/773,219 US8357609B2 (en) | 2010-05-04 | 2010-05-04 | Dual damascene-like subtractive metal etch scheme |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/773,219 US8357609B2 (en) | 2010-05-04 | 2010-05-04 | Dual damascene-like subtractive metal etch scheme |
Publications (2)
Publication Number | Publication Date |
---|---|
US20110275214A1 US20110275214A1 (en) | 2011-11-10 |
US8357609B2 true US8357609B2 (en) | 2013-01-22 |
Family
ID=44902213
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US12/773,219 Expired - Fee Related US8357609B2 (en) | 2010-05-04 | 2010-05-04 | Dual damascene-like subtractive metal etch scheme |
Country Status (1)
Country | Link |
---|---|
US (1) | US8357609B2 (en) |
Cited By (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20120098133A1 (en) * | 2010-10-22 | 2012-04-26 | International Business Machines Corporation | Structure and metallization process for advanced technology nodes |
US9431292B1 (en) | 2015-04-29 | 2016-08-30 | Globalfoundries Inc. | Alternate dual damascene method for forming interconnects |
US9502350B1 (en) | 2016-01-28 | 2016-11-22 | International Business Machines Corporation | Interconnect scaling method including forming dielectric layer over subtractively etched first conductive layer and forming second conductive material on dielectric layer |
US20170162437A1 (en) * | 2015-12-07 | 2017-06-08 | International Business Machines Corporation | Middle of the line subtractive self-aligned contacts |
US10177031B2 (en) | 2014-12-23 | 2019-01-08 | International Business Machines Corporation | Subtractive etch interconnects |
US10361364B2 (en) | 2017-06-14 | 2019-07-23 | International Business Machines Corporation | Co-fabrication of magnetic device structures with electrical interconnects having reduced resistance through increased conductor grain size |
US10475701B2 (en) | 2017-12-13 | 2019-11-12 | International Business Machines Corporation | Mixed wire structure and method of making the same |
US10553789B1 (en) | 2018-10-29 | 2020-02-04 | International Business Machines Corporation | Fully aligned semiconductor device with a skip-level via |
US10629478B2 (en) | 2017-08-22 | 2020-04-21 | International Business Machines Corporation | Dual-damascene formation with dielectric spacer and thin liner |
US10685879B1 (en) | 2019-08-15 | 2020-06-16 | International Business Machines Corporation | Lithographic alignment of a conductive line to a via |
US11031542B2 (en) | 2019-05-02 | 2021-06-08 | International Business Machines Corporation | Contact via with pillar of alternating layers |
US11094590B1 (en) | 2020-03-09 | 2021-08-17 | International Business Machines Corporation | Structurally stable self-aligned subtractive vias |
US11107731B1 (en) | 2020-03-30 | 2021-08-31 | International Business Machines Corporation | Self-aligned repaired top via |
US11133260B2 (en) | 2019-11-15 | 2021-09-28 | International Business Machines Corporation | Self-aligned top via |
US11139201B2 (en) | 2019-11-04 | 2021-10-05 | International Business Machines Corporation | Top via with hybrid metallization |
US11171044B1 (en) | 2020-05-08 | 2021-11-09 | International Business Machines Corporation | Planarization controllability for interconnect structures |
US11189528B2 (en) | 2020-04-22 | 2021-11-30 | International Business Machines Corporation | Subtractive RIE interconnect |
US11195751B2 (en) | 2019-09-13 | 2021-12-07 | International Business Machines Corporation | Bilayer barrier for interconnect and memory structures formed in the BEOL |
US11205591B2 (en) | 2020-01-09 | 2021-12-21 | International Business Machines Corporation | Top via interconnect with self-aligned barrier layer |
US11244897B2 (en) | 2020-04-06 | 2022-02-08 | International Business Machines Corporation | Back end of line metallization |
US11270913B2 (en) | 2020-04-28 | 2022-03-08 | International Business Machines Corporation | BEOL metallization formation |
US11282788B2 (en) | 2019-07-25 | 2022-03-22 | International Business Machines Corporation | Interconnect and memory structures formed in the BEOL |
US11302637B2 (en) | 2020-08-14 | 2022-04-12 | International Business Machines Corporation | Interconnects including dual-metal vias |
US11302639B2 (en) | 2020-01-16 | 2022-04-12 | International Business Machines Corporation | Footing flare pedestal structure |
US11315872B1 (en) | 2020-12-10 | 2022-04-26 | International Business Machines Corporation | Self-aligned top via |
US11328954B2 (en) | 2020-03-13 | 2022-05-10 | International Business Machines Corporation | Bi metal subtractive etch for trench and via formation |
US11361987B2 (en) | 2020-05-14 | 2022-06-14 | International Business Machines Corporation | Forming decoupled interconnects |
US11682617B2 (en) | 2020-12-22 | 2023-06-20 | International Business Machines Corporation | High aspect ratio vias for integrated circuits |
US11749532B2 (en) | 2021-05-04 | 2023-09-05 | Applied Materials, Inc. | Methods and apparatus for processing a substrate |
US11749602B2 (en) | 2020-11-17 | 2023-09-05 | International Business Machines Corporation | Topological semi-metal interconnects |
US11908791B2 (en) | 2021-11-22 | 2024-02-20 | International Business Machines Corporation | Partial subtractive supervia enabling hyper-scaling |
US11955382B2 (en) | 2020-12-03 | 2024-04-09 | Applied Materials, Inc. | Reverse selective etch stop layer |
US12020949B2 (en) | 2021-09-10 | 2024-06-25 | International Business Machines Corporation | Subtractive patterning of interconnect structures |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9558999B2 (en) * | 2013-09-12 | 2017-01-31 | Globalfoundries Inc. | Ultra-thin metal wires formed through selective deposition |
US11997863B2 (en) * | 2018-11-20 | 2024-05-28 | Sony Semiconductor Solutions Corporation | Display device, method for manufacturing display device, and electronic device |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6297158B1 (en) * | 2000-05-31 | 2001-10-02 | Taiwan Semiconductor Manufacturing Company | Stress management of barrier metal for resolving CU line corrosion |
US6737744B2 (en) * | 2001-09-25 | 2004-05-18 | Fujitsu Limited | Semiconductor device including porous insulating material and manufacturing method therefor |
US7301107B2 (en) * | 2002-05-20 | 2007-11-27 | Agere Systems, Inc. | Semiconductor device having reduced intra-level and inter-level capacitance |
US7358148B2 (en) * | 2003-09-30 | 2008-04-15 | International Business Machines Corporation | Adjustable self-aligned air gap dielectric for low capacitance wiring |
-
2010
- 2010-05-04 US US12/773,219 patent/US8357609B2/en not_active Expired - Fee Related
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6297158B1 (en) * | 2000-05-31 | 2001-10-02 | Taiwan Semiconductor Manufacturing Company | Stress management of barrier metal for resolving CU line corrosion |
US6737744B2 (en) * | 2001-09-25 | 2004-05-18 | Fujitsu Limited | Semiconductor device including porous insulating material and manufacturing method therefor |
US7301107B2 (en) * | 2002-05-20 | 2007-11-27 | Agere Systems, Inc. | Semiconductor device having reduced intra-level and inter-level capacitance |
US7358148B2 (en) * | 2003-09-30 | 2008-04-15 | International Business Machines Corporation | Adjustable self-aligned air gap dielectric for low capacitance wiring |
Cited By (40)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8957519B2 (en) * | 2010-10-22 | 2015-02-17 | International Business Machines Corporation | Structure and metallization process for advanced technology nodes |
US20120098133A1 (en) * | 2010-10-22 | 2012-04-26 | International Business Machines Corporation | Structure and metallization process for advanced technology nodes |
US10177031B2 (en) | 2014-12-23 | 2019-01-08 | International Business Machines Corporation | Subtractive etch interconnects |
US9431292B1 (en) | 2015-04-29 | 2016-08-30 | Globalfoundries Inc. | Alternate dual damascene method for forming interconnects |
US10714393B2 (en) | 2015-12-07 | 2020-07-14 | International Business Machines Corporation | Middle of the line subtractive self-aligned contacts |
US20170162437A1 (en) * | 2015-12-07 | 2017-06-08 | International Business Machines Corporation | Middle of the line subtractive self-aligned contacts |
US10032674B2 (en) | 2015-12-07 | 2018-07-24 | International Business Machines Corporation | Middle of the line subtractive self-aligned contacts |
US10373874B2 (en) * | 2015-12-07 | 2019-08-06 | International Business Machines Corporation | Middle of the line subtractive self-aligned contacts |
US9502350B1 (en) | 2016-01-28 | 2016-11-22 | International Business Machines Corporation | Interconnect scaling method including forming dielectric layer over subtractively etched first conductive layer and forming second conductive material on dielectric layer |
US10756260B2 (en) | 2017-06-14 | 2020-08-25 | International Business Machines Corporation | Co-fabrication of magnetic device structures with electrical interconnects having reduced resistance through increased conductor grain size |
US10811599B2 (en) | 2017-06-14 | 2020-10-20 | International Business Machines Corporation | Co-fabrication of magnetic device structures with electrical interconnects having reduced resistance through increased conductor grain size |
US10361364B2 (en) | 2017-06-14 | 2019-07-23 | International Business Machines Corporation | Co-fabrication of magnetic device structures with electrical interconnects having reduced resistance through increased conductor grain size |
US10629478B2 (en) | 2017-08-22 | 2020-04-21 | International Business Machines Corporation | Dual-damascene formation with dielectric spacer and thin liner |
US10475701B2 (en) | 2017-12-13 | 2019-11-12 | International Business Machines Corporation | Mixed wire structure and method of making the same |
US10553789B1 (en) | 2018-10-29 | 2020-02-04 | International Business Machines Corporation | Fully aligned semiconductor device with a skip-level via |
US10741751B2 (en) | 2018-10-29 | 2020-08-11 | International Business Machines Corporation | Fully aligned semiconductor device with a skip-level via |
US11031542B2 (en) | 2019-05-02 | 2021-06-08 | International Business Machines Corporation | Contact via with pillar of alternating layers |
US11282788B2 (en) | 2019-07-25 | 2022-03-22 | International Business Machines Corporation | Interconnect and memory structures formed in the BEOL |
US10685879B1 (en) | 2019-08-15 | 2020-06-16 | International Business Machines Corporation | Lithographic alignment of a conductive line to a via |
US11195751B2 (en) | 2019-09-13 | 2021-12-07 | International Business Machines Corporation | Bilayer barrier for interconnect and memory structures formed in the BEOL |
US11139201B2 (en) | 2019-11-04 | 2021-10-05 | International Business Machines Corporation | Top via with hybrid metallization |
US11133260B2 (en) | 2019-11-15 | 2021-09-28 | International Business Machines Corporation | Self-aligned top via |
US11205591B2 (en) | 2020-01-09 | 2021-12-21 | International Business Machines Corporation | Top via interconnect with self-aligned barrier layer |
US11302639B2 (en) | 2020-01-16 | 2022-04-12 | International Business Machines Corporation | Footing flare pedestal structure |
US11094590B1 (en) | 2020-03-09 | 2021-08-17 | International Business Machines Corporation | Structurally stable self-aligned subtractive vias |
US11328954B2 (en) | 2020-03-13 | 2022-05-10 | International Business Machines Corporation | Bi metal subtractive etch for trench and via formation |
US11107731B1 (en) | 2020-03-30 | 2021-08-31 | International Business Machines Corporation | Self-aligned repaired top via |
US11244897B2 (en) | 2020-04-06 | 2022-02-08 | International Business Machines Corporation | Back end of line metallization |
US11189528B2 (en) | 2020-04-22 | 2021-11-30 | International Business Machines Corporation | Subtractive RIE interconnect |
US11270913B2 (en) | 2020-04-28 | 2022-03-08 | International Business Machines Corporation | BEOL metallization formation |
US11171044B1 (en) | 2020-05-08 | 2021-11-09 | International Business Machines Corporation | Planarization controllability for interconnect structures |
US11361987B2 (en) | 2020-05-14 | 2022-06-14 | International Business Machines Corporation | Forming decoupled interconnects |
US11302637B2 (en) | 2020-08-14 | 2022-04-12 | International Business Machines Corporation | Interconnects including dual-metal vias |
US11749602B2 (en) | 2020-11-17 | 2023-09-05 | International Business Machines Corporation | Topological semi-metal interconnects |
US11955382B2 (en) | 2020-12-03 | 2024-04-09 | Applied Materials, Inc. | Reverse selective etch stop layer |
US11315872B1 (en) | 2020-12-10 | 2022-04-26 | International Business Machines Corporation | Self-aligned top via |
US11682617B2 (en) | 2020-12-22 | 2023-06-20 | International Business Machines Corporation | High aspect ratio vias for integrated circuits |
US11749532B2 (en) | 2021-05-04 | 2023-09-05 | Applied Materials, Inc. | Methods and apparatus for processing a substrate |
US12020949B2 (en) | 2021-09-10 | 2024-06-25 | International Business Machines Corporation | Subtractive patterning of interconnect structures |
US11908791B2 (en) | 2021-11-22 | 2024-02-20 | International Business Machines Corporation | Partial subtractive supervia enabling hyper-scaling |
Also Published As
Publication number | Publication date |
---|---|
US20110275214A1 (en) | 2011-11-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8357609B2 (en) | Dual damascene-like subtractive metal etch scheme | |
US10256186B2 (en) | Interconnect structure having subtractive etch feature and damascene feature | |
CN103515304B (en) | Without the dual damascene metal interconnection part of etch damage and Department of Electronics's irrespective of size (ESL) | |
US8138082B2 (en) | Method for forming metal interconnects in a dielectric material | |
US9177858B1 (en) | Methods for fabricating integrated circuits including barrier layers for interconnect structures | |
US9059259B2 (en) | Hard mask for back-end-of-line (BEOL) interconnect structure | |
US10923392B2 (en) | Interconnect structure and method of forming the same | |
US8980745B1 (en) | Interconnect structures and methods of forming same | |
JP2005340808A (en) | Barrier structure of semiconductor device | |
US7638423B2 (en) | Semiconductor device and method of forming wires of semiconductor device | |
US8957519B2 (en) | Structure and metallization process for advanced technology nodes | |
US9553017B2 (en) | Methods for fabricating integrated circuits including back-end-of-the-line interconnect structures | |
US20080171442A1 (en) | Metal interconnect structure and process for forming same | |
US20190259650A1 (en) | Method for protecting cobalt plugs | |
US9257329B2 (en) | Methods for fabricating integrated circuits including densifying interlevel dielectric layers | |
US7955971B2 (en) | Hybrid metallic wire and methods of fabricating same | |
US7662711B2 (en) | Method of forming dual damascene pattern | |
US20190304919A1 (en) | Hybrid metal interconnect structures for advanced process nodes | |
US11164815B2 (en) | Bottom barrier free interconnects without voids | |
US20170154816A1 (en) | Amorphous metal interconnections by subtractive etch | |
KR100731085B1 (en) | Method of forming copper interconnection using dual damascene process | |
US20130045595A1 (en) | Method for processing metal layer | |
CN110752184A (en) | Method for manufacturing semiconductor device | |
KR20070010697A (en) | Method of forming semiconductor device | |
JP2011171432A (en) | Semiconductor device and method for manufacturing the same |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:RYAN, ERROL T.;REEL/FRAME:024331/0196 Effective date: 20100428 |
|
STCF | Information on status: patent grant |
Free format text: PATENTED CASE |
|
FPAY | Fee payment |
Year of fee payment: 4 |
|
AS | Assignment |
Owner name: ALSEPHINA INNOVATIONS INC., CANADA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049612/0211 Effective date: 20181126 |
|
FEPP | Fee payment procedure |
Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
|
AS | Assignment |
Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001 Effective date: 20201117 |
|
LAPS | Lapse for failure to pay maintenance fees |
Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
|
STCH | Information on status: patent discontinuation |
Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362 |
|
FP | Lapsed due to failure to pay maintenance fee |
Effective date: 20210122 |