US8357609B2 - Dual damascene-like subtractive metal etch scheme - Google Patents

Dual damascene-like subtractive metal etch scheme Download PDF

Info

Publication number
US8357609B2
US8357609B2 US12/773,219 US77321910A US8357609B2 US 8357609 B2 US8357609 B2 US 8357609B2 US 77321910 A US77321910 A US 77321910A US 8357609 B2 US8357609 B2 US 8357609B2
Authority
US
United States
Prior art keywords
metal
interconnect lines
vias
forming
layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US12/773,219
Other versions
US20110275214A1 (en
Inventor
Errol T. Ryan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Alsephina Innovations Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US12/773,219 priority Critical patent/US8357609B2/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: RYAN, ERROL T.
Publication of US20110275214A1 publication Critical patent/US20110275214A1/en
Application granted granted Critical
Publication of US8357609B2 publication Critical patent/US8357609B2/en
Assigned to ALSEPHINA INNOVATIONS INC. reassignment ALSEPHINA INNOVATIONS INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Definitions

  • the present disclosure relates to methods for forming semiconductor metal interconnects.
  • the present disclosure is particularly applicable to 100 nanometer (nm) pitch devices and smaller.
  • BEOL metal interconnect layers employ a copper or copper alloy (Cu) inlay or damascene process, because of difficulties in patterning blanket Cu metal films into interconnect traces.
  • Cu copper or copper alloy
  • FEOL front-end-of-line
  • BEOL metal interconnect line pitch decreases.
  • the metal trench width is reduced, the trench aspect ratio increases, making it increasingly more difficult to deposit barrier/seed layers with good uniformity and integrity, and without creating voids, which cause reliability and yield problems and high line resistance. Grain growth in small features is also limited, which degrades electromigration (EM).
  • EM electromigration
  • the dual damascene approach the low-k dielectric in which the trenches are etched becomes damaged by the etch processes, thereby degrading capacitance and time-dependent dielectric breakdown (TDDB).
  • Reactive ion etching or short RIE has also been used for aluminum (Al), as it has the advantage of producing an anisotropic or directional etch pattern. This allows for approximately rectangular interconnect cross sections, which in turn allows for high interconnect densities, as required for modern microchips.
  • RIE reactive ion etching
  • Cu does not readily form volatile compounds for a dry etching process, except with high temperatures that are destructive to the semiconductor features.
  • chloride used for dry etching poisons Cu.
  • An aspect of the present disclosure is an improved method of fabricating a metal interconnect and via in which the interconnect and via are patterned together prior to forming the dielectric layer.
  • some technical effects may be achieved in part by a method of fabricating metal interconnects, the method comprising: forming metal layers on a substrate; patterning the metal layers to form metal interconnect lines and vias; and forming a dielectric layer on the substrate, metal interconnect lines, and vias, thereby filling gaps between the metal interconnect lines and between the vias.
  • aspects of the present disclosure include patterning the metal layers by subtractive etching. Further aspects include annealing the metal layers prior to patterning. Other aspects include forming the metal layers of copper (Cu). Another aspect includes forming the metal layers of aluminum (Al). Additional aspects include forming a barrier layer on each metal layer. Further aspects include forming a liner on sidewalls of the metal interconnect lines and the vias prior to depositing the dielectric layer. Other aspects include forming the liner by electroplating, selective atomic layer deposition, selective chemical vapor deposition, or deposition followed by spacer etching. Another aspect includes chemical mechanical polishing the dielectric layer. Additional aspects include forming additional layers of interconnect lines by repeating the steps of forming metal layers, patterning the metal layers to form metal interconnect lines and vias, and forming a dielectric layer.
  • Another aspect of the present disclosure is a method of fabricating metal interconnects, the method comprising: forming a first metal layer on a substrate; forming a second metal layer on the first metal layer; etching the first and second metal layers to form metal interconnect lines and vias on the metal interconnects; and depositing a dielectric layer on the substrate, metal interconnect lines, and vias, thereby filling gaps between the metal interconnect lines and between the vias.
  • aspects include annealing the first and second metal layers prior to etching. Further aspects include depositing a barrier layer on each metal layer. Other aspects include forming the first and second metal layers of copper (Cu). Another aspect includes forming a liner on sidewalls of the metal interconnect lines and the vias prior to depositing the dielectric layer. Additional aspects include forming the liner by electroplating, selective atomic layer deposition, chemical vapor deposition, or deposition followed by spacer etching. Further aspects include forming the first and second metal layers of aluminum (Al). Other aspects include etching the first and second metal layers by subtractive etching. Additional aspects include depositing a porous dielectric material with a dielectric constant less than 2.4 to form the dielectric layer.
  • FIGS. 1A through 12A and FIGS. 1B through 12B schematically illustrate cross sectional and top down views, respectively, of sequential steps of a method in accordance with an exemplary embodiment
  • FIGS. 13 and 14 schematically illustrate steps corresponding to FIGS. 7 and 8 when no barrier and/or etch-stop layers are employed.
  • the present disclosure addresses and solves the metal fill problems attendant upon forming Cu interconnects by a dual damascene process, reduces the number of steps of an Al subtractive RIE process, and solves problematic low-k dielectric damage attendant upon forming interconnects by either process.
  • metal such as Al or Cu is blanket deposited on the substrate and etched into interconnects and vias prior to forming the dielectric layer. Consequently, no metal fill is required, the number of steps is reduced by patterning both the interconnect lines and vias together, and the dielectric is not exposed to plasma, and, therefore is not damaged. Accordingly, capacitance is improved.
  • Methodology in accordance with embodiments of the present disclosure includes forming metal layers on a substrate, patterning the metal layers to form metal interconnect lines and vias, and forming a dielectric layer on the substrate, metal interconnect lines, and vias, thereby filling gaps between the metal interconnect lines and between the vias.
  • a first layer of metal 101 is formed on a substrate 103 .
  • a barrier layer 105 optionally may be formed on first metal layer 101 .
  • a second metal layer 107 and optional second barrier layer 109 are consecutively formed on barrier layer 105 .
  • Metal layers 101 and 107 may be formed of Cu, Al, W or any other conductive material suitable for metal lines.
  • Barrier layers 105 and 109 may be formed, for example, of tantalum (Ta). Metal layers 101 and 107 are then annealed, thereby maximizing grain size.
  • a mask layer 111 may be formed on barrier layer 109 , for example of silicon nitride (SiN), silicon carbon nitride (SiCN), silicon carbide (SiC), an organic material, or other suitable mask material.
  • An oxide layer 113 may be formed on mask layer 111 .
  • a photoresist (not shown for illustrative convenience) is formed and patterned on oxide layer 113 .
  • Oxide layer 113 is lithographically patterned through the photoresist to form islands 113 a of oxide where vias will later be formed, and the photoresist is removed, as illustrated in FIGS. 2A and 2B .
  • FIGS. 3A and 3B Adverting to FIGS. 3A and 3B , another photoresist (not shown for illustrative convenience) is formed and patterned on oxide islands 113 a and mask layer 111 .
  • Mask layer 111 is then lithographically patterned through the photoresist, removing mask material except where metal lines will later be formed, thereby forming patterned mask 111 a , and the photoresist is removed.
  • a subtractive etch is employed to remove metal layer 107 and barrier layer 109 except where metal lines are to be formed, resulting in the structure shown in FIGS. 4A and 4B .
  • patterned metal layer 107 a and patterned barrier layer 109 a remain, covered with patterned mask 111 a .
  • Etchants used for patterning metal layer 107 may include chlorine (Cl) based etchants, such as Cl 2 , boron trichloride (BCl 3 ), trichloromethane or chloroform (CHCl 3 ), carbon tetrachloride (CCl 4 ) for Al and other non-Cu metals.
  • Cl 2 chlorine
  • BCl 3 boron trichloride
  • CHCl 3 trichloromethane or chloroform
  • CCl 4 carbon tetrachloride
  • patterned mask 111 a is etched leaving mask islands 111 b , covered with oxide islands 113 a , where vias will be formed.
  • patterned metal layer 107 a and patterned barrier layer 109 a are etched to form metal layer islands 107 b and barrier layer islands 109 b , respectively, which together form vias 601
  • metal layer 101 and barrier layer 105 are etched to form patterned metal layer 101 a and patterned barrier layer 105 a , respectively, which together form metal interconnect lines 603 . All metal is removed except for vias 601 and metal interconnect lines 603 .
  • the etchant may be the same as that used for etching metal layer 107 and barrier layer 109 in FIGS. 4A and 4B .
  • Etchants used for etching metal layers 101 and 107 may be selective to barrier metals 105 and 109 , and different etchants may be used for barrier layers 105 and 109 .
  • etching parameters such as temperature and time, may be controlled to stop etching on barrier layer 105 .
  • oxide islands 113 a and mask islands 111 b are removed, such as by etching. Barrier layer islands 109 b are thereby exposed, as illustrated in FIGS. 7A and 7B .
  • a liner 801 for example Ta, tantalum nitride (TaN), cobalt (Co), W, ruthinium (Ru), titanium (Ti), or titanium nitride (TiN), may then be formed on sidewalls of vias 601 and metal interconnect lines 603 to prevent metal, especially Cu, from diffusing into the dielectric that will later fill the gaps.
  • Liner 801 may be formed by deposition and spacer etch, electroplating, or selective atomic layer deposition (ALD) or chemical vapor deposition (CVD). A wet or dry cleaning step may be performed on the substrate between metal interconnect lines 603 .
  • a dielectric is then deposited to fill the gaps between metal interconnect lines 603 and between vias 601 , followed by chemical mechanical polishing (CMP), to prepare the surface for the next layer of metal interconnect lines.
  • CMP chemical mechanical polishing
  • a third barrier layer 1001 , third metal layer 1003 , and fourth barrier layer 1005 may then be deposited, as illustrated in FIGS. 10A and 10B .
  • Layers 1001 , 1003 , and 1005 may be patterned and etched to form a second layer of metal interconnect lines 1101 , as illustrated in FIGS. 11A and 11B .
  • the same etchants employed for etching first vias 601 and first metal interconnect lines 603 may be used for etching second metal interconnect lines 701 .
  • third metal layer 1003 Prior to patterning, third metal layer 1003 may be annealed to maximize grain size.
  • liner 1201 may be deposited on sidewalls of second metal interconnect lines, for example of the same materials and by the same methods as used for liner 801 . Although the formation of two layers of metal interconnect lines are described, additional layers may be formed by repeating the line and via patterning illustrated in FIGS. 1 through 9 prior to forming third barrier layer 1001 , third metal layer 1003 , and fourth barrier layer 1005 .
  • etching parameters such as temperature and time, may be regulated to control the etching shown in FIGS. 4 and 6 .
  • etching parameters such as temperature and time, may be regulated to control the etching shown in FIGS. 4 and 6 .
  • metal interconnect lines 1301 and vias 1303 remain, as illustrated in FIG. 13 .
  • Metal for example cobalt tungsten phosphide (CoWP), CVD Ru, or CVD W, is then selectively deposited only on the metal and not on other surfaces, to form liner 1401 , as illustrated in FIG. 14 .
  • CoWP cobalt tungsten phosphide
  • CVD Ru or CVD W
  • the embodiments of the present disclosure achieve several technical effects, including improved interconnect resistance and yield, electromigration, capacitance, and TDDB, with about the same number of process steps as conventional dual damascene approaches.
  • the present disclosure enjoys industrial applicability in any of various types of highly integrated semiconductor devices particularly 100 nm pitch devices and smaller.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Metal interconnects are formed with larger grain size and improved uniformity. Embodiments include patterning metal layers into metal interconnects and vias prior to depositing a dielectric layer. An embodiment includes forming metal layers on a substrate, patterning the metal layers to form metal interconnect lines and vias, and forming a dielectric layer on the substrate, metal interconnect lines, and vias, thereby filling gaps between the metal interconnect lines and between the vias. The metal layers may be annealed prior to patterning. A liner may be formed on the sidewalls of the metal interconnect lines and vias prior to forming the dielectric layer. The dielectric layer may be formed of a porous material with a dielectric constant less than 2.4.

Description

TECHNICAL FIELD
The present disclosure relates to methods for forming semiconductor metal interconnects. The present disclosure is particularly applicable to 100 nanometer (nm) pitch devices and smaller.
BACKGROUND
Conventional methods of fabricating back-end-of-line (BEOL) metal interconnect layers employ a copper or copper alloy (Cu) inlay or damascene process, because of difficulties in patterning blanket Cu metal films into interconnect traces. As reductions in device scaling continue, front-end-of-line (FEOL) transistor size becomes smaller, and the number of transistors per unit area increases. Correspondingly, BEOL metal interconnect line pitch decreases. As the metal trench width is reduced, the trench aspect ratio increases, making it increasingly more difficult to deposit barrier/seed layers with good uniformity and integrity, and without creating voids, which cause reliability and yield problems and high line resistance. Grain growth in small features is also limited, which degrades electromigration (EM). In addition, with the dual damascene approach the low-k dielectric in which the trenches are etched becomes damaged by the etch processes, thereby degrading capacitance and time-dependent dielectric breakdown (TDDB).
Reactive ion etching (RIE) or short RIE has also been used for aluminum (Al), as it has the advantage of producing an anisotropic or directional etch pattern. This allows for approximately rectangular interconnect cross sections, which in turn allows for high interconnect densities, as required for modern microchips. However, RIE is difficult to apply to Cu, because Cu does not readily form volatile compounds for a dry etching process, except with high temperatures that are destructive to the semiconductor features. Furthermore, chloride used for dry etching poisons Cu.
Conventional subtractive Al RIE processes also involve a large number of steps. Specifically, blanket Al is patterned to form metal lines, a dielectric layer is formed, vias are etched in the dielectric, and the vias are filled with tungsten (W). In addition, similar to the dual damascene process, the dielectric becomes damaged during the etching of the vias, thereby diminishing the benefits of using a low-k dielectric. Further, W vias have a higher electrical resistance than Al.
A need therefore exists for improved methodology with fewer steps enabling the formation of metal interconnects and vias with improved uniformity and electromigration, without degrading the low-k dielectric, particularly for 100 nm pitch devices and smaller.
SUMMARY
An aspect of the present disclosure is an improved method of fabricating a metal interconnect and via in which the interconnect and via are patterned together prior to forming the dielectric layer.
Additional aspects and other features of the present disclosure will be set forth in the description which follows and in part will be apparent to those having ordinary skill in the art upon examination of the following or may be learned from the practice of the present disclosure. The advantages of the present disclosure may be realized and obtained as particularly pointed out in the appended claims.
According to the present disclosure, some technical effects may be achieved in part by a method of fabricating metal interconnects, the method comprising: forming metal layers on a substrate; patterning the metal layers to form metal interconnect lines and vias; and forming a dielectric layer on the substrate, metal interconnect lines, and vias, thereby filling gaps between the metal interconnect lines and between the vias.
Aspects of the present disclosure include patterning the metal layers by subtractive etching. Further aspects include annealing the metal layers prior to patterning. Other aspects include forming the metal layers of copper (Cu). Another aspect includes forming the metal layers of aluminum (Al). Additional aspects include forming a barrier layer on each metal layer. Further aspects include forming a liner on sidewalls of the metal interconnect lines and the vias prior to depositing the dielectric layer. Other aspects include forming the liner by electroplating, selective atomic layer deposition, selective chemical vapor deposition, or deposition followed by spacer etching. Another aspect includes chemical mechanical polishing the dielectric layer. Additional aspects include forming additional layers of interconnect lines by repeating the steps of forming metal layers, patterning the metal layers to form metal interconnect lines and vias, and forming a dielectric layer.
Another aspect of the present disclosure is a method of fabricating metal interconnects, the method comprising: forming a first metal layer on a substrate; forming a second metal layer on the first metal layer; etching the first and second metal layers to form metal interconnect lines and vias on the metal interconnects; and depositing a dielectric layer on the substrate, metal interconnect lines, and vias, thereby filling gaps between the metal interconnect lines and between the vias.
Aspects include annealing the first and second metal layers prior to etching. Further aspects include depositing a barrier layer on each metal layer. Other aspects include forming the first and second metal layers of copper (Cu). Another aspect includes forming a liner on sidewalls of the metal interconnect lines and the vias prior to depositing the dielectric layer. Additional aspects include forming the liner by electroplating, selective atomic layer deposition, chemical vapor deposition, or deposition followed by spacer etching. Further aspects include forming the first and second metal layers of aluminum (Al). Other aspects include etching the first and second metal layers by subtractive etching. Additional aspects include depositing a porous dielectric material with a dielectric constant less than 2.4 to form the dielectric layer.
Additional aspects and technical effects of the present disclosure will become readily apparent to those skilled in the art from the following detailed description wherein embodiments of the present disclosure are described simply by way of illustration of the best mode contemplated to carry out the present disclosure. As will be realized, the present disclosure is capable of other and different embodiments, and its several details are capable of modifications in various obvious respects, all without departing from the present disclosure. Accordingly, the drawings and description are to be regarded as illustrative in nature, and not as restrictive.
BRIEF DESCRIPTION OF THE DRAWINGS
The present disclosure is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawing and in which like reference numerals refer to similar elements and in which:
FIGS. 1A through 12A and FIGS. 1B through 12B schematically illustrate cross sectional and top down views, respectively, of sequential steps of a method in accordance with an exemplary embodiment; and
FIGS. 13 and 14 schematically illustrate steps corresponding to FIGS. 7 and 8 when no barrier and/or etch-stop layers are employed.
DETAILED DESCRIPTION
In the following description, for the purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of exemplary embodiments. It should be apparent, however, that exemplary embodiments may be practiced without these specific details or with an equivalent arrangement. In other instances, well-known structures and devices are shown in block diagram form in order to avoid unnecessarily obscuring exemplary embodiments. In addition, unless otherwise indicated, all numbers expressing quantities, ratios, and numerical properties of ingredients, reaction conditions, and so forth used in the specification and claims are to be understood as being modified in all instances by the term “about.”
The present disclosure addresses and solves the metal fill problems attendant upon forming Cu interconnects by a dual damascene process, reduces the number of steps of an Al subtractive RIE process, and solves problematic low-k dielectric damage attendant upon forming interconnects by either process. In accordance with embodiments of the present disclosure, metal such as Al or Cu is blanket deposited on the substrate and etched into interconnects and vias prior to forming the dielectric layer. Consequently, no metal fill is required, the number of steps is reduced by patterning both the interconnect lines and vias together, and the dielectric is not exposed to plasma, and, therefore is not damaged. Accordingly, capacitance is improved.
Methodology in accordance with embodiments of the present disclosure includes forming metal layers on a substrate, patterning the metal layers to form metal interconnect lines and vias, and forming a dielectric layer on the substrate, metal interconnect lines, and vias, thereby filling gaps between the metal interconnect lines and between the vias.
Still other aspects, features, and technical effects will be readily apparent to those skilled in this art from the following detailed description, wherein preferred embodiments are shown and described, simply by way of illustration of the best mode contemplated. The disclosure is capable of other and different embodiments, and its several details are capable of modifications in various obvious respects. Accordingly, the drawings and description are to be regarded as illustrative in nature, and not as restrictive.
Adverting to FIGS. 1A and 1B, a first layer of metal 101 is formed on a substrate 103. A barrier layer 105 optionally may be formed on first metal layer 101. A second metal layer 107 and optional second barrier layer 109 are consecutively formed on barrier layer 105. Metal layers 101 and 107 may be formed of Cu, Al, W or any other conductive material suitable for metal lines. Barrier layers 105 and 109 may be formed, for example, of tantalum (Ta). Metal layers 101 and 107 are then annealed, thereby maximizing grain size. A mask layer 111 may be formed on barrier layer 109, for example of silicon nitride (SiN), silicon carbon nitride (SiCN), silicon carbide (SiC), an organic material, or other suitable mask material. An oxide layer 113 may be formed on mask layer 111.
A photoresist (not shown for illustrative convenience) is formed and patterned on oxide layer 113. Oxide layer 113 is lithographically patterned through the photoresist to form islands 113 a of oxide where vias will later be formed, and the photoresist is removed, as illustrated in FIGS. 2A and 2B.
Adverting to FIGS. 3A and 3B, another photoresist (not shown for illustrative convenience) is formed and patterned on oxide islands 113 a and mask layer 111. Mask layer 111 is then lithographically patterned through the photoresist, removing mask material except where metal lines will later be formed, thereby forming patterned mask 111 a, and the photoresist is removed.
Using patterned mask 111 a, a subtractive etch is employed to remove metal layer 107 and barrier layer 109 except where metal lines are to be formed, resulting in the structure shown in FIGS. 4A and 4B. As illustrated, patterned metal layer 107 a and patterned barrier layer 109 a remain, covered with patterned mask 111 a. Etchants used for patterning metal layer 107 may include chlorine (Cl) based etchants, such as Cl2, boron trichloride (BCl3), trichloromethane or chloroform (CHCl3), carbon tetrachloride (CCl4) for Al and other non-Cu metals. For etching Cu, other etchants may be employed.
As illustrated in FIGS. 5A and 5B, patterned mask 111 a is etched leaving mask islands 111 b, covered with oxide islands 113 a, where vias will be formed.
Adverting to FIGS. 6A and 6B, patterned metal layer 107 a and patterned barrier layer 109 a are etched to form metal layer islands 107 b and barrier layer islands 109 b, respectively, which together form vias 601, while metal layer 101 and barrier layer 105 are etched to form patterned metal layer 101 a and patterned barrier layer 105 a, respectively, which together form metal interconnect lines 603. All metal is removed except for vias 601 and metal interconnect lines 603. The etchant may be the same as that used for etching metal layer 107 and barrier layer 109 in FIGS. 4A and 4B. Etchants used for etching metal layers 101 and 107 may be selective to barrier metals 105 and 109, and different etchants may be used for barrier layers 105 and 109. In addition, etching parameters, such as temperature and time, may be controlled to stop etching on barrier layer 105.
After metal interconnect lines 603 and vias 601 are formed, oxide islands 113 a and mask islands 111 b are removed, such as by etching. Barrier layer islands 109 b are thereby exposed, as illustrated in FIGS. 7A and 7B.
Adverting to FIGS. 8A and 8B, a liner 801, for example Ta, tantalum nitride (TaN), cobalt (Co), W, ruthinium (Ru), titanium (Ti), or titanium nitride (TiN), may then be formed on sidewalls of vias 601 and metal interconnect lines 603 to prevent metal, especially Cu, from diffusing into the dielectric that will later fill the gaps. Liner 801 may be formed by deposition and spacer etch, electroplating, or selective atomic layer deposition (ALD) or chemical vapor deposition (CVD). A wet or dry cleaning step may be performed on the substrate between metal interconnect lines 603.
As illustrated in FIGS. 9A and 9B, a dielectric is then deposited to fill the gaps between metal interconnect lines 603 and between vias 601, followed by chemical mechanical polishing (CMP), to prepare the surface for the next layer of metal interconnect lines.
A third barrier layer 1001, third metal layer 1003, and fourth barrier layer 1005 may then be deposited, as illustrated in FIGS. 10A and 10B. Layers 1001, 1003, and 1005 may be patterned and etched to form a second layer of metal interconnect lines 1101, as illustrated in FIGS. 11A and 11B. The same etchants employed for etching first vias 601 and first metal interconnect lines 603 may be used for etching second metal interconnect lines 701. Prior to patterning, third metal layer 1003 may be annealed to maximize grain size.
Adverting to FIGS. 12A and 12B, liner 1201 may be deposited on sidewalls of second metal interconnect lines, for example of the same materials and by the same methods as used for liner 801. Although the formation of two layers of metal interconnect lines are described, additional layers may be formed by repeating the line and via patterning illustrated in FIGS. 1 through 9 prior to forming third barrier layer 1001, third metal layer 1003, and fourth barrier layer 1005.
In the case where no barrier layers or etch stop layers are employed, etching parameters, such as temperature and time, may be regulated to control the etching shown in FIGS. 4 and 6. Then, after the oxide islands and masking layer islands are removed, as described with respect to FIG. 7, only metal interconnect lines 1301 and vias 1303 remain, as illustrated in FIG. 13. Metal, for example cobalt tungsten phosphide (CoWP), CVD Ru, or CVD W, is then selectively deposited only on the metal and not on other surfaces, to form liner 1401, as illustrated in FIG. 14. The process then continues as described with respect to FIGS. 9 through 12.
The embodiments of the present disclosure achieve several technical effects, including improved interconnect resistance and yield, electromigration, capacitance, and TDDB, with about the same number of process steps as conventional dual damascene approaches. The present disclosure enjoys industrial applicability in any of various types of highly integrated semiconductor devices particularly 100 nm pitch devices and smaller.
In the preceding description, the present disclosure is described with reference to specifically exemplary embodiments thereof It will, however, be evident that various modifications and changes may be made thereto without departing from the broader spirit and scope of the present disclosure, as set forth in the claims. The specification and drawings are, accordingly, to be regarded as illustrative and not as restrictive. It is understood that the present disclosure is capable of using various other combinations and embodiments and is capable of any changes or modifications within the scope of the inventive concept as expressed herein.

Claims (20)

1. A method of fabricating metal interconnects, the method comprising:
forming metal layers on a substrate;
patterning the metal layers to form metal interconnect lines and vias; and
forming a dielectric layer on the substrate, on and between the formed metal interconnect lines, and on and between the formed vias, thereby filling all gaps between the formed metal interconnect lines and between the formed vias.
2. The method according to claim 1, comprising patterning the metal layers by subtractive etching.
3. The method according to claim 1, comprising annealing the metal layers prior to patterning.
4. The method according to claim 1, comprising forming the metal layers of copper (Cu).
5. The method according to claim 1, comprising forming the metal layers of aluminum (Al).
6. The method according to claim 1, further comprising forming a barrier layer on each metal layer.
7. The method according to claim 1, further comprising forming a liner on sidewalls of the formed metal interconnect lines and the formed vias prior to depositing the dielectric layer.
8. The method according to claim 7, comprising forming the liner by electroplating, selective atomic layer deposition, chemical vapor deposition, or deposition followed by spacer etching.
9. The method according to claim 1, further comprising chemical mechanical polishing the dielectric layer.
10. The method according to claim 9, further comprising forming additional layers of interconnect lines by repeating the steps of forming metal layers, patterning the metal layers to form metal interconnect lines and vias, and forming a dielectric layer.
11. A method of fabricating metal interconnects, the method comprising:
forming a first metal layer on a substrate;
forming a second metal layer on the first metal layer;
etching the first and second metal layers to form metal interconnect lines on the substrate and vias on the metal interconnect lines; and
depositing a dielectric layer on the substrate, on and between the formed metal interconnect lines, and on and between the formed vias, thereby filling all gaps between the formed metal interconnect lines and between the formed vias.
12. The method according to claim 11, further comprising annealing the first and second metal layers prior to etching.
13. The method according to claim 11, further comprising depositing a barrier layer on each metal layer.
14. The method according to claim 11, comprising forming the first and second metal layers of copper (Cu).
15. The method according to claim 14, further comprising forming a liner on sidewalls of the formed metal interconnect lines and of the formed vias prior to depositing the dielectric layer.
16. The method according to claim 15, comprising forming the liner by electroplating, selective atomic layer deposition, chemical vapor deposition, or deposition followed by spacer etching.
17. The method according to claim 11, comprising forming the first and second metal layers of aluminum (Al).
18. The method according to claim 11, comprising etching the first and second metal layers by subtractive etching.
19. The method according to claim 11, comprising depositing a porous dielectric material with a dielectric constant less than 2.4 to form the dielectric layer.
20. A method of fabricating multiple layers of metal interconnects, the method comprising:
blanket depositing first and second copper (Cu) layers on a substrate, with a first tantalum (Ta) barrier layer therebetween;
annealing the first and second Cu layers;
subtractive etching the first and second Cu layers to form a first layer of metal interconnect lines and first vias on the first metal interconnect lines;
forming first liners on sidewalls of the first metal interconnect lines and first vias;
depositing a first porous dielectric layer, with a dielectric constant less than 2.4, on the substrate, first metal interconnect lines, and first vias, thereby filling gaps between the metal interconnect lines and between the vias;
chemical mechanical polishing the dielectric layer;
blanket depositing third and fourth Cu layers on the dielectric layer with a second Ta barrier layer therebetween;
annealing the third and fourth Cu layers;
subtractive etching the third and fourth Cu layers to form a second layer of metal interconnect lines and second vias on the second metal interconnect lines;
forming second liners on sidewalls of the second metal interconnect lines and second vias; and
depositing a second porous dielectric layer, with a dielectric constant less than 2.4, on the first dielectric layer, second metal interconnect lines, and second vias, thereby filling gaps between the metal interconnect lines and between the vias.
US12/773,219 2010-05-04 2010-05-04 Dual damascene-like subtractive metal etch scheme Expired - Fee Related US8357609B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/773,219 US8357609B2 (en) 2010-05-04 2010-05-04 Dual damascene-like subtractive metal etch scheme

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/773,219 US8357609B2 (en) 2010-05-04 2010-05-04 Dual damascene-like subtractive metal etch scheme

Publications (2)

Publication Number Publication Date
US20110275214A1 US20110275214A1 (en) 2011-11-10
US8357609B2 true US8357609B2 (en) 2013-01-22

Family

ID=44902213

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/773,219 Expired - Fee Related US8357609B2 (en) 2010-05-04 2010-05-04 Dual damascene-like subtractive metal etch scheme

Country Status (1)

Country Link
US (1) US8357609B2 (en)

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120098133A1 (en) * 2010-10-22 2012-04-26 International Business Machines Corporation Structure and metallization process for advanced technology nodes
US9431292B1 (en) 2015-04-29 2016-08-30 Globalfoundries Inc. Alternate dual damascene method for forming interconnects
US9502350B1 (en) 2016-01-28 2016-11-22 International Business Machines Corporation Interconnect scaling method including forming dielectric layer over subtractively etched first conductive layer and forming second conductive material on dielectric layer
US20170162437A1 (en) * 2015-12-07 2017-06-08 International Business Machines Corporation Middle of the line subtractive self-aligned contacts
US10177031B2 (en) 2014-12-23 2019-01-08 International Business Machines Corporation Subtractive etch interconnects
US10361364B2 (en) 2017-06-14 2019-07-23 International Business Machines Corporation Co-fabrication of magnetic device structures with electrical interconnects having reduced resistance through increased conductor grain size
US10475701B2 (en) 2017-12-13 2019-11-12 International Business Machines Corporation Mixed wire structure and method of making the same
US10553789B1 (en) 2018-10-29 2020-02-04 International Business Machines Corporation Fully aligned semiconductor device with a skip-level via
US10629478B2 (en) 2017-08-22 2020-04-21 International Business Machines Corporation Dual-damascene formation with dielectric spacer and thin liner
US10685879B1 (en) 2019-08-15 2020-06-16 International Business Machines Corporation Lithographic alignment of a conductive line to a via
US11031542B2 (en) 2019-05-02 2021-06-08 International Business Machines Corporation Contact via with pillar of alternating layers
US11094590B1 (en) 2020-03-09 2021-08-17 International Business Machines Corporation Structurally stable self-aligned subtractive vias
US11107731B1 (en) 2020-03-30 2021-08-31 International Business Machines Corporation Self-aligned repaired top via
US11133260B2 (en) 2019-11-15 2021-09-28 International Business Machines Corporation Self-aligned top via
US11139201B2 (en) 2019-11-04 2021-10-05 International Business Machines Corporation Top via with hybrid metallization
US11171044B1 (en) 2020-05-08 2021-11-09 International Business Machines Corporation Planarization controllability for interconnect structures
US11189528B2 (en) 2020-04-22 2021-11-30 International Business Machines Corporation Subtractive RIE interconnect
US11195751B2 (en) 2019-09-13 2021-12-07 International Business Machines Corporation Bilayer barrier for interconnect and memory structures formed in the BEOL
US11205591B2 (en) 2020-01-09 2021-12-21 International Business Machines Corporation Top via interconnect with self-aligned barrier layer
US11244897B2 (en) 2020-04-06 2022-02-08 International Business Machines Corporation Back end of line metallization
US11270913B2 (en) 2020-04-28 2022-03-08 International Business Machines Corporation BEOL metallization formation
US11282788B2 (en) 2019-07-25 2022-03-22 International Business Machines Corporation Interconnect and memory structures formed in the BEOL
US11302637B2 (en) 2020-08-14 2022-04-12 International Business Machines Corporation Interconnects including dual-metal vias
US11302639B2 (en) 2020-01-16 2022-04-12 International Business Machines Corporation Footing flare pedestal structure
US11315872B1 (en) 2020-12-10 2022-04-26 International Business Machines Corporation Self-aligned top via
US11328954B2 (en) 2020-03-13 2022-05-10 International Business Machines Corporation Bi metal subtractive etch for trench and via formation
US11361987B2 (en) 2020-05-14 2022-06-14 International Business Machines Corporation Forming decoupled interconnects
US11682617B2 (en) 2020-12-22 2023-06-20 International Business Machines Corporation High aspect ratio vias for integrated circuits
US11749532B2 (en) 2021-05-04 2023-09-05 Applied Materials, Inc. Methods and apparatus for processing a substrate
US11749602B2 (en) 2020-11-17 2023-09-05 International Business Machines Corporation Topological semi-metal interconnects
US11908791B2 (en) 2021-11-22 2024-02-20 International Business Machines Corporation Partial subtractive supervia enabling hyper-scaling
US11955382B2 (en) 2020-12-03 2024-04-09 Applied Materials, Inc. Reverse selective etch stop layer
US12020949B2 (en) 2021-09-10 2024-06-25 International Business Machines Corporation Subtractive patterning of interconnect structures

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9558999B2 (en) * 2013-09-12 2017-01-31 Globalfoundries Inc. Ultra-thin metal wires formed through selective deposition
US11997863B2 (en) * 2018-11-20 2024-05-28 Sony Semiconductor Solutions Corporation Display device, method for manufacturing display device, and electronic device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6297158B1 (en) * 2000-05-31 2001-10-02 Taiwan Semiconductor Manufacturing Company Stress management of barrier metal for resolving CU line corrosion
US6737744B2 (en) * 2001-09-25 2004-05-18 Fujitsu Limited Semiconductor device including porous insulating material and manufacturing method therefor
US7301107B2 (en) * 2002-05-20 2007-11-27 Agere Systems, Inc. Semiconductor device having reduced intra-level and inter-level capacitance
US7358148B2 (en) * 2003-09-30 2008-04-15 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6297158B1 (en) * 2000-05-31 2001-10-02 Taiwan Semiconductor Manufacturing Company Stress management of barrier metal for resolving CU line corrosion
US6737744B2 (en) * 2001-09-25 2004-05-18 Fujitsu Limited Semiconductor device including porous insulating material and manufacturing method therefor
US7301107B2 (en) * 2002-05-20 2007-11-27 Agere Systems, Inc. Semiconductor device having reduced intra-level and inter-level capacitance
US7358148B2 (en) * 2003-09-30 2008-04-15 International Business Machines Corporation Adjustable self-aligned air gap dielectric for low capacitance wiring

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8957519B2 (en) * 2010-10-22 2015-02-17 International Business Machines Corporation Structure and metallization process for advanced technology nodes
US20120098133A1 (en) * 2010-10-22 2012-04-26 International Business Machines Corporation Structure and metallization process for advanced technology nodes
US10177031B2 (en) 2014-12-23 2019-01-08 International Business Machines Corporation Subtractive etch interconnects
US9431292B1 (en) 2015-04-29 2016-08-30 Globalfoundries Inc. Alternate dual damascene method for forming interconnects
US10714393B2 (en) 2015-12-07 2020-07-14 International Business Machines Corporation Middle of the line subtractive self-aligned contacts
US20170162437A1 (en) * 2015-12-07 2017-06-08 International Business Machines Corporation Middle of the line subtractive self-aligned contacts
US10032674B2 (en) 2015-12-07 2018-07-24 International Business Machines Corporation Middle of the line subtractive self-aligned contacts
US10373874B2 (en) * 2015-12-07 2019-08-06 International Business Machines Corporation Middle of the line subtractive self-aligned contacts
US9502350B1 (en) 2016-01-28 2016-11-22 International Business Machines Corporation Interconnect scaling method including forming dielectric layer over subtractively etched first conductive layer and forming second conductive material on dielectric layer
US10756260B2 (en) 2017-06-14 2020-08-25 International Business Machines Corporation Co-fabrication of magnetic device structures with electrical interconnects having reduced resistance through increased conductor grain size
US10811599B2 (en) 2017-06-14 2020-10-20 International Business Machines Corporation Co-fabrication of magnetic device structures with electrical interconnects having reduced resistance through increased conductor grain size
US10361364B2 (en) 2017-06-14 2019-07-23 International Business Machines Corporation Co-fabrication of magnetic device structures with electrical interconnects having reduced resistance through increased conductor grain size
US10629478B2 (en) 2017-08-22 2020-04-21 International Business Machines Corporation Dual-damascene formation with dielectric spacer and thin liner
US10475701B2 (en) 2017-12-13 2019-11-12 International Business Machines Corporation Mixed wire structure and method of making the same
US10553789B1 (en) 2018-10-29 2020-02-04 International Business Machines Corporation Fully aligned semiconductor device with a skip-level via
US10741751B2 (en) 2018-10-29 2020-08-11 International Business Machines Corporation Fully aligned semiconductor device with a skip-level via
US11031542B2 (en) 2019-05-02 2021-06-08 International Business Machines Corporation Contact via with pillar of alternating layers
US11282788B2 (en) 2019-07-25 2022-03-22 International Business Machines Corporation Interconnect and memory structures formed in the BEOL
US10685879B1 (en) 2019-08-15 2020-06-16 International Business Machines Corporation Lithographic alignment of a conductive line to a via
US11195751B2 (en) 2019-09-13 2021-12-07 International Business Machines Corporation Bilayer barrier for interconnect and memory structures formed in the BEOL
US11139201B2 (en) 2019-11-04 2021-10-05 International Business Machines Corporation Top via with hybrid metallization
US11133260B2 (en) 2019-11-15 2021-09-28 International Business Machines Corporation Self-aligned top via
US11205591B2 (en) 2020-01-09 2021-12-21 International Business Machines Corporation Top via interconnect with self-aligned barrier layer
US11302639B2 (en) 2020-01-16 2022-04-12 International Business Machines Corporation Footing flare pedestal structure
US11094590B1 (en) 2020-03-09 2021-08-17 International Business Machines Corporation Structurally stable self-aligned subtractive vias
US11328954B2 (en) 2020-03-13 2022-05-10 International Business Machines Corporation Bi metal subtractive etch for trench and via formation
US11107731B1 (en) 2020-03-30 2021-08-31 International Business Machines Corporation Self-aligned repaired top via
US11244897B2 (en) 2020-04-06 2022-02-08 International Business Machines Corporation Back end of line metallization
US11189528B2 (en) 2020-04-22 2021-11-30 International Business Machines Corporation Subtractive RIE interconnect
US11270913B2 (en) 2020-04-28 2022-03-08 International Business Machines Corporation BEOL metallization formation
US11171044B1 (en) 2020-05-08 2021-11-09 International Business Machines Corporation Planarization controllability for interconnect structures
US11361987B2 (en) 2020-05-14 2022-06-14 International Business Machines Corporation Forming decoupled interconnects
US11302637B2 (en) 2020-08-14 2022-04-12 International Business Machines Corporation Interconnects including dual-metal vias
US11749602B2 (en) 2020-11-17 2023-09-05 International Business Machines Corporation Topological semi-metal interconnects
US11955382B2 (en) 2020-12-03 2024-04-09 Applied Materials, Inc. Reverse selective etch stop layer
US11315872B1 (en) 2020-12-10 2022-04-26 International Business Machines Corporation Self-aligned top via
US11682617B2 (en) 2020-12-22 2023-06-20 International Business Machines Corporation High aspect ratio vias for integrated circuits
US11749532B2 (en) 2021-05-04 2023-09-05 Applied Materials, Inc. Methods and apparatus for processing a substrate
US12020949B2 (en) 2021-09-10 2024-06-25 International Business Machines Corporation Subtractive patterning of interconnect structures
US11908791B2 (en) 2021-11-22 2024-02-20 International Business Machines Corporation Partial subtractive supervia enabling hyper-scaling

Also Published As

Publication number Publication date
US20110275214A1 (en) 2011-11-10

Similar Documents

Publication Publication Date Title
US8357609B2 (en) Dual damascene-like subtractive metal etch scheme
US10256186B2 (en) Interconnect structure having subtractive etch feature and damascene feature
CN103515304B (en) Without the dual damascene metal interconnection part of etch damage and Department of Electronics's irrespective of size (ESL)
US8138082B2 (en) Method for forming metal interconnects in a dielectric material
US9177858B1 (en) Methods for fabricating integrated circuits including barrier layers for interconnect structures
US9059259B2 (en) Hard mask for back-end-of-line (BEOL) interconnect structure
US10923392B2 (en) Interconnect structure and method of forming the same
US8980745B1 (en) Interconnect structures and methods of forming same
JP2005340808A (en) Barrier structure of semiconductor device
US7638423B2 (en) Semiconductor device and method of forming wires of semiconductor device
US8957519B2 (en) Structure and metallization process for advanced technology nodes
US9553017B2 (en) Methods for fabricating integrated circuits including back-end-of-the-line interconnect structures
US20080171442A1 (en) Metal interconnect structure and process for forming same
US20190259650A1 (en) Method for protecting cobalt plugs
US9257329B2 (en) Methods for fabricating integrated circuits including densifying interlevel dielectric layers
US7955971B2 (en) Hybrid metallic wire and methods of fabricating same
US7662711B2 (en) Method of forming dual damascene pattern
US20190304919A1 (en) Hybrid metal interconnect structures for advanced process nodes
US11164815B2 (en) Bottom barrier free interconnects without voids
US20170154816A1 (en) Amorphous metal interconnections by subtractive etch
KR100731085B1 (en) Method of forming copper interconnection using dual damascene process
US20130045595A1 (en) Method for processing metal layer
CN110752184A (en) Method for manufacturing semiconductor device
KR20070010697A (en) Method of forming semiconductor device
JP2011171432A (en) Semiconductor device and method for manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:RYAN, ERROL T.;REEL/FRAME:024331/0196

Effective date: 20100428

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

AS Assignment

Owner name: ALSEPHINA INNOVATIONS INC., CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049612/0211

Effective date: 20181126

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20210122