US7790561B2 - Gate sidewall spacer and method of manufacture therefor - Google Patents

Gate sidewall spacer and method of manufacture therefor Download PDF

Info

Publication number
US7790561B2
US7790561B2 US11/173,088 US17308805A US7790561B2 US 7790561 B2 US7790561 B2 US 7790561B2 US 17308805 A US17308805 A US 17308805A US 7790561 B2 US7790561 B2 US 7790561B2
Authority
US
United States
Prior art keywords
gate
hydrogen
gate electrode
substrate
over
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US11/173,088
Other versions
US20070004156A1 (en
Inventor
Richard P. Rouse
Shashank S. Ekbote
Haowen Bu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Priority to US11/173,088 priority Critical patent/US7790561B2/en
Assigned to TEXAS INSTRUMENTS INC. reassignment TEXAS INSTRUMENTS INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BU, HAOWEN, EKBOTE, SHASHANK S., ROUSE, RICHARD P.
Publication of US20070004156A1 publication Critical patent/US20070004156A1/en
Application granted granted Critical
Publication of US7790561B2 publication Critical patent/US7790561B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Definitions

  • the present invention is directed, in general, to a gate sidewall spacer and, more specifically, to a novel gate sidewall spacer having an elevated amount of hydrogen therein, a method of manufacture therefore, and a method for manufacturing an integrated circuit including the same.
  • a characteristic that limits scalability and device performance is electron and hole mobility, also referred to as channel mobility, throughout the channel region of transistors. As devices continue to shrink in size, the channel region for transistors continues to also shrink in size, which can limit channel mobility.
  • strain into the channel region, which can improve electron and hole mobility.
  • Different types of strain including expansive strain, uniaxial tensile strain, and compressive strain, have been introduced into channel regions of various types of transistors in order to determine their affect on electron and/or hole mobility. For some devices, certain types of strain improve mobility whereas other types degrade mobility.
  • Source/drain sidewall spacers 140 Positioned on both sides of the gate structure 130 are source/drain sidewall spacers 140 .
  • the source/drain sidewall spacers 140 illustrated in FIG. 1 each include only a single sidewall spacer.
  • Source/drain regions 150 Positioned in the substrate 110 proximate the gate structure 130 are source/drain regions 150 .
  • the source/drain regions 150 therefore define a channel region 160 in the substrate 110 .
  • Compressive stress from the gate electrode layer 138 is enhanced by the annealing process described above, which introduces tensile stress 180 a , 180 b across the channel region 160 .
  • This tensile stress 180 a , 180 b can improve the performance of the semiconductor device 100 by improving hole and electron mobility in the channel region 160 .
  • the cap-annealing process described supra can show improvement for, among others, NMOS devices.
  • the aforementioned process is unable to easily and accurately tune the stresses 180 a , 180 b , within the channel region 160 .
  • the stresses 180 a , 180 b are generally detrimental to the channel mobility of p-channel metal oxide semiconductor (PMOS) devices.
  • PMOS metal oxide semiconductor
  • the manufacturing processes used to form the stress-inducing layer 170 it is difficult to form such a layer only over the NMOS devices.
  • the aforementioned process also introduces more process steps which increase the cost of manufacturing.
  • the present invention also provides a semiconductor device.
  • the semiconductor device may include a gate dielectric layer and a gate electrode layer located over a substrate, and a gate sidewall spacer located along one or more sidewalls of the gate dielectric layer and gate electrode layer, the gate sidewall spacer containing 20 atomic percent or more of hydrogen therein. In one embodiment this is performed selectively for NMOS devices by using “local hydrogen treatment (LHT)”.
  • LHT local hydrogen treatment
  • the present invention also provides a method for manufacturing an integrated circuit. This method may include forming a semiconductor device as disclosed above, and then forming dielectric layers over the semiconductor device, the dielectric layers having interconnects therein for contacting the semiconductor device and forming an integrated circuit.
  • FIG. 1 illustrates a cross-sectional view of a semiconductor device at a stage of fabrication wherein a tensile stress is introduced by a conventional cap-annealing process
  • FIG. 2 illustrates a cross-sectional view of one embodiment of a semiconductor device constructed according to the principles of the present invention
  • FIG. 3 illustrates a cross-sectional view of a partially completed semiconductor device
  • FIG. 4 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 3 after formation of conventional gate structures over the substrate;
  • FIG. 5 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 4 after formation of source/drain extension implants within the substrate portion of the NMOS device and PMOS device;
  • FIG. 6 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 5 after forming gate sidewall spacers along one or more sidewalls of the gate structures;
  • FIG. 7 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 6 after formation of a photoresist layer over the PMOS device and forming source/drain implants within the substrate of the NMOS device;
  • FIG. 8 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 7 after forming and patterning both a protective layer and a photoresist layer over the NMOS device, and subjecting the PMOS device to an energy source;
  • FIG. 9 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 8 after forming source/drain implants within the PMOS device;
  • FIG. 10 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 9 after subjected the semiconductor device to an anneal.
  • FIG. 11 illustrates an exemplary cross-sectional view of an integrated circuit (IC) incorporating semiconductor devices constructed according to the principles of the present invention.
  • IC integrated circuit
  • the semiconductor device 200 includes a substrate 210 .
  • Located over or in the substrate 210 may be an n-channel metal oxide semiconductor (NMOS) device 220 and/or a p-channel metal oxide semiconductor (PMOS) device 260 .
  • NMOS n-channel metal oxide semiconductor
  • PMOS p-channel metal oxide semiconductor
  • both the NMOS device 220 and the PMOS device 260 are illustrated in the embodiment of FIG. 2
  • the semiconductor device 200 could just as easily be manufactured having just the NMOS device 220 or PMOS device 260 .
  • the semiconductor device 200 includes multiple NMOS devices 220 and/or multiple PMOS devices 260 .
  • the NMOS device 220 in the given embodiment of FIG. 2 , includes a well region 225 located within the substrate 210 .
  • the well region 225 comprises a p-type dopant, such as boron.
  • located over the substrate 210 and well region 225 is a gate structure 230 .
  • the gate structure 230 illustrated in FIG. 2 includes a gate dielectric layer 233 located over the substrate 210 , as well as a gate electrode layer 238 located over the gate dielectric layer 233 .
  • the gate sidewall spacers 240 contain 20 atomic percent or more of hydrogen therein.
  • the gate sidewall spacers 240 of the NMOS device 220 contain from about 25 atomic percent to about 30 atomic percent of hydrogen therein.
  • the gate sidewall spacers 240 as compared to traditional gate sidewall spacers having substantially less than 15 atomic percent of hydrogen therein, are believed to also have elevated levels of tensile stress.
  • the gate sidewall spacers 240 may have tensile stress values of about 500 MPa or more, among others. These stresses are typically transferred to the channel region of the NMOS device 220 .
  • the NMOS device 220 illustrated in FIG. 2 further includes conventional source/drain regions 250 located within the substrate 210 .
  • the source/drain regions 250 comprise an n-type dopant such as phosphorous and each includes a source/drain extension implant as well as a source/drain implant.
  • Located proximate the source/drain regions 250 may be halo/pocket implants 255 .
  • the halo/pocket implants 255 comprise an opposite type dopant to the source/drain regions 250 .
  • the halo/pocket implants 255 comprise a p-type dopant such as boron.
  • the PMOS device 260 on the other hand, in the given embodiment of FIG. 2 , includes a well region 265 located within the substrate 210 .
  • the well region 265 comprises an n-type dopant, such as phosphorous.
  • located over the substrate 210 and well region 265 in the PMOS device 260 is a gate structure 270 .
  • the gate structure 270 illustrated in FIG. 2 includes a gate dielectric layer 273 located over the substrate 210 , as well as a gate electrode layer 278 located over the gate dielectric layer 273 .
  • the gate sidewall spacers 280 Inmed on one or more sides of the gate dielectric layer 273 and gate electrode layer 278 , and in this embodiment again on both sides, are one or more gate sidewall spacers 280 .
  • the gate sidewall spacers 280 in accordance with the principles of the present invention and in contrast to the gate sidewall spacers 240 , contain substantially less than 15 atomic percent of hydrogen therein.
  • the gate sidewall spacers 280 of the PMOS device 260 contain from about 5 atomic percent to about 10 atomic percent of hydrogen therein.
  • the decreased amount of hydrogen, as compared to the gate sidewall spacers 240 is believed to provide reduced levels of the change in stress in the sidewall spacer film upon dopant activation or anneal, if any.
  • the gate sidewall spacers 280 may have stress change values of about 300 MPa or less, among others.
  • the stress change in the high hydrogen sidewall spacers is typically about 500 MPa or more when subjected to the same anneal.
  • the PMOS device 260 illustrated in FIG. 2 further includes conventional source/drain regions 290 located within the substrate 210 .
  • the source/drain regions 290 comprise a p-type dopant such as boron and each include a source/drain extension implant as well as a source/drain implant.
  • Located proximate the source/drain regions 290 may be halo/pocket implants 295 .
  • the halo/pocket implants 295 comprise an opposite type dopant to the source/drain regions 290 .
  • the halo/pocket implants 295 comprise an n-type dopant such as phosphorous or arsenic.
  • the increased levels of hydrogen within the gate sidewall spacers 240 provide increased change in the tensile stress within the channel region of the NMOS device 220 upon dopant activation anneal.
  • the hydrogen content in the gate sidewall spacers 240 may be tailored locally for NMOS and PMOS devices respectively without adding extra lithography masks by using “local hydrogen treatment (LHT)” method. Accordingly, the tensile stress may be customized to attain a desired and improved drive current in the NMOS device 220 .
  • the hydrogen in the gate sidewall spacers 240 somewhat attracts boron used in the halo/pocket implant in the NMOS device 220 . Accordingly, it tends to cause boron to segregate out of the interface between the gate dielectric layer 233 and the channel region, thereby reducing the boron pile-up at the interface and providing a retrograde pocket junction profile.
  • the retrograde pocket junction provides improved electron mobility, threshold voltage (Vt) roll-off and drain induced barrier loading (DIBL), which is the threshold voltage (Vt) of the NMOS device 220 in the linear region minus the threshold voltage (Vt) of the NMOS device 220 in the saturation region.
  • the lower levels of hydrogen within the gate sidewall spacers 280 provide reduced change in tensile stress in the sidewall spacer film, and therefore reduced stress within the channel region of the PMOS device 260 upon dopant activation anneal.
  • tensile stress within the channel region of PMOS devices reduces its drive current it is desirable to minimize the tensile stress for PMOS device.
  • the lower levels of hydrogen minimize the segregation of the boron out of the source/drain regions 290 of the PMOS device 260 , and thereby the degradation of the drive current is reduced.
  • FIGS. 3-10 illustrated are cross-sectional views of detailed manufacturing steps instructing how one might, in an advantageous embodiment, manufacture a semiconductor device similar to the semiconductor device 200 depicted in FIG. 2 .
  • FIG. 3 illustrates a cross-sectional view of a partially completed semiconductor device 300 .
  • the partially completed semiconductor device 300 of FIG. 3 includes a substrate 310 .
  • the substrate 310 may, in an exemplary embodiment, be any layer located in the partially completed semiconductor device 300 , including a wafer itself or a layer located above the wafer (e.g., epitaxial layer).
  • a wafer itself or a layer located above the wafer (e.g., epitaxial layer).
  • the substrate 310 is a p-type semiconductor substrate; however, one skilled in the art understands that the substrate 310 could be an n-type substrate without departing from the scope of the present invention. In such a case, each of the dopant types described throughout the remainder of this document could be reversed. For clarity, no further reference to this opposite scheme will be discussed.
  • isolation regions 320 Located within the substrate 310 in the embodiment shown in FIG. 3 are isolation regions 320 , such as shallow trench isolation regions.
  • the isolation regions 320 isolate the semiconductor device 300 from other devices located proximate thereto, as well as isolate various portions of the semiconductor device 300 from each other. As those skilled in the art understand the various steps used to form these conventional isolation regions 320 , no further detail will be given.
  • the isolation regions help define an NMOS device 330 and a PMOS device 340 .
  • Located within the substrate 310 in the NMOS device 330 is a well region 335 .
  • the well region 335 in light of the NMOS device 330 , would more than likely contain a p-type dopant.
  • the well region 335 would likely be doped with a p-type dopant dose ranging from about 1E13 atoms/cm 2 to about 1E14 atoms/cm 2 and at a power ranging from about 100 kev to about 500 keV.
  • the well region 335 having a peak dopant concentration ranging from about 5E17 atoms/cm 3 to about 1E19 atoms/cm 3 . Certain embodiments may also exist wherein the substrate 310 is used as the well region 335 for the NMOS device 330 .
  • a well region 345 Located within the substrate 310 in the PMOS device 340 is a well region 345 .
  • the well region 345 in light of the PMOS device 340 , would more than likely contain an n-type dopant.
  • the well region 345 would likely be doped with an n-type dopant dose ranging from about 1E13 atoms/cm 2 to about 1E14 atoms/cm 2 and at a power ranging from about 100 keV to about 500 kev. What generally results is the well region 345 having a peak dopant concentration ranging from about 5E17 atoms/cm 3 to about 1E19 atoms/cm 3 .
  • FIG. 4 illustrated is a cross-sectional view of the partially completed semiconductor device 300 illustrated in FIG. 3 after formation of conventional gate structures 410 , 420 , over the substrate 310 .
  • the gate structure 410 of the NMOS device 330 includes a gate dielectric layer 413 and a gate electrode layer 418 .
  • the gate structure 420 of the PMOS device 340 includes a gate dielectric layer 423 and a gate electrode layer 428 .
  • gate structures 410 , 420 are conventional, those skilled in the art understand the standard steps used for their manufacture, including depositing both a blanket layer of gate dielectric material and blanket layer of gate electrode material and subsequently using photolithography to define the gate structures 410 , 420 .
  • FIG. 5 illustrated is a cross-sectional view of the partially completed semiconductor device 300 illustrated in FIG. 4 after formation of source/drain extension implants 510 , 520 , within the substrate 310 portion of the NMOS device 330 and PMOS device 340 , respectively.
  • the source/drain extension implants 510 , 520 are conventionally formed and generally have a peak dopant concentration ranging from about 1E19 atoms/cm 3 to about 2E20 atoms/cm 3 .
  • the source/drain extension implants 510 , 520 have a dopant type opposite to that of the respective well regions 335 , 345 they are located within. Accordingly, the source/drain extension implants 510 , 520 , illustrated in the embodiment shown in FIG. 5 are doped with an n-type dopant and p-type dopant, respectively.
  • FIG. 6 illustrated is a cross-sectional view of the partially completed semiconductor device 300 illustrated in FIG. 5 after forming gate sidewall spacers 610 , 630 along one or more sidewalls of the gate structures 410 , 420 , respectively.
  • the gate sidewall spacer 610 in the embodiment of FIG. 6 , includes a thin L-shaped oxide spacer 620 and a bulk nitride spacer 625 .
  • the gate sidewall spacer 630 in the embodiment of FIG. 6 , includes a thin L-shaped oxide spacer 640 and a bulk nitride spacer 645 .
  • gate sidewall spacers 610 , 630 each comprise thin L-shaped oxide spacers 620 , 640 , and bulk nitride spacers 625 , 645 , respectively, the present invention is not limited to such. For example, certain embodiments may, while unlikely, exist wherein the gate sidewall spacers 610 , 630 , only comprise the bulk nitride spacers 625 , 645 , respectively. Other embodiments may exist wherein the gate sidewall spacers 610 , 630 include other different layers or materials in addition to or in place of the bulk nitride spacers 625 , 645 .
  • the gate sidewall spacers 610 , 630 contain 20 atomic percent or more of hydrogen therein. In one exemplary embodiment, the gate sidewall spacers 610 , 630 , contain from about 25 atomic percent to about 30 atomic percent of hydrogen therein. As previously indicated, the hydrogen may be tailored to provide a specific amount of tensile stress in the channel region of the NMOS device 330 . As will be understood further below, the tensile stress in the channel region of the PMOS device 340 may be limited using other inventive processes, including reducing the amount of hydrogen within the gate sidewall spacers 630 .
  • the gate sidewall spacers 610 , 630 may be manufactured using various different processes. Nevertheless, one exemplary embodiment of the invention includes conventionally depositing a blanker layer of oxide material over the substrate 310 and along the sidewalls and top of the gate structures 410 , 420 . Thereafter, a plasma enhanced chemical vapor deposition (PECVD) process may be used to deposit a blanket layer of nitride material over the blanker layer of oxide material. It is this PECVD process that allows the amount of hydrogen within the gate sidewall spacers 610 , 630 , to be increased and/or tailored.
  • PECVD plasma enhanced chemical vapor deposition
  • the blanket layer of nitride material is deposited using a PECVD process using a silicon source such as silane (SiH 4 ) and ammonia (NH 3 ), at a pressure ranging from about 4 torr to about 10 torr, with an optimal pressure ranging from about 5 torr to about 7 torr.
  • a silicon source such as silane (SiH 4 ) and ammonia (NH 3 )
  • the PECVD process may use an RF power ranging from about 50 watts to about 300 watts, with an optimal RF power ranging from about 150 watts to about 250 watts.
  • the PECVD process may be conducted in the presence of a temperature ranging from about 200° C. to about 400° C., or in an exemplary embodiment from about 250° C. to about 350° C.
  • the SiH 4 /NH 3 gas ratio is typically about 0.2 to about 0.6, with an optimal ratio of about 0.3 to about 0.5.
  • Helium, nitrogen or argon can be used as the carrier gas for reactive gases.
  • the stack of layers may be subjected to an etch to form the gate sidewall spacers 610 , 630 .
  • the stack of layers is subjected to an anisotropic etch to form the gate sidewall spacers 610 , 630 .
  • a standard plasma anisotropic etch could be used to define the gate sidewall spacers 610 , 630 .
  • the gate sidewall spacers 610 and the gate sidewall spacers 630 contain substantially similar, if not identical, amounts of hydrogen therein. Namely, each of the gate sidewall spacers 610 , 630 contain 20 atomic percent or more of hydrogen therein. Nevertheless, the “local hydrogen treatment (LHT)” method described herein may be conducted to reduce the amount of hydrogen within the gate sidewall spacers 630 in the PMOS device 340 .
  • LHT local hydrogen treatment
  • halo/pocket implants 650 , 660 within the NMOS device 330 and PMOS device 340 , respectively.
  • the halo/pocket implants 650 in the particular embodiment illustrated in FIG. 6 , comprise a p-type dopant.
  • the halo/pocket implants 650 include boron and have a peak dopant concentration ranging from about 1E18 atoms/cm 3 to about 1E19 atoms/cm 3 .
  • the halo/pocket implants 660 in the particular embodiment illustrated in FIG. 6 , comprise an n-type dopant.
  • the halo/pocket implants 660 include phosphorous or arsenic and have a peak dopant concentration ranging from about 1E18 atoms/cm 3 to about 1E19 atoms/cm 3 .
  • halo/pocket implants 650 Take particular notice of the enlarged halo/pocket implants 650 . This is at least partially a result of the high diffusivity of the p-type dopant (e.g., boron) used to form the halo/pocket implants 650 . While the particular dopant used and dopant concentration of the halo/pocket implants 650 , 660 have been given, those skilled in the art understand that the present invention should not be limited to such dopants and concentrations. The use and location of the halo/pocket implants 650 , 660 is particularly designed to reduce short channel effects in the semiconductor device 300 .
  • the p-type dopant e.g., boron
  • FIG. 7 illustrated is a cross-sectional view of the partially completed semiconductor device 300 illustrated in FIG. 6 after formation of a photoresist layer 710 over the PMOS device 340 and forming source/drain implants 720 within the substrate 310 of the NMOS device 330 .
  • a photoresist layer 710 over the PMOS device 340 and forming source/drain implants 720 within the substrate 310 of the NMOS device 330 .
  • Those skilled in the art understand the conventional processes that may be used to form and pattern the photoresist layer 710 over the PMOS device 340 , thus no additional detail is required.
  • the source/drain implants 720 are conventionally formed and generally have a peak dopant concentration ranging from about 1E18 atoms/cm 3 to about 1E21 atoms/cm 3 . Also, the source/drain implants 720 should typically have a dopant type opposite to that of the well region 335 they are located within. Accordingly, in the illustrative embodiment shown in FIG. 7 , the source/drain implants 720 are doped with an n-type dopant.
  • FIG. 8 illustrated is a cross-sectional view of the partially completed semiconductor device 300 illustrated in FIG. 7 after forming and patterning both a protective layer 810 and a photoresist layer 820 over the NMOS device 330 , and subjecting the PMOS device 340 to an energy source 830 .
  • the protective layer 810 is formed so as to protect the NMOS device 330 from the energy source 830 .
  • the protective layer 810 may be a UV protective layer, such as a bottom anti reflective coating (BARC) layer.
  • UV ultraviolet
  • BARC bottom anti reflective coating
  • the protective layer 810 could comprise a different material.
  • any type of protective layer 810 may be used so long as it protects the NMOS device 330 , and more particularly the gate sidewall spacers 610 of the NMOS device 330 , from the energy source 830 .
  • the thickness of the protective layer 810 may also be important to its ability to be a barrier to the energy source 830 .
  • a blanket layer of photoresist material 820 may be formed over the blanket layer of protective layer 810 . Thereafter, the blanket layer of protective layer 810 and blanket layer of photoresist material may be patterned, resulting in the protective layer 810 and photoresist layer 820 illustrated in FIG. 8 .
  • the PMOS device 340 may be subjected to the energy source 830 .
  • the energy source 830 may comprise a UV energy source.
  • the UV energy source may have a wavelength ranging from about 100 nm to about 300 nm, with a preferred wavelength ranging from about 140 nm to about 250 nm.
  • the PMOS device 340 may be subjected to the UV energy source for a time period ranging from about 1 minute to about 30 minutes, with an exemplary time ranging from about 3 minutes to about 5 minutes. Other conditions outside of the aforementioned ranges may be used, and thus are within the purview of the present invention.
  • the gate sidewall spacers 840 may have an amount of hydrogen less than the gate sidewall spacers 610 .
  • the gate sidewall spacers 830 may have about 15 atomic percent or less of hydrogen therein.
  • the gate sidewall spacers 840 , and more particularly the bulk nitride spacer 845 have from about 5 to about 10 atomic percent of hydrogen therein.
  • the energy source 830 disassociates the hydrogen bond in the gate sidewall spacers 840 that it contacts. Accordingly, the hydrogen is capable of exiting the gate sidewall spacers 840 , resulting in a reduction in the amount of hydrogen therein. In certain instances, which will be discussed further below, an anneal may be required to allow the appropriate amount of hydrogen to leave the gate sidewall spacers 840 .
  • the benefits of the difference in hydrogen concentration between NMOS and PMOS sidewall spacers 610 , 840 , respectively, will be explained later.
  • FIG. 9 illustrated is a cross-sectional view of the partially completed semiconductor device 300 illustrated in FIG. 8 after forming source/drain implants 910 within the PMOS device 340 .
  • the source/drain implants 910 are conventionally formed and generally have a peak dopant concentration ranging from about 1E18 atoms/cm 3 to about 1E21 atoms/cm 3 .
  • the source/drain implants 910 should typically have a dopant type opposite to that of the well region 345 they are located within. Accordingly, in the illustrative embodiment shown in FIG. 9 , the source/drain implants 910 are doped with a p-type dopant, such as boron.
  • FIGS. 8 and 9 use the protection layer 810 and the photoresist layer 820 . Accordingly, the steps of FIG. 9 could be performed before the steps of FIG. 8 , or vice-versa and shown. Nevertheless, it should also be noted that the steps of FIGS. 8 and 9 could be broken up using different protective layers 810 and photoresist layers 820 . However, for the interest of saving steps, time, and expense, the exposure of the PMOS device 340 to the energy source 830 has been incorporated into the traditional process flow for forming the source/drain implants 910 .
  • the only steps added were the formation of the protective layer 810 before the photoresist layer 820 , and the exposure of the PMOS device 340 to the energy source 830 . Both of these added steps are relatively simple and inexpensive. After the local hydrogen treatment (LHT) and source/drain implants, the photoresist 820 and the protective layer 810 are removed.
  • LHT local hydrogen treatment
  • FIG. 10 illustrated is a cross-sectional view of the partially completed semiconductor device 300 illustrated in FIG. 9 after subjected the semiconductor device 300 to an anneal.
  • the anneal time and temperature may vary greatly while staying within the scope of the present invention. Nevertheless, in one embodiment of the present invention the anneal is conducted for a time period ranging from about 1 second to about 3 seconds at a temperature ranging from about 1000° C. to about 1100° C.
  • the anneal illustrated in FIG. 10 has various purposes. First, the anneal is used to activate the source/drain regions of the NMOS device 330 and PMOS device 340 , resulting in structures similar to the source/drain regions 250 , 290 , illustrated in FIG. 2 . Moreover, the anneal assists in the removal of the hydrogen from the gate sidewall spacers 840 that were subjected to the energy source 830 .
  • the difference in the hydrogen concentration in NMOS and PMOS devices provides various benefits.
  • the reduced amount of hydrogen limits the change in stress within the gate sidewall spacers 840 , thus limiting the tensile stress within the channel region of the PMOS device 340 . This advantageously minimizes the degradation in the drive current of the PMOS device 340 while improving the drive current of the NMOS devices.
  • the reduction in the amount of hydrogen within the gate sidewall spacers 840 reduces the ability of the hydrogen to draw the boron from the source/drain extension implants 520 , and thus reduce the performance of the PMOS device 340 .
  • the boron dopant concentration in the source/drain extension implants 520 remains within a range for acceptable parasitic resistance.
  • the high hydrogen concentration in the sidewall spacers 610 around NMOS devices 330 enhances the boron segregation in the boron halo/pocket implants 650 .
  • the segregation eliminates the boron pile-up at the interface of the gate dielectric 413 and gate channel and thus forms the so-called “retrograde” dopant profile for improvement in electron mobility.
  • the anneal causes the tensile stress in the gate sidewall spacers 610 containing the elevated amount of hydrogen to increase.
  • the increased amount of hydrogen in the gate sidewall spacers 610 may cause the change in stress in the gate sidewall spacers 610 to be up to or more than two to three times greater than the that in the gate sidewall spacers 840 .
  • this change in stress ultimately leads to tensile stress in the channel region of the NMOS device 330
  • the NMOS device 330 experiences increased drive current as a result of the increased amount of hydrogen.
  • the IC 1100 may include devices, such as transistors used to form CMOS devices, BiCMOS devices, Bipolar devices, as well as capacitors or other types of devices.
  • the IC 1100 may further include passive devices, such as inductors or resistors, or it may also include optical devices or optoelectronic devices. Those skilled in the art are familiar with these various types of devices and their manufacture.
  • the IC 1100 includes the semiconductor devices 1110 having dielectric layers 1120 located thereover. Additionally, interconnect structures 1130 are located within the dielectric layers 1120 to interconnect various devices, thus, forming the operational integrated circuit 1100 .

Abstract

The present invention provides a method for manufacturing a semiconductor device, a semiconductor device, and a method for manufacturing an integrated circuit including a semiconductor device. The method for manufacturing the semiconductor device, without limitation, may include providing a gate dielectric layer (413, 423) and a gate electrode layer (418, 428) over a substrate (310), and forming a gate sidewall spacer (610, 630) along one or more sidewalls of the gate dielectric layer (413, 423) and the gate electrode layer (418, 428) using a plasma enhanced chemical vapor deposition process, and forming different hydrogen concentration in NMOS and PMOS sidewall spacers (610, 630) using a local hydrogen treatment (LHT) method.

Description

TECHNICAL FIELD OF THE INVENTION
The present invention is directed, in general, to a gate sidewall spacer and, more specifically, to a novel gate sidewall spacer having an elevated amount of hydrogen therein, a method of manufacture therefore, and a method for manufacturing an integrated circuit including the same.
BACKGROUND OF THE INVENTION
There exists a continuing need to improve semiconductor device performance and further scale semiconductor devices. A characteristic that limits scalability and device performance is electron and hole mobility, also referred to as channel mobility, throughout the channel region of transistors. As devices continue to shrink in size, the channel region for transistors continues to also shrink in size, which can limit channel mobility.
One technique that may improve scaling limits and device performance is to introduce strain into the channel region, which can improve electron and hole mobility. Different types of strain, including expansive strain, uniaxial tensile strain, and compressive strain, have been introduced into channel regions of various types of transistors in order to determine their affect on electron and/or hole mobility. For some devices, certain types of strain improve mobility whereas other types degrade mobility.
Turning briefly to FIG. 1 illustrated is a cross-sectional view of a semiconductor device 100 at a stage of fabrication wherein a tensile stress is introduced by a conventional cap-annealing process. The semiconductor device 100, which happens to be an n-channel metal oxide semiconductor (NMOS) device, includes a substrate 110 having a well region 120 located therein. The semiconductor device 100 of FIG. 1 further includes a gate structure 130 located over the substrate 110. The gate structure 130, as appreciated, includes both a gate dielectric layer 133 and a gate electrode layer 138.
Positioned on both sides of the gate structure 130 are source/drain sidewall spacers 140. The source/drain sidewall spacers 140 illustrated in FIG. 1 each include only a single sidewall spacer. Positioned in the substrate 110 proximate the gate structure 130 are source/drain regions 150. The source/drain regions 150 therefore define a channel region 160 in the substrate 110.
After the source/drain regions 150 have been formed by implanting a suitable dopant, such as arsenic in the instant case, a stress-inducing layer 170 is deposited over the substrate 110 and gate structure 130. Among other processes, a chemical vapor deposition (CVD) process could be used to form the stress-inducing layer 170. Generally, the temperature of the deposition should be lower than the phase transition temperature of amorphous silicon. Then, a rapid thermal anneal is performed at a relatively high temperature, introducing and locking stress 180 a, 180 b into the channel region 160. The stress-inducing layer 170 is then removed and silicide regions (not shown) are typically formed on the source/drain regions 150 and gate electrode layer 138. A suitable silicide process is a conventional cobalt, nickel or other similar metal salicide process.
Compressive stress from the gate electrode layer 138 is enhanced by the annealing process described above, which introduces tensile stress 180 a, 180 b across the channel region 160. This tensile stress 180 a, 180 b can improve the performance of the semiconductor device 100 by improving hole and electron mobility in the channel region 160. The cap-annealing process described supra can show improvement for, among others, NMOS devices.
Unfortunately, it has been observed that the aforementioned process is unable to easily and accurately tune the stresses 180 a, 180 b, within the channel region 160. Moreover, it has been observed that the stresses 180 a, 180 b are generally detrimental to the channel mobility of p-channel metal oxide semiconductor (PMOS) devices. Furthermore, because of the manufacturing processes used to form the stress-inducing layer 170, it is difficult to form such a layer only over the NMOS devices. The aforementioned process also introduces more process steps which increase the cost of manufacturing.
Accordingly, what is needed in the art is an improved method for manufacturing a semiconductor device, and a device manufactured using that method, that does not experience the aforementioned problems.
SUMMARY OF THE INVENTION
To address the above-discussed deficiencies of the prior art, the present invention provides a method for manufacturing a semiconductor device, a semiconductor device, and a method for manufacturing an integrated circuit including a semiconductor device. The method for manufacturing the semiconductor device, without limitation, may include providing a gate dielectric layer and a gate electrode layer over a substrate, and forming a gate sidewall spacer along one or more sidewalls of the gate dielectric layer and gate electrode layer using a plasma enhanced chemical vapor deposition process. Nevertheless, another embodiment of the invention, again without limitation, may include providing a gate structure having a gate dielectric layer, a gate electrode layer and a gate sidewall spacer over a substrate, wherein the gate sidewall spacer has an amount of hydrogen therein, and subjecting the gate sidewall spacer to an energy source, the gate sidewall spacer having less than the amount of hydrogen therein after being subjected to the energy source.
As previously indicated, the present invention also provides a semiconductor device. The semiconductor device, among other features, may include a gate dielectric layer and a gate electrode layer located over a substrate, and a gate sidewall spacer located along one or more sidewalls of the gate dielectric layer and gate electrode layer, the gate sidewall spacer containing 20 atomic percent or more of hydrogen therein. In one embodiment this is performed selectively for NMOS devices by using “local hydrogen treatment (LHT)”. In addition to the method for manufacturing the semiconductor device and the semiconductor device, the present invention also provides a method for manufacturing an integrated circuit. This method may include forming a semiconductor device as disclosed above, and then forming dielectric layers over the semiconductor device, the dielectric layers having interconnects therein for contacting the semiconductor device and forming an integrated circuit.
The foregoing has outlined preferred and alternative features of the present invention so that those skilled in the art may better understand the detailed description of the invention that follows. Additional features of the invention will be described hereinafter that form the subject of the claims of the invention. Those skilled in the art should appreciate that they can readily use the disclosed conception and specific embodiment as a basis for designing or modifying other structures for carrying out the same purposes of the present invention. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the invention.
BRIEF DESCRIPTION OF THE DRAWINGS
The invention is best understood from the following detailed description when read with the accompanying FIGUREs. It is emphasized that in accordance with the standard practice in the semiconductor industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion. Reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
Prior Art FIG. 1 illustrates a cross-sectional view of a semiconductor device at a stage of fabrication wherein a tensile stress is introduced by a conventional cap-annealing process;
FIG. 2 illustrates a cross-sectional view of one embodiment of a semiconductor device constructed according to the principles of the present invention;
FIG. 3 illustrates a cross-sectional view of a partially completed semiconductor device;
FIG. 4 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 3 after formation of conventional gate structures over the substrate;
FIG. 5 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 4 after formation of source/drain extension implants within the substrate portion of the NMOS device and PMOS device;
FIG. 6 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 5 after forming gate sidewall spacers along one or more sidewalls of the gate structures;
FIG. 7 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 6 after formation of a photoresist layer over the PMOS device and forming source/drain implants within the substrate of the NMOS device;
FIG. 8 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 7 after forming and patterning both a protective layer and a photoresist layer over the NMOS device, and subjecting the PMOS device to an energy source;
FIG. 9 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 8 after forming source/drain implants within the PMOS device;
FIG. 10 illustrates a cross-sectional view of the partially completed semiconductor device illustrated in FIG. 9 after subjected the semiconductor device to an anneal; and
FIG. 11 illustrates an exemplary cross-sectional view of an integrated circuit (IC) incorporating semiconductor devices constructed according to the principles of the present invention.
DETAILED DESCRIPTION
Referring initially to FIG. 2, illustrated is a cross-sectional view of one embodiment of a semiconductor device 200 constructed according to the principles of the present invention. In the embodiment illustrated in FIG. 2, the semiconductor device 200 includes a substrate 210. Located over or in the substrate 210 may be an n-channel metal oxide semiconductor (NMOS) device 220 and/or a p-channel metal oxide semiconductor (PMOS) device 260. While both the NMOS device 220 and the PMOS device 260 are illustrated in the embodiment of FIG. 2, the semiconductor device 200 could just as easily be manufactured having just the NMOS device 220 or PMOS device 260. Just the same, other embodiments exist wherein the semiconductor device 200 includes multiple NMOS devices 220 and/or multiple PMOS devices 260.
The NMOS device 220, in the given embodiment of FIG. 2, includes a well region 225 located within the substrate 210. The well region 225, as would be expected, comprises a p-type dopant, such as boron. Additionally, located over the substrate 210 and well region 225 is a gate structure 230. The gate structure 230 illustrated in FIG. 2 includes a gate dielectric layer 233 located over the substrate 210, as well as a gate electrode layer 238 located over the gate dielectric layer 233.
Formed on one or more sides of the gate dielectric layer 233 and gate electrode layer 238, and in this embodiment on both sides, are one or more gate sidewall spacers 240. The gate sidewall spacers 240, in accordance with the principles of the present invention, contain 20 atomic percent or more of hydrogen therein. For example, in one exemplary embodiment, the gate sidewall spacers 240 of the NMOS device 220 contain from about 25 atomic percent to about 30 atomic percent of hydrogen therein. The gate sidewall spacers 240, as compared to traditional gate sidewall spacers having substantially less than 15 atomic percent of hydrogen therein, are believed to also have elevated levels of tensile stress. For example, the gate sidewall spacers 240 may have tensile stress values of about 500 MPa or more, among others. These stresses are typically transferred to the channel region of the NMOS device 220.
The NMOS device 220 illustrated in FIG. 2 further includes conventional source/drain regions 250 located within the substrate 210. The source/drain regions 250, as is common, comprise an n-type dopant such as phosphorous and each includes a source/drain extension implant as well as a source/drain implant. Located proximate the source/drain regions 250 may be halo/pocket implants 255. As is common in the art, the halo/pocket implants 255 comprise an opposite type dopant to the source/drain regions 250. Accordingly, in the embodiment of FIG. 2, the halo/pocket implants 255 comprise a p-type dopant such as boron.
The PMOS device 260 on the other hand, in the given embodiment of FIG. 2, includes a well region 265 located within the substrate 210. The well region 265, as would be expected, comprises an n-type dopant, such as phosphorous. Additionally, located over the substrate 210 and well region 265 in the PMOS device 260 is a gate structure 270. The gate structure 270 illustrated in FIG. 2 includes a gate dielectric layer 273 located over the substrate 210, as well as a gate electrode layer 278 located over the gate dielectric layer 273.
Formed on one or more sides of the gate dielectric layer 273 and gate electrode layer 278, and in this embodiment again on both sides, are one or more gate sidewall spacers 280. The gate sidewall spacers 280, in accordance with the principles of the present invention and in contrast to the gate sidewall spacers 240, contain substantially less than 15 atomic percent of hydrogen therein. For example, in one exemplary embodiment, the gate sidewall spacers 280 of the PMOS device 260 contain from about 5 atomic percent to about 10 atomic percent of hydrogen therein. The decreased amount of hydrogen, as compared to the gate sidewall spacers 240, is believed to provide reduced levels of the change in stress in the sidewall spacer film upon dopant activation or anneal, if any. For example, the gate sidewall spacers 280 may have stress change values of about 300 MPa or less, among others. In contrast, the stress change in the high hydrogen sidewall spacers is typically about 500 MPa or more when subjected to the same anneal.
The PMOS device 260 illustrated in FIG. 2 further includes conventional source/drain regions 290 located within the substrate 210. The source/drain regions 290, as is common, comprise a p-type dopant such as boron and each include a source/drain extension implant as well as a source/drain implant. Located proximate the source/drain regions 290 may be halo/pocket implants 295. As is common in the art, the halo/pocket implants 295 comprise an opposite type dopant to the source/drain regions 290. Accordingly, in the embodiment of FIG. 2, the halo/pocket implants 295 comprise an n-type dopant such as phosphorous or arsenic.
Unique to the present invention, the increased levels of hydrogen within the gate sidewall spacers 240 provide increased change in the tensile stress within the channel region of the NMOS device 220 upon dopant activation anneal. However, as compared to traditional cap layers that introduce the tensile stress within the channel region, the hydrogen content in the gate sidewall spacers 240 may be tailored locally for NMOS and PMOS devices respectively without adding extra lithography masks by using “local hydrogen treatment (LHT)” method. Accordingly, the tensile stress may be customized to attain a desired and improved drive current in the NMOS device 220. Moreover, the hydrogen in the gate sidewall spacers 240 somewhat attracts boron used in the halo/pocket implant in the NMOS device 220. Accordingly, it tends to cause boron to segregate out of the interface between the gate dielectric layer 233 and the channel region, thereby reducing the boron pile-up at the interface and providing a retrograde pocket junction profile. The retrograde pocket junction, in turn, provides improved electron mobility, threshold voltage (Vt) roll-off and drain induced barrier loading (DIBL), which is the threshold voltage (Vt) of the NMOS device 220 in the linear region minus the threshold voltage (Vt) of the NMOS device 220 in the saturation region.
On the other hand, the lower levels of hydrogen within the gate sidewall spacers 280 provide reduced change in tensile stress in the sidewall spacer film, and therefore reduced stress within the channel region of the PMOS device 260 upon dopant activation anneal. As tensile stress within the channel region of PMOS devices reduces its drive current it is desirable to minimize the tensile stress for PMOS device. Moreover, the lower levels of hydrogen minimize the segregation of the boron out of the source/drain regions 290 of the PMOS device 260, and thereby the degradation of the drive current is reduced.
Turning now to FIGS. 3-10, illustrated are cross-sectional views of detailed manufacturing steps instructing how one might, in an advantageous embodiment, manufacture a semiconductor device similar to the semiconductor device 200 depicted in FIG. 2. FIG. 3 illustrates a cross-sectional view of a partially completed semiconductor device 300. The partially completed semiconductor device 300 of FIG. 3 includes a substrate 310. The substrate 310 may, in an exemplary embodiment, be any layer located in the partially completed semiconductor device 300, including a wafer itself or a layer located above the wafer (e.g., epitaxial layer). In the embodiment illustrated in FIG. 3, the substrate 310 is a p-type semiconductor substrate; however, one skilled in the art understands that the substrate 310 could be an n-type substrate without departing from the scope of the present invention. In such a case, each of the dopant types described throughout the remainder of this document could be reversed. For clarity, no further reference to this opposite scheme will be discussed.
Located within the substrate 310 in the embodiment shown in FIG. 3 are isolation regions 320, such as shallow trench isolation regions. The isolation regions 320 isolate the semiconductor device 300 from other devices located proximate thereto, as well as isolate various portions of the semiconductor device 300 from each other. As those skilled in the art understand the various steps used to form these conventional isolation regions 320, no further detail will be given.
As is illustrated in FIG. 3, the isolation regions help define an NMOS device 330 and a PMOS device 340. Located within the substrate 310 in the NMOS device 330 is a well region 335. The well region 335, in light of the NMOS device 330, would more than likely contain a p-type dopant. For example, the well region 335 would likely be doped with a p-type dopant dose ranging from about 1E13 atoms/cm2 to about 1E14 atoms/cm2 and at a power ranging from about 100 kev to about 500 keV. What generally results is the well region 335 having a peak dopant concentration ranging from about 5E17 atoms/cm3 to about 1E19 atoms/cm3. Certain embodiments may also exist wherein the substrate 310 is used as the well region 335 for the NMOS device 330.
Located within the substrate 310 in the PMOS device 340 is a well region 345. The well region 345, in light of the PMOS device 340, would more than likely contain an n-type dopant. For example, the well region 345 would likely be doped with an n-type dopant dose ranging from about 1E13 atoms/cm2 to about 1E14 atoms/cm2 and at a power ranging from about 100 keV to about 500 kev. What generally results is the well region 345 having a peak dopant concentration ranging from about 5E17 atoms/cm3 to about 1E19 atoms/cm3.
Turning now to FIG. 4, illustrated is a cross-sectional view of the partially completed semiconductor device 300 illustrated in FIG. 3 after formation of conventional gate structures 410, 420, over the substrate 310. As is illustrated in FIG. 4, the gate structure 410 of the NMOS device 330 includes a gate dielectric layer 413 and a gate electrode layer 418. Similarly, the gate structure 420 of the PMOS device 340 includes a gate dielectric layer 423 and a gate electrode layer 428. As the gate structures 410, 420 are conventional, those skilled in the art understand the standard steps used for their manufacture, including depositing both a blanket layer of gate dielectric material and blanket layer of gate electrode material and subsequently using photolithography to define the gate structures 410, 420.
Turning now to FIG. 5, illustrated is a cross-sectional view of the partially completed semiconductor device 300 illustrated in FIG. 4 after formation of source/ drain extension implants 510, 520, within the substrate 310 portion of the NMOS device 330 and PMOS device 340, respectively. The source/ drain extension implants 510, 520 are conventionally formed and generally have a peak dopant concentration ranging from about 1E19 atoms/cm3 to about 2E20 atoms/cm3. As is standard in the industry, the source/ drain extension implants 510, 520 have a dopant type opposite to that of the respective well regions 335, 345 they are located within. Accordingly, the source/ drain extension implants 510, 520, illustrated in the embodiment shown in FIG. 5 are doped with an n-type dopant and p-type dopant, respectively.
Turning now to FIG. 6 illustrated is a cross-sectional view of the partially completed semiconductor device 300 illustrated in FIG. 5 after forming gate sidewall spacers 610, 630 along one or more sidewalls of the gate structures 410, 420, respectively. The gate sidewall spacer 610, in the embodiment of FIG. 6, includes a thin L-shaped oxide spacer 620 and a bulk nitride spacer 625. Similarly, the gate sidewall spacer 630, in the embodiment of FIG. 6, includes a thin L-shaped oxide spacer 640 and a bulk nitride spacer 645. While the gate sidewall spacers 610, 630 each comprise thin L-shaped oxide spacers 620, 640, and bulk nitride spacers 625, 645, respectively, the present invention is not limited to such. For example, certain embodiments may, while unlikely, exist wherein the gate sidewall spacers 610, 630, only comprise the bulk nitride spacers 625, 645, respectively. Other embodiments may exist wherein the gate sidewall spacers 610, 630 include other different layers or materials in addition to or in place of the bulk nitride spacers 625, 645.
In accordance with the principles of the present invention, the gate sidewall spacers 610, 630, contain 20 atomic percent or more of hydrogen therein. In one exemplary embodiment, the gate sidewall spacers 610, 630, contain from about 25 atomic percent to about 30 atomic percent of hydrogen therein. As previously indicated, the hydrogen may be tailored to provide a specific amount of tensile stress in the channel region of the NMOS device 330. As will be understood further below, the tensile stress in the channel region of the PMOS device 340 may be limited using other inventive processes, including reducing the amount of hydrogen within the gate sidewall spacers 630.
The gate sidewall spacers 610, 630, may be manufactured using various different processes. Nevertheless, one exemplary embodiment of the invention includes conventionally depositing a blanker layer of oxide material over the substrate 310 and along the sidewalls and top of the gate structures 410, 420. Thereafter, a plasma enhanced chemical vapor deposition (PECVD) process may be used to deposit a blanket layer of nitride material over the blanker layer of oxide material. It is this PECVD process that allows the amount of hydrogen within the gate sidewall spacers 610, 630, to be increased and/or tailored.
As the deposition of the blanket layer of oxide material is conventional no further detail is warranted regarding its manufacture. As the PECVD formation of the blanket layer of nitride material is not conventional, details are warranted. In one exemplary embodiment of the present invention, the blanket layer of nitride material is deposited using a PECVD process using a silicon source such as silane (SiH4) and ammonia (NH3), at a pressure ranging from about 4 torr to about 10 torr, with an optimal pressure ranging from about 5 torr to about 7 torr. Additionally, the PECVD process may use an RF power ranging from about 50 watts to about 300 watts, with an optimal RF power ranging from about 150 watts to about 250 watts. Moreover, the PECVD process may be conducted in the presence of a temperature ranging from about 200° C. to about 400° C., or in an exemplary embodiment from about 250° C. to about 350° C. Additionally, the SiH4/NH3 gas ratio is typically about 0.2 to about 0.6, with an optimal ratio of about 0.3 to about 0.5. Helium, nitrogen or argon can be used as the carrier gas for reactive gases.
After completing the formation of the blanket layer of oxide material and the blanket layer of nitride material, the stack of layers may be subjected to an etch to form the gate sidewall spacers 610, 630. In one exemplary embodiment of the present invention, the stack of layers is subjected to an anisotropic etch to form the gate sidewall spacers 610, 630. For example, a standard plasma anisotropic etch could be used to define the gate sidewall spacers 610, 630.
It should be noted that at this point in the manufacturing process, that the gate sidewall spacers 610 and the gate sidewall spacers 630 contain substantially similar, if not identical, amounts of hydrogen therein. Namely, each of the gate sidewall spacers 610, 630 contain 20 atomic percent or more of hydrogen therein. Nevertheless, the “local hydrogen treatment (LHT)” method described herein may be conducted to reduce the amount of hydrogen within the gate sidewall spacers 630 in the PMOS device 340.
Also illustrated in FIG. 6 is the formation of halo/ pocket implants 650, 660 within the NMOS device 330 and PMOS device 340, respectively. The halo/pocket implants 650, in the particular embodiment illustrated in FIG. 6, comprise a p-type dopant. For example, in the illustrative embodiment shown in FIG. 6, the halo/pocket implants 650 include boron and have a peak dopant concentration ranging from about 1E18 atoms/cm3 to about 1E19 atoms/cm3. Similarly, the halo/pocket implants 660, in the particular embodiment illustrated in FIG. 6, comprise an n-type dopant. For example, in the illustrative embodiment shown in FIG. 6, the halo/pocket implants 660 include phosphorous or arsenic and have a peak dopant concentration ranging from about 1E18 atoms/cm3 to about 1E19 atoms/cm3.
Take particular notice of the enlarged halo/pocket implants 650. This is at least partially a result of the high diffusivity of the p-type dopant (e.g., boron) used to form the halo/pocket implants 650. While the particular dopant used and dopant concentration of the halo/ pocket implants 650, 660 have been given, those skilled in the art understand that the present invention should not be limited to such dopants and concentrations. The use and location of the halo/ pocket implants 650, 660 is particularly designed to reduce short channel effects in the semiconductor device 300.
Turning now to FIG. 7, illustrated is a cross-sectional view of the partially completed semiconductor device 300 illustrated in FIG. 6 after formation of a photoresist layer 710 over the PMOS device 340 and forming source/drain implants 720 within the substrate 310 of the NMOS device 330. Those skilled in the art understand the conventional processes that may be used to form and pattern the photoresist layer 710 over the PMOS device 340, thus no additional detail is required.
The source/drain implants 720 are conventionally formed and generally have a peak dopant concentration ranging from about 1E18 atoms/cm3 to about 1E21 atoms/cm3. Also, the source/drain implants 720 should typically have a dopant type opposite to that of the well region 335 they are located within. Accordingly, in the illustrative embodiment shown in FIG. 7, the source/drain implants 720 are doped with an n-type dopant.
Turning now to FIG. 8, illustrated is a cross-sectional view of the partially completed semiconductor device 300 illustrated in FIG. 7 after forming and patterning both a protective layer 810 and a photoresist layer 820 over the NMOS device 330, and subjecting the PMOS device 340 to an energy source 830. In accordance with the principles of the present invention, the protective layer 810 is formed so as to protect the NMOS device 330 from the energy source 830. For instance, when the energy source 830 is an ultraviolet (UV) energy source, the protective layer 810 may be a UV protective layer, such as a bottom anti reflective coating (BARC) layer. However, if the energy source 830 were another type of energy source 830, such as an electron beam energy source, the protective layer 810 could comprise a different material. In essence, any type of protective layer 810 may be used so long as it protects the NMOS device 330, and more particularly the gate sidewall spacers 610 of the NMOS device 330, from the energy source 830. In addition to the material composition of the protective layer 810, the thickness of the protective layer 810 may also be important to its ability to be a barrier to the energy source 830.
After forming the blanket layer of protective layer 810 over the substrate 310, a blanket layer of photoresist material 820 may be formed over the blanket layer of protective layer 810. Thereafter, the blanket layer of protective layer 810 and blanket layer of photoresist material may be patterned, resulting in the protective layer 810 and photoresist layer 820 illustrated in FIG. 8.
With the protective layer 810 and photoresist layer 820 in place, the PMOS device 340 may be subjected to the energy source 830. As previously indicated, the energy source 830, among others, may comprise a UV energy source. In this embodiment, the UV energy source may have a wavelength ranging from about 100 nm to about 300 nm, with a preferred wavelength ranging from about 140 nm to about 250 nm. Likewise, the PMOS device 340 may be subjected to the UV energy source for a time period ranging from about 1 minute to about 30 minutes, with an exemplary time ranging from about 3 minutes to about 5 minutes. Other conditions outside of the aforementioned ranges may be used, and thus are within the purview of the present invention.
What results after exposing the PMOS device 340 to the energy source 830 are gate sidewall spacers 840. In accordance with the principles of the present invention, the gate sidewall spacers 840 may have an amount of hydrogen less than the gate sidewall spacers 610. For instance, the gate sidewall spacers 830 may have about 15 atomic percent or less of hydrogen therein. In one exemplary embodiment, the gate sidewall spacers 840, and more particularly the bulk nitride spacer 845, have from about 5 to about 10 atomic percent of hydrogen therein.
It is believed that the energy source 830 disassociates the hydrogen bond in the gate sidewall spacers 840 that it contacts. Accordingly, the hydrogen is capable of exiting the gate sidewall spacers 840, resulting in a reduction in the amount of hydrogen therein. In certain instances, which will be discussed further below, an anneal may be required to allow the appropriate amount of hydrogen to leave the gate sidewall spacers 840. The benefits of the difference in hydrogen concentration between NMOS and PMOS sidewall spacers 610, 840, respectively, will be explained later.
Turning now to FIG. 9, illustrated is a cross-sectional view of the partially completed semiconductor device 300 illustrated in FIG. 8 after forming source/drain implants 910 within the PMOS device 340. The source/drain implants 910 are conventionally formed and generally have a peak dopant concentration ranging from about 1E18 atoms/cm3 to about 1E21 atoms/cm3. Also, the source/drain implants 910 should typically have a dopant type opposite to that of the well region 345 they are located within. Accordingly, in the illustrative embodiment shown in FIG. 9, the source/drain implants 910 are doped with a p-type dopant, such as boron.
It should be noted that the steps of both FIGS. 8 and 9 use the protection layer 810 and the photoresist layer 820. Accordingly, the steps of FIG. 9 could be performed before the steps of FIG. 8, or vice-versa and shown. Nevertheless, it should also be noted that the steps of FIGS. 8 and 9 could be broken up using different protective layers 810 and photoresist layers 820. However, for the interest of saving steps, time, and expense, the exposure of the PMOS device 340 to the energy source 830 has been incorporated into the traditional process flow for forming the source/drain implants 910. In essence, the only steps added were the formation of the protective layer 810 before the photoresist layer 820, and the exposure of the PMOS device 340 to the energy source 830. Both of these added steps are relatively simple and inexpensive. After the local hydrogen treatment (LHT) and source/drain implants, the photoresist 820 and the protective layer 810 are removed.
Turning now to FIG. 10, illustrated is a cross-sectional view of the partially completed semiconductor device 300 illustrated in FIG. 9 after subjected the semiconductor device 300 to an anneal. The anneal time and temperature may vary greatly while staying within the scope of the present invention. Nevertheless, in one embodiment of the present invention the anneal is conducted for a time period ranging from about 1 second to about 3 seconds at a temperature ranging from about 1000° C. to about 1100° C.
The anneal illustrated in FIG. 10 has various purposes. First, the anneal is used to activate the source/drain regions of the NMOS device 330 and PMOS device 340, resulting in structures similar to the source/ drain regions 250, 290, illustrated in FIG. 2. Moreover, the anneal assists in the removal of the hydrogen from the gate sidewall spacers 840 that were subjected to the energy source 830.
During the anneal, the difference in the hydrogen concentration in NMOS and PMOS devices provides various benefits. First, the reduced amount of hydrogen limits the change in stress within the gate sidewall spacers 840, thus limiting the tensile stress within the channel region of the PMOS device 340. This advantageously minimizes the degradation in the drive current of the PMOS device 340 while improving the drive current of the NMOS devices. Moreover, the reduction in the amount of hydrogen within the gate sidewall spacers 840 reduces the ability of the hydrogen to draw the boron from the source/drain extension implants 520, and thus reduce the performance of the PMOS device 340. Thus, as the hydrogen content in the gate sidewall spacers 840 remains small, the boron dopant concentration in the source/drain extension implants 520 remains within a range for acceptable parasitic resistance. On the other hand, the high hydrogen concentration in the sidewall spacers 610 around NMOS devices 330 enhances the boron segregation in the boron halo/pocket implants 650. The segregation eliminates the boron pile-up at the interface of the gate dielectric 413 and gate channel and thus forms the so-called “retrograde” dopant profile for improvement in electron mobility.
In addition to its impact on the dopant distribution, the anneal causes the tensile stress in the gate sidewall spacers 610 containing the elevated amount of hydrogen to increase. For example, the increased amount of hydrogen in the gate sidewall spacers 610, as compared to the gate sidewall spacers 840, may cause the change in stress in the gate sidewall spacers 610 to be up to or more than two to three times greater than the that in the gate sidewall spacers 840. As this change in stress ultimately leads to tensile stress in the channel region of the NMOS device 330, the NMOS device 330 experiences increased drive current as a result of the increased amount of hydrogen.
Referring now to FIG. 11, illustrated is an exemplary cross-sectional view of an integrated circuit (IC) 1100 incorporating semiconductor devices 1110 constructed according to the principles of the present invention. The IC 1100 may include devices, such as transistors used to form CMOS devices, BiCMOS devices, Bipolar devices, as well as capacitors or other types of devices. The IC 1100 may further include passive devices, such as inductors or resistors, or it may also include optical devices or optoelectronic devices. Those skilled in the art are familiar with these various types of devices and their manufacture. In the particular embodiment illustrated in FIG. 11, the IC 1100 includes the semiconductor devices 1110 having dielectric layers 1120 located thereover. Additionally, interconnect structures 1130 are located within the dielectric layers 1120 to interconnect various devices, thus, forming the operational integrated circuit 1100.
Although the present invention has been described in detail, those skilled in the art should understand that they can make various changes, substitutions and alterations herein without departing from the spirit and scope of the invention in its broadest form.

Claims (20)

1. A method for manufacturing an integrated circuit (IC), the method comprising:
forming a gate oxide layer over at least a portion of a substrate;
forming a gate electrode over the gate oxide layer;
implanting a dopant into the substrate to form a first region and a second region that are separated from one another by a channel region, wherein the gate oxide layer and gate electrode are located between the first and second regions over at least a portion of the channel region;
depositing a nitride film by plasma enhanced chemical vapor deposition (PECVD) over the first region, the second region, and the gate electrode, wherein the nitride film has at least 20 atomic percent of hydrogen, and wherein the nitride film is deposited under tensile stress;
etching the nitride film to from spacers over the substrate that are substantially adjacent to the gate electrode; and
annealing the IC to at least transfer the tensile stress and at least a portion of hydrogen to the channel region.
2. The method of claim 1, wherein the steps of forming the gate oxide layer and the gate electrode are performed before the step of implanting the dopant.
3. The method of claim 1, wherein the step of etching is performed before the step of annealing.
4. The method of claim 1, wherein the step of depositing further comprises using a reactive gas of silane (SiH4) and ammonia (NH3) having a gas ratio of silane (SiH4) to ammonia (NH3) of about 0.2 to about 0.6 at a pressure ranging from about 4 ton to about 10 ton, at a radio frequency (RF) power ranging from about 50 watts to about 300 watts, and at a temperature of about 200° C. to about 400° C.
5. The method of claim 1, wherein the nitride film has between about 25 atomic percent to about 30 atomic percent of hydrogen.
6. The method of claim 4, wherein the pressure is between about 5 torr and about 7 torr.
7. The method of claim 6, wherein the RF power is between about 150 watts and about 250 watts.
8. The method of claim 7, wherein the temperature is between about 250° C. to about 350° C.
9. The method of claim 8, wherein the gas ratio is between about 0.3 to about 0.5.
10. The method of claim 9, wherein the step of depositing further comprises using a carrier gas of helium, nitrogen, or argon.
11. A method for manufacturing an integrated circuit (IC), the method comprising:
forming a gate oxide layer over at least a portion of a P-type portion of a substrate;
forming a gate electrode over the gate oxide layer;
implanting a N-type dopant into the P-type portion of the substrate to form a first region and a second region that are separated from one another by a P-type channel region, wherein the oxide layer and gate electrode are located between the first and second regions over at least a portion of the channel region;
depositing a nitride film by PECVD over the first region, the second region, and the gate electrode, wherein the nitride film has at least 20 atomic percent of hydrogen, and wherein the nitride film is deposited under tensile stress;
etching the nitride film to from spacers over the substrate that are substantially adjacent to the gate electrode; and
annealing the IC to at least transfer the tensile stress and at least a portion of hydrogen to the channel region.
12. The method of claim 11, wherein the steps of forming the gate oxide layer and the gate electrode are performed before the step of implanting the dopant.
13. The method of claim 11, wherein the step of etching is performed before the step of annealing.
14. The method of claim 11, wherein the step of depositing further comprises using a reactive gas of silane (SiH4) and ammonia (NH3) having a gas ratio of silane (SiH4) to ammonia (NH3) of about 0.2 to about 0.6 at a pressure ranging from about 4 ton to about 10 torr, at a radio frequency (RF) power ranging from about 50 watts to about 300 watts, and at a temperature of about 200° C. to about 400° C.
15. The method of claim 11, wherein the nitride film has between about 25 atomic percent to about 30 atomic percent of hydrogen.
16. The method of claim 14, wherein the pressure is between about 5 torr and about 7 torr.
17. The method of claim 16, wherein the RF power is between about 150 watts and about 250 watts.
18. The method of claim 17, wherein the temperature is between about 250° C. to about 350° C.
19. The method of claim 18, wherein the gas ratio is between about 0.3 to about 0.5.
20. The method of claim 19, wherein the step of depositing further comprises using a carrier gas of helium, nitrogen, or argon.
US11/173,088 2005-07-01 2005-07-01 Gate sidewall spacer and method of manufacture therefor Active 2026-04-10 US7790561B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US11/173,088 US7790561B2 (en) 2005-07-01 2005-07-01 Gate sidewall spacer and method of manufacture therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/173,088 US7790561B2 (en) 2005-07-01 2005-07-01 Gate sidewall spacer and method of manufacture therefor

Publications (2)

Publication Number Publication Date
US20070004156A1 US20070004156A1 (en) 2007-01-04
US7790561B2 true US7790561B2 (en) 2010-09-07

Family

ID=37590134

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/173,088 Active 2026-04-10 US7790561B2 (en) 2005-07-01 2005-07-01 Gate sidewall spacer and method of manufacture therefor

Country Status (1)

Country Link
US (1) US7790561B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9559185B2 (en) 2015-06-18 2017-01-31 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102008035811B3 (en) * 2008-07-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Field effect transistor with a deformed channel region, which is caused by a hydrogen-induced lattice deformation and method for introducing the hydrogen
KR101096909B1 (en) * 2009-12-04 2011-12-22 주식회사 하이닉스반도체 Transistor of semiconductor device and method of fabricating the same
KR101697594B1 (en) * 2010-03-03 2017-01-18 삼성전자주식회사 Semiconductor device and Method of fabricating the same
US9848084B2 (en) 2014-08-01 2017-12-19 Genesys Telecommunications Laboratories, Inc. Adaptable business objective routing for a contact center
US9350867B2 (en) 2014-08-01 2016-05-24 Genesys Telecommunications Laboratories, Inc. System and method for anticipatory dynamic customer segmentation for a contact center
US9781270B2 (en) 2014-08-01 2017-10-03 Genesys Telecommunications Laboratories, Inc. System and method for case-based routing for a contact

Citations (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5500379A (en) * 1993-06-25 1996-03-19 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device
US5757045A (en) * 1996-07-17 1998-05-26 Taiwan Semiconductor Manufacturing Company Ltd. CMOS device structure with reduced risk of salicide bridging and reduced resistance via use of a ultra shallow, junction extension, ion implantation
US6083836A (en) * 1997-12-23 2000-07-04 Texas Instruments Incorporated Transistors with substitutionally formed gate structures and method
US6294415B1 (en) * 2000-04-26 2001-09-25 United Microelectronics Corp. Method of fabricating a MOS transistor
US20020042211A1 (en) * 1997-06-06 2002-04-11 Yoshikazu Tanabe Process for manufacturing semiconductor integrated circuit device including treatment of gas used in the process
US6372672B1 (en) * 1999-01-05 2002-04-16 Samsung Electronics Co., Ltd. Method of forming a silicon nitride layer in a semiconductor device
US20040043549A1 (en) * 2002-09-04 2004-03-04 Renesas Technology Corp. Method of manufacturing semiconductor device having gate electrode with expanded upper portion
US20040087155A1 (en) * 2002-10-31 2004-05-06 Karsten Wieczorek Method of removing sidewall spacers in the fabrication of a semiconductor device using an improved removal process
US20040097100A1 (en) * 2001-05-15 2004-05-20 Hidenori Sato Semiconductor integrated circuit device and production method thereof
US6740605B1 (en) * 2003-05-05 2004-05-25 Advanced Micro Devices, Inc. Process for reducing hydrogen contamination in dielectric materials in memory devices
US6743291B2 (en) * 2002-07-09 2004-06-01 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a CMOS device with integrated super-steep retrograde twin wells using double selective epitaxial growth
US20040110392A1 (en) * 2002-12-09 2004-06-10 Taiwan Semiconductor Manufacturing Company N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications
US20040127005A1 (en) * 2002-12-27 2004-07-01 Lee Seung Cheol Method of manufacturing semiconductor device
US20040124476A1 (en) * 2002-11-14 2004-07-01 Kiyotaka Miyano Semiconductor device and method of manufacturing the same
US20040150052A1 (en) * 2002-12-13 2004-08-05 Damiano Riccardi Integrated electronic device and manufacturing method thereof
US20040164344A1 (en) * 2000-04-06 2004-08-26 Weimer Ronald A. Method of fabricating an integrated circuit with a dielectric layer exposed to a hydrogen-bearing nitrogen source
US20040224517A1 (en) * 2001-10-04 2004-11-11 Fujitsu Limited Semiconductor device and method of manufacturing the same
US6864143B1 (en) * 2000-01-24 2005-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Eliminate bridging between gate and source/drain in cobalt salicidation
US20050095765A1 (en) * 2003-10-31 2005-05-05 Fujitsu Limited Semiconductor device and method of fabricating the same
US20050104095A1 (en) * 2003-11-13 2005-05-19 International Business Machines Corporation Method and structure to use an etch resistant liner on transistor gate structure to achieve high device performance
US20050153498A1 (en) * 2003-12-27 2005-07-14 Dongbuanam Semiconductor Inc. Method of manufacturing p-channel MOS transistor and CMOS transistor
US6930007B2 (en) * 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
US6949481B1 (en) * 2003-12-09 2005-09-27 Fasl, Llc Process for fabrication of spacer layer with reduced hydrogen content in semiconductor device
US20050221562A1 (en) * 2000-01-17 2005-10-06 Masahiro Yoshida Method for manufacturing semiconductor device having thick insulating layer under gate side walls
US6955965B1 (en) * 2003-12-09 2005-10-18 Fasl, Llc Process for fabrication of nitride layer with reduced hydrogen content in ONO structure in semiconductor device
US20050266622A1 (en) * 2004-05-25 2005-12-01 Applied Materials, Inc., A Delaware Corporation Method for forming a low thermal budget spacer
US20050266631A1 (en) * 2004-05-26 2005-12-01 Fujitsu Limited Semiconductor device fabricating method
US20060014351A1 (en) * 2004-07-15 2006-01-19 Cheng-Yao Lo Low leakage MOS transistor
US20060019456A1 (en) * 2004-07-26 2006-01-26 Haowen Bu Transistor fabrication methods using dual sidewall spacers
US20060019455A1 (en) * 2004-07-26 2006-01-26 Haowen Bu Transistor fabrication methods using reduced width sidewall spacers
US6992370B1 (en) * 2003-09-04 2006-01-31 Advanced Micro Devices, Inc. Memory cell structure having nitride layer with reduced charge loss and method for fabricating same
US20060099765A1 (en) * 2004-11-11 2006-05-11 International Business Machines Corporation Method to enhance cmos transistor performance by inducing strain in the gate and channel
US20060121681A1 (en) * 2004-12-02 2006-06-08 Texas Instruments, Inc. Method for forming halo/pocket implants through an L-shaped sidewall spacer
US7098099B1 (en) * 2005-02-24 2006-08-29 Texas Instruments Incorporated Semiconductor device having optimized shallow junction geometries and method for fabrication thereof
US20060205169A1 (en) * 2005-03-08 2006-09-14 Texas Instruments Incorporated Method for manufacturing a semiconductor device using a sidewall spacer etchback
US20060261420A1 (en) * 2002-06-07 2006-11-23 Fujitsu Limited Semiconductor device and method of manufacturing thereof
US7163860B1 (en) * 2003-05-06 2007-01-16 Spansion Llc Method of formation of gate stack spacer and charge storage materials having reduced hydrogen content in charge trapping dielectric flash memory device
US20070029608A1 (en) * 2005-08-08 2007-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Offset spacers for CMOS transistors
US20070072381A1 (en) * 2002-10-10 2007-03-29 Fujitsu Limited Method for fabricating a semiconductor device including the use of a compound containing silicon and nitrogen to form an insulation film of SiN, SiCN or SiOCN

Patent Citations (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5500379A (en) * 1993-06-25 1996-03-19 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device
US5757045A (en) * 1996-07-17 1998-05-26 Taiwan Semiconductor Manufacturing Company Ltd. CMOS device structure with reduced risk of salicide bridging and reduced resistance via use of a ultra shallow, junction extension, ion implantation
US20020042211A1 (en) * 1997-06-06 2002-04-11 Yoshikazu Tanabe Process for manufacturing semiconductor integrated circuit device including treatment of gas used in the process
US6083836A (en) * 1997-12-23 2000-07-04 Texas Instruments Incorporated Transistors with substitutionally formed gate structures and method
US6372672B1 (en) * 1999-01-05 2002-04-16 Samsung Electronics Co., Ltd. Method of forming a silicon nitride layer in a semiconductor device
US20050221562A1 (en) * 2000-01-17 2005-10-06 Masahiro Yoshida Method for manufacturing semiconductor device having thick insulating layer under gate side walls
US6864143B1 (en) * 2000-01-24 2005-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Eliminate bridging between gate and source/drain in cobalt salicidation
US20040164344A1 (en) * 2000-04-06 2004-08-26 Weimer Ronald A. Method of fabricating an integrated circuit with a dielectric layer exposed to a hydrogen-bearing nitrogen source
US6294415B1 (en) * 2000-04-26 2001-09-25 United Microelectronics Corp. Method of fabricating a MOS transistor
US6905982B2 (en) * 2001-05-15 2005-06-14 Renesas Technology Corp. Method of manufacturing a semiconductor integrated circuit device
US20040097100A1 (en) * 2001-05-15 2004-05-20 Hidenori Sato Semiconductor integrated circuit device and production method thereof
US20040224517A1 (en) * 2001-10-04 2004-11-11 Fujitsu Limited Semiconductor device and method of manufacturing the same
US20060261420A1 (en) * 2002-06-07 2006-11-23 Fujitsu Limited Semiconductor device and method of manufacturing thereof
US6743291B2 (en) * 2002-07-09 2004-06-01 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a CMOS device with integrated super-steep retrograde twin wells using double selective epitaxial growth
US20040043549A1 (en) * 2002-09-04 2004-03-04 Renesas Technology Corp. Method of manufacturing semiconductor device having gate electrode with expanded upper portion
US20070072381A1 (en) * 2002-10-10 2007-03-29 Fujitsu Limited Method for fabricating a semiconductor device including the use of a compound containing silicon and nitrogen to form an insulation film of SiN, SiCN or SiOCN
US20040087155A1 (en) * 2002-10-31 2004-05-06 Karsten Wieczorek Method of removing sidewall spacers in the fabrication of a semiconductor device using an improved removal process
US20040124476A1 (en) * 2002-11-14 2004-07-01 Kiyotaka Miyano Semiconductor device and method of manufacturing the same
US20040110392A1 (en) * 2002-12-09 2004-06-10 Taiwan Semiconductor Manufacturing Company N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications
US20040150052A1 (en) * 2002-12-13 2004-08-05 Damiano Riccardi Integrated electronic device and manufacturing method thereof
US20040127005A1 (en) * 2002-12-27 2004-07-01 Lee Seung Cheol Method of manufacturing semiconductor device
US6740605B1 (en) * 2003-05-05 2004-05-25 Advanced Micro Devices, Inc. Process for reducing hydrogen contamination in dielectric materials in memory devices
US7163860B1 (en) * 2003-05-06 2007-01-16 Spansion Llc Method of formation of gate stack spacer and charge storage materials having reduced hydrogen content in charge trapping dielectric flash memory device
US6992370B1 (en) * 2003-09-04 2006-01-31 Advanced Micro Devices, Inc. Memory cell structure having nitride layer with reduced charge loss and method for fabricating same
US6930007B2 (en) * 2003-09-15 2005-08-16 Texas Instruments Incorporated Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
US20050095765A1 (en) * 2003-10-31 2005-05-05 Fujitsu Limited Semiconductor device and method of fabricating the same
US20050104095A1 (en) * 2003-11-13 2005-05-19 International Business Machines Corporation Method and structure to use an etch resistant liner on transistor gate structure to achieve high device performance
US6955965B1 (en) * 2003-12-09 2005-10-18 Fasl, Llc Process for fabrication of nitride layer with reduced hydrogen content in ONO structure in semiconductor device
US6949481B1 (en) * 2003-12-09 2005-09-27 Fasl, Llc Process for fabrication of spacer layer with reduced hydrogen content in semiconductor device
US20050153498A1 (en) * 2003-12-27 2005-07-14 Dongbuanam Semiconductor Inc. Method of manufacturing p-channel MOS transistor and CMOS transistor
US20050266622A1 (en) * 2004-05-25 2005-12-01 Applied Materials, Inc., A Delaware Corporation Method for forming a low thermal budget spacer
US20050266631A1 (en) * 2004-05-26 2005-12-01 Fujitsu Limited Semiconductor device fabricating method
US20060014351A1 (en) * 2004-07-15 2006-01-19 Cheng-Yao Lo Low leakage MOS transistor
US20060019455A1 (en) * 2004-07-26 2006-01-26 Haowen Bu Transistor fabrication methods using reduced width sidewall spacers
US20060019456A1 (en) * 2004-07-26 2006-01-26 Haowen Bu Transistor fabrication methods using dual sidewall spacers
US20060099765A1 (en) * 2004-11-11 2006-05-11 International Business Machines Corporation Method to enhance cmos transistor performance by inducing strain in the gate and channel
US20060121681A1 (en) * 2004-12-02 2006-06-08 Texas Instruments, Inc. Method for forming halo/pocket implants through an L-shaped sidewall spacer
US7098099B1 (en) * 2005-02-24 2006-08-29 Texas Instruments Incorporated Semiconductor device having optimized shallow junction geometries and method for fabrication thereof
US20060205169A1 (en) * 2005-03-08 2006-09-14 Texas Instruments Incorporated Method for manufacturing a semiconductor device using a sidewall spacer etchback
US20070029608A1 (en) * 2005-08-08 2007-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Offset spacers for CMOS transistors

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
http://en.wikipedia.org/wiki/PECVD. *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9559185B2 (en) 2015-06-18 2017-01-31 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same

Also Published As

Publication number Publication date
US20070004156A1 (en) 2007-01-04

Similar Documents

Publication Publication Date Title
US7544551B2 (en) Technique for strain engineering in Si-based Transistors by using embedded semiconductor layers including atoms with high covalent radius
US7585704B2 (en) Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7615454B2 (en) Embedded stressed nitride liners for CMOS performance improvement
US8865539B2 (en) Fully depleted SOI multiple threshold voltage application
US7002209B2 (en) MOSFET structure with high mechanical stress in the channel
US7863201B2 (en) Methods of forming field effect transistors having silicided source/drain contacts with low contact resistance
US7348231B2 (en) Methods of fabricating semiconductor devices having insulating layers with differing compressive stresses
US20070108525A1 (en) Structure and method to increase strain enhancement with spacerless fet and dual liner process
US20050059228A1 (en) Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance
TWI400741B (en) High performance cmos transistors using pmd linear stress
US7790561B2 (en) Gate sidewall spacer and method of manufacture therefor
US8652913B2 (en) Method for forming silicon/germanium containing drain/source regions in transistors with reduced silicon/germanium loss
JP2011171706A (en) Transistor and manufacturing method therefor
JP2008283182A (en) Method of manufacturing pmos transistor, and method of manufacturing cmos transistor
US20060172556A1 (en) Semiconductor device having a high carbon content strain inducing film and a method of manufacture therefor
US20100270622A1 (en) Semiconductor Device Having a Strain Inducing Sidewall Spacer and a Method of Manufacture Therefor
US7781844B2 (en) Semiconductor device having a stressor film
US7227201B2 (en) CMOS device having different amounts of nitrogen in the NMOS gate dielectric layers and PMOS gate dielectric layers
US20080054357A1 (en) Semiconductor structure with enhanced performance using a simplified dual stress liner configuration
US20070105368A1 (en) Method of fabricating a microelectronic device using electron beam treatment to induce stress
US20050118770A1 (en) Method for introducing hydrogen into a channel region of a metal oxide semiconductor (MOS) device
US20080203447A1 (en) Low-temperature electrically activated gate electrode and method of fabricating same
US6875676B2 (en) Methods for producing a highly doped electrode for a field effect transistor
US7786536B2 (en) Semiconductor device and method for fabricating the same
WO2007053381A1 (en) Technique for strain engineering in si-based transistors by using embedded semiconductor layers including atoms with high covalent radius

Legal Events

Date Code Title Description
AS Assignment

Owner name: TEXAS INSTRUMENTS INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ROUSE, RICHARD P.;EKBOTE, SHASHANK S.;BU, HAOWEN;SIGNING DATES FROM 20050623 TO 20050627;REEL/FRAME:016763/0733

Owner name: TEXAS INSTRUMENTS INC., TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ROUSE, RICHARD P.;EKBOTE, SHASHANK S.;BU, HAOWEN;REEL/FRAME:016763/0733;SIGNING DATES FROM 20050623 TO 20050627

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552)

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12