US7428681B2 - Method and apparatus for reducing number of transitions generated by linear feedback shift register - Google Patents

Method and apparatus for reducing number of transitions generated by linear feedback shift register Download PDF

Info

Publication number
US7428681B2
US7428681B2 US11/159,025 US15902505A US7428681B2 US 7428681 B2 US7428681 B2 US 7428681B2 US 15902505 A US15902505 A US 15902505A US 7428681 B2 US7428681 B2 US 7428681B2
Authority
US
United States
Prior art keywords
lfsr
value
transition
scan chain
transitions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US11/159,025
Other versions
US20070011533A1 (en
Inventor
Sungho Kang
You-Bean Kim
Myung-Hoon Yang
Yong Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yonsei University
Original Assignee
Yonsei University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yonsei University filed Critical Yonsei University
Assigned to YONSEI UNIVERSITY reassignment YONSEI UNIVERSITY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KANG, SUNGHO, KIM, YOU-BEAN, LEE, YONG, YANG, MYUNG-HOON
Publication of US20070011533A1 publication Critical patent/US20070011533A1/en
Application granted granted Critical
Publication of US7428681B2 publication Critical patent/US7428681B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318536Scan chain arrangements, e.g. connections, test bus, analog signals

Definitions

  • the present invention relates to an improvement on LFSR (linear feedback shift register) used for BIST (built-in self-test).
  • BIST built-in self-test
  • SoC system-on-chip
  • FIG. 1 shows block-wise a conventional structure of LT-RTPG (low transition random test pattern generator).
  • the number of inputs, “k,” is determined by toggle probability. Empirical studies say the optimum value of k is 2 or 3.
  • the LFSR 10 is connected to such inputs of the AND gate 11 , and the T-FF 12 is operated according to the outputs of the AND gate 11 , thereby patterns having high association are provided.
  • the T-FF 12 outputs “1” only if toggle occurs in the input values (i.e., when successive input vectors have different values; this is referred to as “transition”), while it outputs “0” otherwise. Therefore, in connection with the AND gate, by entering patterns having high association in the scan chain 13 , a low power LFSR can be implemented. That is, in a k-input AND gate, the probability of generating “1” is 1/2 k , and the transition occurs at the T-FF before and after this “1” generation. The scan chain shifts until the scan chain is filled with these test patterns. At this time, by applying test patterns having high association to the scan chain, the number of transitions can be reduced.
  • a method of reducing the number of transitions generated by an LFSR by using a transition monitoring window comprising a transition monitoring window (hereinafter referred to as “TMW”) which monitors the number of transitions occurring as random patterns generated from the LFSR are applied to a scan chain. If the number of transitions exceeds a threshold value (hereinafter, referred to as “k-value”), the vectors most recently entered in the scan chain are fed back, thereby reducing the number of transitions.
  • TMW transition monitoring window
  • the TMW re-applies to the scan chain the vector that was previously applied to the scan chain; if the number of transitions within the TMW does not exceed the k-value, the TMW applies the vector generated from the LFSR to the scan chain without any change.
  • the operation of the TMW consists of: monitoring for the occurrence of transition entering the LFSR; if the transition is detected, incrementing a counter by “1”, otherwise, doing nothing; monitoring for the occurrence of transition just before the exit of a lowest stage of the LFSR; if the transition is detected, decrementing the counter by “1”, otherwise, doing nothing; comparing a signal from the counter with the k-value at every clock tick; and if the monitored value is greater than the k-value, re-applying to the scan chain the vector most recently applied to the scan chain; if it is less than the k-value, applying to the scan chain the previously produced output from the LFSR.
  • the size of the TMW is half the size of the LFSR.
  • a transition monitoring window comprising: a first XOR gate for monitoring for the occurrence of transition entered in the LFSR; a second XOR gate, connected to a lowest stage of the LFSR, for monitoring for the occurrence of transition just before the exit of the lowest stage of the LFSR; a counter, connected both to the first XOR gate and to the second XOR gate, to be incremented if the transition is detected; a comparator for comparing an output signal from the counter with the k-value at every clock tick; and a multiplexer for re-applying to the scan chain the vector most recently applied to the scan chain if the output from the counter is greater than the k-value, or if it is less than the k-value, applying to the scan chain the previously produced output from LFSR.
  • FIG. 1 is a block diagram of an LT-RTPG circuit using a conventional LFSR.
  • FIG. 2 is a block diagram of a structure of LFSR according to the present invention.
  • FIG. 3 is an exemplary view of the number of pattern transitions for the present invention.
  • FIG. 4 is a graph showing the distribution of pattern transitions of s13207.
  • FIG. 5 is a graph for comparing the average number of pattern transitions with (n ⁇ 1)/2.
  • FIG. 6 is a conceptual view explaining a transition monitoring window.
  • FIGS. 7 a-c are tables showing the experimental result that is obtained by varying the threshold transition number (“k-value”) according to the size of LFSR.
  • FIG. 8 is a table showing the experimental result that is obtained by comparing the present invention and the conventional technique.
  • FIG. 2 shows a block diagram of the structure of LFSR using a “transition monitoring window” (TMW) according to the present invention.
  • TMW block 21 which can be implemented by a combinational circuit, monitors the transitions occurring in LFSR 20 . If the number of transitions is detected exceeding the “threshold transition number” (hereinafter, referred to as “k-value”), a multiplexer 214 feeds back the vector most recently entered in a scan chain 22 to make a highly associated vector, thereby reducing the number of scan transitions.
  • k-value threshold transition number
  • the low power LFSR structure using a transition monitoring window according to the present invention is based on the fact that the transition numbers, occurring when random patterns generated from LFSR 20 are applied to the scan chain 22 , constitute a quasi-Gaussian distribution.
  • the transition numbers occurring when random patterns generated from LFSR 20 are applied to the scan chain 22 , constitute a quasi-Gaussian distribution.
  • the pattern's transition number is seven (7) as the arrow indicates.
  • the graph in FIG. 4 shows that pattern transition numbers of 5,000 patterns for s13207 constitute a quasi-Gaussian distribution. This attribute comes from the property of the random patterns generated from LFSR.
  • FIG. 5 is a table that compares the average pattern transition numbers with (n ⁇ 1)/2 for several exemplary circuits.
  • n means the number of scan inputs.
  • TMW (transition monitoring window) block 21 is just such an apparatus.
  • the concept of TMW is shown in FIG. 6 .
  • the size of the window is preferably set as small as possible. The reason for this is that, for the whole LFSR, if transition density TD 2 is greater than TD 1 , a higher portion of the transition density is repressed, thereby obtaining a positive effect; on the contrary, if TD 1 is greater than TD 2 , the low transition density TD 2 is repressed by TD 1 , thus causing a negative effect.
  • the size of the transition monitoring window is set as small as possible.
  • the transition monitoring window is a new concept invented by the inventors.
  • a low power BIST is built by modifying or changing the output stage of LFSR, the inside of LFSR, or the inside of SCAN. While in the present invention, the tendency of LFSR to produce transitions is monitored in advance by the transition monitoring window, and thereafter the transition number is observed if it exceeds the predetermined k-value, i.e., all further transitions generated after reaching the k-value will be repressed. If the transition number within TMW exceeds the k-value, the signal is multiplexed and the vector that was previously applied to SCAN is re-applied to heighten the association between vectors, thereby producing low power patterns. If the transition number within TMW does not exceed the k-value, the vector generated in LFSR is applied without any change.
  • the operation of the present invention shown in FIG. 2 will be described in more detail, with reference to the above concepts.
  • the following description will be specified to a 32-bit LFSR.
  • the scope of the present invention is not limited to this.
  • the transition introduced from the LFSR to TMW is monitored by XOR gate 211 a . If the transition is detected, the XOR gate 211 a increments the 4-bit counter by “1”; otherwise, it does nothing.
  • another XOR gate 211 b is added at the lowest stage of TMW.
  • This gate 211 b detects the transition just before it exits the TMW. If the transition is detected, since it is a transition that will come out of the TMW, the gate 211 b decrements the counter 212 by “1”; otherwise, it does nothing.
  • the result of detection from the counter 212 is compared with the k-value of 8 by the comparator 213 at every clock tick. If the monitored value is greater than 8, the comparator 213 outputs “1” to the multiplexer 214 .
  • the multiplexer 214 MUXes this value and the vector most recently applied to SCAN 22 is again applied to SCAN 22 .
  • This re-applied vector is of high associativity, and therefore a low power LFSR can be implemented. If the comparator 213 does not output “1” due to the absence of a transition exceeding the k-value, there will be no further operation, therefore the previously produced output of LFSR is just applied to SCAN 22 .
  • the inventors tried to experiment with adopting various sizes for the TMW, and after the experiment the inventors found that the optimum size of TMW was the half the LFSR. Reflecting this result, the k-value (i.e., the transition count after which all further transitions will be repressed) and the window size are determined by the following equations.
  • is an important parameter to determine the k-value, i.e., the transition count after which all further transitions will be repressed.
  • TR transition reduction rate

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

A method for reducing the number of transitions generated by an LFSR is introduced. The transition monitoring window monitors the number of transitions occurring as random patterns generated from an LFSR are applied to a scan chain, and, if the number of transitions exceeds a threshold value (“k-value”), all further transitions are suppressed. The transition monitoring window monitors the patterns entering the LFSR, incrementing a counter if a transition is detected. If a transition is detected just before the exit of a lowest stage of the LFSR the counter is decremented. The signal from the counter is compared with the k-value at every clock tick, and if the count is greater than the k-value, the vector most recently applied to the scan chain is re-applied to the scan chain; if it is less than the k-value, the output from the LFSR is applied to the scan chain.

Description

BACKGROUND OF THE INVENTION
1. Field of the invention
The present invention relates to an improvement on LFSR (linear feedback shift register) used for BIST (built-in self-test).
2. Related Prior Art
BIST (built-in self-test) is typical of the “design for testability” techniques applicable especially to SoC (system-on-chip). It is considered the most appropriate choice for testing SoC. The most important advantage of BIST is that it does not use external testers, but uses test functions built into the individual chip, thus the test costs are reduced and testing is possible even during the manufacturing process or when in use. For performing BIST, entering test patterns is required. LFSR is commonly used to generate a pseudo-random pattern that is one type of test pattern.
Conventionally, referring to FIG. 1, an over-transition problem appears at scan chain 13, because of the low degree of association between the patterns generated in LFSR 10. To solve this problem, as shown in FIG. 1, the conventional circuit additionally includes a k-input AND gate 11 and a toggle flip-flop (T-FF) 12. FIG. 1 also shows block-wise a conventional structure of LT-RTPG (low transition random test pattern generator).
In FIG. 1, the number of inputs, “k,” is determined by toggle probability. Empirical studies say the optimum value of k is 2 or 3. The LFSR 10 is connected to such inputs of the AND gate 11, and the T-FF 12 is operated according to the outputs of the AND gate 11, thereby patterns having high association are provided.
The T-FF 12 outputs “1” only if toggle occurs in the input values (i.e., when successive input vectors have different values; this is referred to as “transition”), while it outputs “0” otherwise. Therefore, in connection with the AND gate, by entering patterns having high association in the scan chain 13, a low power LFSR can be implemented. That is, in a k-input AND gate, the probability of generating “1” is 1/2 k, and the transition occurs at the T-FF before and after this “1” generation. The scan chain shifts until the scan chain is filled with these test patterns. At this time, by applying test patterns having high association to the scan chain, the number of transitions can be reduced.
There was a test performed using the above conventional art, which used an ISCAS '89 benchmark circuit. The test result discloses that the scan transitions were reduced by about 30%. However, the test was performed only on circuits smaller than an s9234 circuit, and test results on a large scale circuit which had hundreds or thousands of scans could not be found. The reason for the absence of such test results is presumed to be that more patterns were required to find faults when varying the random patterns on the circuit shown in FIG. 1. Actually, in the above test result, it was noted that 131,072 test patterns were used to obtain 91.78% of the fault coverage.
In the conventional technique, although it comprises a k-input AND gate as well as a T flip-flop, its hardware overhead cannot be said to be very large. However, the inventors have studied a method to further reduce the scan transition numbers and to improve the fault coverage, thereby developing a new concept for a transition monitoring window and a novel low power LFSR structure by using the same.
SUMMARY OF INVENTION
According to a feature of the present invention, there is provided a method of reducing the number of transitions generated by an LFSR by using a transition monitoring window, comprising a transition monitoring window (hereinafter referred to as “TMW”) which monitors the number of transitions occurring as random patterns generated from the LFSR are applied to a scan chain. If the number of transitions exceeds a threshold value (hereinafter, referred to as “k-value”), the vectors most recently entered in the scan chain are fed back, thereby reducing the number of transitions.
In the above, if the number of transitions within the TMW exceeds the k-value, the TMW re-applies to the scan chain the vector that was previously applied to the scan chain; if the number of transitions within the TMW does not exceed the k-value, the TMW applies the vector generated from the LFSR to the scan chain without any change.
The operation of the TMW consists of: monitoring for the occurrence of transition entering the LFSR; if the transition is detected, incrementing a counter by “1”, otherwise, doing nothing; monitoring for the occurrence of transition just before the exit of a lowest stage of the LFSR; if the transition is detected, decrementing the counter by “1”, otherwise, doing nothing; comparing a signal from the counter with the k-value at every clock tick; and if the monitored value is greater than the k-value, re-applying to the scan chain the vector most recently applied to the scan chain; if it is less than the k-value, applying to the scan chain the previously produced output from the LFSR.
In the present invention, it is desirable that the size of the TMW is half the size of the LFSR.
According to another feature of the present invention, there is provided a transition monitoring window comprising: a first XOR gate for monitoring for the occurrence of transition entered in the LFSR; a second XOR gate, connected to a lowest stage of the LFSR, for monitoring for the occurrence of transition just before the exit of the lowest stage of the LFSR; a counter, connected both to the first XOR gate and to the second XOR gate, to be incremented if the transition is detected; a comparator for comparing an output signal from the counter with the k-value at every clock tick; and a multiplexer for re-applying to the scan chain the vector most recently applied to the scan chain if the output from the counter is greater than the k-value, or if it is less than the k-value, applying to the scan chain the previously produced output from LFSR.
BRIEF DESCRIPTION OF THE DRAWINGS
The above and other objects, features, and advantages of the present invention will become more apparent from the following description when taken in conjunction with the accompanying drawings, in which:
FIG. 1 is a block diagram of an LT-RTPG circuit using a conventional LFSR.
FIG. 2 is a block diagram of a structure of LFSR according to the present invention.
FIG. 3 is an exemplary view of the number of pattern transitions for the present invention.
FIG. 4 is a graph showing the distribution of pattern transitions of s13207.
FIG. 5 is a graph for comparing the average number of pattern transitions with (n−1)/2.
FIG. 6 is a conceptual view explaining a transition monitoring window.
FIGS. 7 a-c are tables showing the experimental result that is obtained by varying the threshold transition number (“k-value”) according to the size of LFSR.
FIG. 8 is a table showing the experimental result that is obtained by comparing the present invention and the conventional technique.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
FIG. 2 shows a block diagram of the structure of LFSR using a “transition monitoring window” (TMW) according to the present invention. In operation, TMW block 21, which can be implemented by a combinational circuit, monitors the transitions occurring in LFSR 20. If the number of transitions is detected exceeding the “threshold transition number” (hereinafter, referred to as “k-value”), a multiplexer 214 feeds back the vector most recently entered in a scan chain 22 to make a highly associated vector, thereby reducing the number of scan transitions.
The low power LFSR structure using a transition monitoring window according to the present invention is based on the fact that the transition numbers, occurring when random patterns generated from LFSR 20 are applied to the scan chain 22, constitute a quasi-Gaussian distribution. For example, as shown in FIG. 3, when a pattern P1 is fully applied to the scan chain 22, the pattern's transition number is seven (7) as the arrow indicates. The graph in FIG. 4 shows that pattern transition numbers of 5,000 patterns for s13207 constitute a quasi-Gaussian distribution. This attribute comes from the property of the random patterns generated from LFSR.
The average value of the pattern transition numbers comes close to (n−1)/2 which is the central axis of the distribution graph. FIG. 5 is a table that compares the average pattern transition numbers with (n−1)/2 for several exemplary circuits. Herein, “n” means the number of scan inputs.
It is a major feature of the present invention to reduce the number of scan transitions by allowing for a “k-value” which is measured by adding or subtracting a certain value to/from (n−1)/2 , where the value (n−1)/2 is similar to the average number of pattern transitions generated from LFSR, and “n” stands for the size of LFSR.
At this time, an apparatus for monitoring whether the transition number reaches a predetermined “k-value” is required. TMW (transition monitoring window) block 21 is just such an apparatus. The concept of TMW is shown in FIG. 6. As shown, the size of the window is preferably set as small as possible. The reason for this is that, for the whole LFSR, if transition density TD2 is greater than TD1, a higher portion of the transition density is repressed, thereby obtaining a positive effect; on the contrary, if TD1 is greater than TD2, the low transition density TD2 is repressed by TD1, thus causing a negative effect. Thus it is desirable that the size of the transition monitoring window is set as small as possible.
The transition monitoring window is a new concept invented by the inventors. In the conventional art, a low power BIST is built by modifying or changing the output stage of LFSR, the inside of LFSR, or the inside of SCAN. While in the present invention, the tendency of LFSR to produce transitions is monitored in advance by the transition monitoring window, and thereafter the transition number is observed if it exceeds the predetermined k-value, i.e., all further transitions generated after reaching the k-value will be repressed. If the transition number within TMW exceeds the k-value, the signal is multiplexed and the vector that was previously applied to SCAN is re-applied to heighten the association between vectors, thereby producing low power patterns. If the transition number within TMW does not exceed the k-value, the vector generated in LFSR is applied without any change.
Hereafter, the operation of the present invention shown in FIG. 2 will be described in more detail, with reference to the above concepts. For easy understanding, the following description will be specified to a 32-bit LFSR. However, the scope of the present invention is not limited to this. In FIG. 2, the transition introduced from the LFSR to TMW, the size of which is half the LFSR, is monitored by XOR gate 211 a. If the transition is detected, the XOR gate 211 a increments the 4-bit counter by “1”; otherwise, it does nothing.
In the mean time, another XOR gate 211 b is added at the lowest stage of TMW. This gate 211 b detects the transition just before it exits the TMW. If the transition is detected, since it is a transition that will come out of the TMW, the gate 211 b decrements the counter 212 by “1”; otherwise, it does nothing. The result of detection from the counter 212 is compared with the k-value of 8 by the comparator 213 at every clock tick. If the monitored value is greater than 8, the comparator 213 outputs “1” to the multiplexer 214. The multiplexer 214 MUXes this value and the vector most recently applied to SCAN 22 is again applied to SCAN 22. This re-applied vector is of high associativity, and therefore a low power LFSR can be implemented. If the comparator 213 does not output “1” due to the absence of a transition exceeding the k-value, there will be no further operation, therefore the previously produced output of LFSR is just applied to SCAN 22.
The inventors tried to experiment with adopting various sizes for the TMW, and after the experiment the inventors found that the optimum size of TMW was the half the LFSR. Reflecting this result, the k-value (i.e., the transition count after which all further transitions will be repressed) and the window size are determined by the following equations.
( k - value ) = ( Monitoring Window Size ) - 1 2 ± α ( Monitoring Window Size ) = ( LFSR size ) 2
Here, α is an important parameter to determine the k-value, i.e., the transition count after which all further transitions will be repressed. Through the experiment, if α=+1, the most optimum transition repression was achieved without loss in fault coverage. The result is shown in FIG. 7 a to c. In FIG. 7 a to c, TR means transition reduction rate, and FG means fault coverage gain, which shows how much loss arises by representing “+”, “−”, and “=”. It is noted in FIG. 7 that about 60% reduction of scan transition occurred.
Comparing the present invention with the conventional technique, the compared results are shown in FIG. 8. This comparison experiment was done for small circuits in addition to the large circuits shown in FIG. 7, since the conventional technique was tested for circuits smaller than s9234 which was one of the benchmark circuits of ISCAS '89. The result shows that the method of the present invention can repress the transition about 30% more than the conventional technique, without loss of fault coverage.
In summary, the patterns applied in a test mode consume more electric power when in transition than in normal operation, since the former has low association compared to the latter, and so the transition density of the former is higher than the latter. If this unduly consumed power exceeds the range that a circuit can stand, fatal damage may result. To prevent this, a test requiring low power is considered. According to the present invention, the numbers of scan transitions are reduced about 30% more than the conventional techniques. In addition, it is. proved that there is no loss (i.e., in the aspect of fault coverage) comparing to the conventional techniques.
Thus far, while the invention has been shown and described with reference to certain embodiment to carry out this invention, it will be understood by those skilled in the art that various changes in form and details may be made therein without departing from the spirit and scope of the invention as defined by the appended claims.

Claims (5)

1. A method of reducing the number of transitions generated by a linear feedback shift register (LFSR) by using a transition monitoring window (TMW), the method comprising:
providing a transition monitoring window (hereinafter referred to as TMW),
monitoring, by using the TMW, the number of transitions while random patterns generated from the LFSR are applied to a scan chain, wherein the size of the TMW is half the size of the LFSR, and
feeding back, if the number of transitions exceeds a certain threshold transition number (hereinafter, referred to as k-value), the vectors most recently entered in the scan chain, thereby reducing the number of transitions, wherein the k-value is determined by
( k - value ) = ( Monitoring Window Size ) - 1 2 ± α , where α is 1.
2. The method of claim 1, wherein, if the number of transitions within the TMW exceeds the k-value, the TMW re-applies to the scan chain the vector that was previously applied to the scan chain; if the number of transitions within the TMW does not exceed the k-value, the TMW applies the vector generated from LFSR to the scan chain without any change.
3. The method of claim 1, wherein the TMW achieves the steps of:
monitoring for the occurrence of transition entering the LFSR,
if the transition is detected, incrementing a counter “1”; otherwise, doing nothing,
monitoring for the occurrence of transition just before the exit of a lowest stage of the LFSR,
if the transition is detected, decrementing the counter by “1”; otherwise, doing nothing,
comparing a signal from the counter with the k-value at every clock tick, and
if the monitored value is greater than the k-value, re-applying to the scan chain the vector most recently applied to the scan chain; if it is less tan the k-value, applying to the scan chain the previously produced output from the LFSR.
4. A transition monitoring window (TMW) for monitoring the number of transitions when random patterns generated from a linear feedback shift register (LFSR) are applied to a scan chain, and feeding back, if the number of transitions exceeds a threshold above which further transitions will be repressed (hereinafter, referred to as the ″k-value), vectors most recently entered in the scan chain, thereby reducing the number of transitions, the transition monitoring window comprising:
a first XOR gate for monitoring for the occurrence of transition entering the LFSR,
a second XOR gate, connected to a lowest stage of the LFSR, for monitoring for the occurrence of transition just before the exit of the lowest stage of the LFSR,
a counter, connected both to the first XOR gate and to the second XOR gate, to be incremented if a transition is detected,
a comparator for comparing an output signal from the counter with the k-value at every clock tick, and
a multiplexer for re-applying to the scan chain the vector most recently applied to the scan chain if the output from the counter is greater than the k-value; if it is less than the k- value, the previously produced output from LFSR is applied to the scan chain,
wherein the size of the TMW is half the size of the LFSR and the k-value is determined by
( k - value ) = ( Monitoring Window Size ) - 1 2 ± α , where α is 1.
5. An apparatus of reducing the number of transitions by using the transition monitoring window (TMW) (as claimed in claim 4), the apparatus comprising:
a linear feedback shift register (LFSR)for generating test vector signals,
a scan chain for scanning the signals from the LFSR to a circuit under test,
a first XOR gate for monitoring for the occurrence of transition entering the LFSR,
a second XOR gate, connected to a lowest stage of the LFSR, for monitoring for the occurrence of transition just before the exit of the lowest stage of the LFSR,
a counter, connected both to the first XOR gate and to the second XOR gate, to be incremented if a transition is detected,
a comparator for comparing an output signal from the counter with the k-value at every clock tick, and
a multiplexer for re-applying to the scan chain the vector most recently applied to the scan chain if the output from the counter is greater than the k-value; if it is less than the k-value, the previously produced output from LFSR is applied to the scan chain,
wherein the size of the TMW is half the size of the LFSR and the k-value is determined by
( k - value ) = ( Monitoring Window Size ) - 1 2 ± α , where α is 1.
US11/159,025 2005-05-27 2005-06-22 Method and apparatus for reducing number of transitions generated by linear feedback shift register Expired - Fee Related US7428681B2 (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050045125A KR100708462B1 (en) 2005-05-27 2005-05-27 Method and apparatus for reducing transition number using transition monitoring window

Publications (2)

Publication Number Publication Date
US20070011533A1 US20070011533A1 (en) 2007-01-11
US7428681B2 true US7428681B2 (en) 2008-09-23

Family

ID=37707875

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/159,025 Expired - Fee Related US7428681B2 (en) 2005-05-27 2005-06-22 Method and apparatus for reducing number of transitions generated by linear feedback shift register

Country Status (2)

Country Link
US (1) US7428681B2 (en)
KR (1) KR100708462B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090019327A1 (en) * 2006-03-28 2009-01-15 Japan Science And Technology Agency Generating device, generating method, program and recording medium
US20090217117A1 (en) * 2008-02-21 2009-08-27 Erik Chmelar Low power scan shifting with random-like test patterns
US20090319842A1 (en) * 2006-09-27 2009-12-24 Japan Science And Technology Agency Generating device, generating method, program and recording medium
US20160299189A1 (en) * 2013-11-28 2016-10-13 Telefonaktiebolaget Lm Ericsson (Publ) Testing a feedback shift-register
US11082544B2 (en) * 2018-03-09 2021-08-03 Microchip Technology Incorporated Compact timestamp, encoders and decoders that implement the same, and related devices, systems and methods

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2122466B1 (en) * 2007-02-12 2015-04-29 Mentor Graphics Corporation Low power scan testing techniques and apparatus
US8806416B1 (en) * 2013-02-28 2014-08-12 Qualcomm Incorporated Method and circuit to implement a static low power retention state
CN107957543A (en) * 2017-11-08 2018-04-24 天津国芯科技有限公司 A kind of test circuit for testing randomizer
US10962595B1 (en) * 2017-12-04 2021-03-30 Synopsys, Inc. Efficient realization of coverage collection in emulation

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5576738A (en) * 1993-09-24 1996-11-19 International Business Machines Corporation Display apparatus with means for detecting changes in input video
US5684997A (en) * 1994-12-22 1997-11-04 Texas Instruments Incorporated Integrated circuit design for handling of system management interrupts (SMI)
US5907238A (en) * 1996-12-16 1999-05-25 Trw Inc. Power source monitoring arrangement and method having low power consumption
US6816990B2 (en) * 2002-01-28 2004-11-09 International Business Machines Corporation VLSI chip test power reduction
US20050134307A1 (en) * 2003-12-17 2005-06-23 Stojanovic Vladimir M. Offset cancellation in a multi-level signaling system
US20050185479A1 (en) * 2004-01-30 2005-08-25 Infineon Technologies Ag Method and device for saving and setting a circuit state of a microelectronic circuit
US20050204220A1 (en) * 2004-03-02 2005-09-15 Shinichi Yasuda Random number test circuit, random number generation circuit, semiconductor integrated circuit, IC card and information terminal device
US20050201491A1 (en) * 2004-03-09 2005-09-15 Jason Wei System and method for selecting optimal data transition types for clock and data recovery
US20050229062A1 (en) * 2004-04-05 2005-10-13 Volkerink Erik H Systems and methods for processing automatically generated test patterns

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5576738A (en) * 1993-09-24 1996-11-19 International Business Machines Corporation Display apparatus with means for detecting changes in input video
US5684997A (en) * 1994-12-22 1997-11-04 Texas Instruments Incorporated Integrated circuit design for handling of system management interrupts (SMI)
US5907238A (en) * 1996-12-16 1999-05-25 Trw Inc. Power source monitoring arrangement and method having low power consumption
US6816990B2 (en) * 2002-01-28 2004-11-09 International Business Machines Corporation VLSI chip test power reduction
US20050134307A1 (en) * 2003-12-17 2005-06-23 Stojanovic Vladimir M. Offset cancellation in a multi-level signaling system
US20050185479A1 (en) * 2004-01-30 2005-08-25 Infineon Technologies Ag Method and device for saving and setting a circuit state of a microelectronic circuit
US20050204220A1 (en) * 2004-03-02 2005-09-15 Shinichi Yasuda Random number test circuit, random number generation circuit, semiconductor integrated circuit, IC card and information terminal device
US20050201491A1 (en) * 2004-03-09 2005-09-15 Jason Wei System and method for selecting optimal data transition types for clock and data recovery
US20050229062A1 (en) * 2004-04-05 2005-10-13 Volkerink Erik H Systems and methods for processing automatically generated test patterns

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090019327A1 (en) * 2006-03-28 2009-01-15 Japan Science And Technology Agency Generating device, generating method, program and recording medium
US7962822B2 (en) * 2006-03-28 2011-06-14 Japan Science & Technology Agency Generating device, generating method, program and recording medium
US20090319842A1 (en) * 2006-09-27 2009-12-24 Japan Science And Technology Agency Generating device, generating method, program and recording medium
US7979765B2 (en) * 2006-09-27 2011-07-12 Japan Science & Technology Agency Generating device, generating method, program and recording medium
US20090217117A1 (en) * 2008-02-21 2009-08-27 Erik Chmelar Low power scan shifting with random-like test patterns
US7779320B2 (en) * 2008-02-21 2010-08-17 Lsi Corporation Low power scan shifting with random-like test patterns
US20160299189A1 (en) * 2013-11-28 2016-10-13 Telefonaktiebolaget Lm Ericsson (Publ) Testing a feedback shift-register
US9933481B2 (en) * 2013-11-28 2018-04-03 Telefonaktiebolaget Lm Ericsson (Publ) Testing a feedback shift-register
US11082544B2 (en) * 2018-03-09 2021-08-03 Microchip Technology Incorporated Compact timestamp, encoders and decoders that implement the same, and related devices, systems and methods
US11671520B2 (en) 2018-03-09 2023-06-06 Microchip Technology Incorporated Compact timestamp, encoders and decoders that implement the same, and related devices, systems and methods

Also Published As

Publication number Publication date
US20070011533A1 (en) 2007-01-11
KR20060122555A (en) 2006-11-30
KR100708462B1 (en) 2007-04-18

Similar Documents

Publication Publication Date Title
US7428681B2 (en) Method and apparatus for reducing number of transitions generated by linear feedback shift register
Girard et al. A test vector inhibiting technique for low energy BIST design
Girard Survey of low-power testing of VLSI circuits
Chandra et al. Combining low-power scan testing and test data compression for system-on-a-chip
EP0529290A1 (en) Hybrid pattern self-testing of integrated circuits
Liang et al. Test patterns of multiple SIC vectors: Theory and application in BIST schemes
EP2135104B1 (en) Testable integrated circuit and test data generation method
Mrugalski et al. New test data decompressor for low power applications
US8330548B2 (en) Latched ring oscillator device for on-chip measurement of clock to output delay in a latch
Li et al. Efficient space/time compression to reduce test data volume and testing time for IP cores
US6437589B1 (en) Semiconductor device test circuit
Kim et al. A new low power test pattern generator using a transition monitoring window based on BIST architecture
Teja et al. Test pattern generation using NLFSR for detecting single stuck-at faults
US7299394B2 (en) Method and apparatus for determining optimum initial value for test pattern generator
Sinduja et al. Efficient don't-care filling method to achieve reduction in test power
KR100261019B1 (en) Method and circuit for signature compression
Jas et al. An embedded core DFT scheme to obtain highly compressed test sets
Abu-Issa et al. SR-TPG: A low transition test pattern generator for test-per-clock and test-per-scan BIST
Chloupek et al. Test pattern compression based on pattern overlapping and broadcasting
Jie et al. A new BIST structure for low power testing
You et al. A scan disabling-based BAST scheme for test cost reduction
KR20070117715A (en) Architecture of total scan power reduction based on pseudo-random bist and method thereof
Kumar Design of Low Power Test Pattern Generator For Built In Self-Test (BIST) Circuits
Divya et al. Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern Generators
Lim et al. Test data reduction method based on berlekamp-massey algorithm

Legal Events

Date Code Title Description
AS Assignment

Owner name: YONSEI UNIVERSITY, KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KANG, SUNGHO;KIM, YOU-BEAN;YANG, MYUNG-HOON;AND OTHERS;REEL/FRAME:016726/0929

Effective date: 20050615

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20120923

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: SMALL ENTITY