US6401193B1 - Dynamic data prefetching based on program counter and addressing mode - Google Patents

Dynamic data prefetching based on program counter and addressing mode Download PDF

Info

Publication number
US6401193B1
US6401193B1 US09/178,052 US17805298A US6401193B1 US 6401193 B1 US6401193 B1 US 6401193B1 US 17805298 A US17805298 A US 17805298A US 6401193 B1 US6401193 B1 US 6401193B1
Authority
US
United States
Prior art keywords
instruction
data
indicator
data prefetch
prefetch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/178,052
Other languages
English (en)
Inventor
Muhammad Afsar
Klaus Oberlaender
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies North America Corp
SMI Holding LLC
Original Assignee
Infineon Technologies North America Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies North America Corp filed Critical Infineon Technologies North America Corp
Priority to US09/178,052 priority Critical patent/US6401193B1/en
Assigned to SIEMENS MICROELECTRONICS, INC. reassignment SIEMENS MICROELECTRONICS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AFSAR, MUHAMMAD, OBERLAENDER, KLAUS
Priority to DE19943938.9A priority patent/DE19943938B4/de
Application granted granted Critical
Publication of US6401193B1 publication Critical patent/US6401193B1/en
Assigned to INFINEON TECHNOLOGIES NORTH AMERICA CORP. reassignment INFINEON TECHNOLOGIES NORTH AMERICA CORP. CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: INFINEON TECHNOLOGIES CORPORATION
Assigned to INFINEON TECHNOLOGIES CORPORATION reassignment INFINEON TECHNOLOGIES CORPORATION CHANGE OF NAME (SEE DOCUMENT FOR DETAILS). Assignors: SIEMENS DRAM SEMICONDUCTOR CORPORATION
Assigned to SIEMENS DRAM SEMICONDUCTOR CORPORATION reassignment SIEMENS DRAM SEMICONDUCTOR CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SMI HOLDING LLC
Assigned to SMI HOLDING LLC reassignment SMI HOLDING LLC MERGER (SEE DOCUMENT FOR DETAILS). Assignors: SIEMENS MICROELECTRONICS, INC
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0862Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches with prefetch
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • G06F9/383Operand prefetching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3824Operand accessing
    • G06F9/383Operand prefetching
    • G06F9/3832Value prediction for operands; operand history buffers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/60Details of cache memory
    • G06F2212/6028Prefetching based on hints or prefetch instructions

Definitions

  • assembly language instructions are delivered to the processor from memory and then executed by the processor.
  • a typical assembly language instruction 26 includes an opcode portion 28 and an operand portion 30 .
  • the opcode for operation code, informs the processor of what operation is to be performed.
  • Opcode instructions include, for example, load instructions, add instructions, and subtract instructions.
  • a typical instruction 32 includes an opcode 38 that is referenced by a program counter (PC) 36 .
  • the program counter is an instruction location indicator that identifies the address within the memory of the desired instruction and the instruction directs the performance of functions, such as loading data, adding data, or subtracting data.
  • cache memory cannot store the total volume of information that is stored in the main memory 18 , all of the information required by the processor 10 cannot be stored in the L 0 cache 14 at the same time and cache misses will result when the processor fetches data that is not stored in the L 0 cache.
  • instructions and/or data can be prefetched from the main memory to the L 0 cache 14 or L 1 cache 16 in anticipation of a data fetch by the processor. Prefetching of instructions to the cache is made easier by the sequential nature of computer program instruction execution.
  • Branch target buffers can be used for prefetching instructions that do not exhibit sequential characteristics.
  • prefetching data In contrast to prefetching instructions, data is often accessed in more of a random nature, such that prefetching is more difficult to perform.
  • One common technique used in prefetching data is that when a cache miss occurs, the current cache line is filled from the main memory with the desired prefetch data and a next cache line is filled with a block of data from the main memory that is spatially close to the missed data.
  • the block caching approach may work well for some applications, it has disadvantages.
  • a method and apparatus for prefetching data to a low level memory of a computer system utilize an instruction location indicator related to an upcoming instruction to identify a next data prefetch indicator and then utilize the next data prefetch indicator to locate the corresponding prefetch data within the main memory of the computer system.
  • the prefetch data is located so that the prefetch data can be transferred to the low level memory, where the data can be quickly accessed by a processor before the upcoming instruction is executed.
  • the next data prefetch indicator is generated by carrying out the addressing mode function that is embedded in an instruction only when the addressing mode of the instruction is a deterministic addressing mode such as a sequential addressing mode.
  • the next data prefetch indicator is identified by the instruction location indicator by relating corresponding next data prefetch indicators to instruction location indicators in a searchable table.
  • a data prefetch prediction table is generated that enables the next data prefetch indicator to be identified based on the program counter of an instruction that is soon to be executed. Entries in the data prefetch prediction table are formed from instructions that utilize deterministic addressing modes for identifying the effective address of the source data.
  • the data prefetch prediction table entries include a program counter tag and a next data prefetch indicator.
  • the program counter tag is the program counter related to the present instruction and the program counter tag allows the data prefetch prediction table to be searched by the program counter that is related to a particular instruction.
  • the next data prefetch indicator is the effective address of the data that is likely to be required the next time the same instruction is executed.
  • the next data prefetch indicator is calculated by carrying out the addressing mode function that is associated with the instruction. Since the addressing mode function is a deterministic function, there is a high likelihood that the calculated next effective address will be the actual effective address that is fetched the next time the instruction with the same program counter value is executed.
  • the elements of a computer system in accordance with a preferred embodiment of the invention include a processor, a level zero cache, a level one cache, a main memory, and a data prefetch engine.
  • the processor is any conventional processor having a program counter that identifies the address of instructions that are to be executed.
  • the level zero cache is preferably SRAM that provides the fastest data transfer rate to the processor and that is located physically close to the processor.
  • the level one cache is preferably SRAM that provides a slower data transfer rate to the processor and that is located on a system mother-board connected to the processor by a system bus.
  • the main memory is a large capacity memory that provides a relatively slow transfer of data to the processor.
  • the main memory may include DRAM, flash memory or other suitable memory types.
  • the main memory is connected to the processor by a system bus.
  • the data prefetch engine is preferably integrated with the processor and manages the prefetching of data between the level zero cache, the level one cache, and the main memory.
  • the data prefetch engine utilizes a next data prefetch controller, a data prefetch predictor, and a refill manager to predict the effective address of the next desired data memory reference and to transfer the data corresponding to the predicted data memory reference to the lowest level cache in order to create the best chance for a cache hit upon the execution of a particular instruction.
  • the next data prefetch controller screens out instructions having non-deterministic addressing modes and uses instructions having deterministic addressing modes such as sequential addressing modes to build a data prefetch prediction table that is used to predict the next prefetch.
  • Generation of a data prefetch prediction table entry involves calculating the next effective address related to the present instruction by carrying out the addressing mode function related to the present instruction.
  • the data prefetch predictor utilizes the data prefetch prediction table formed by the next data prefetch controller to rapidly identify the next effective address for a data prefetch related to an upcoming instruction.
  • the data prefetch predictor maintains the data prefetch prediction table in a content-addressable memory that can be quickly searched by program counter tag.
  • a refill manager of the data prefetch engine is responsible for transferring prefetch data that is not found in the lowest level cache to the lowest level cache when a prefetch miss occurs at the lowest level cache.
  • the refill manager generates prefetch requests for higher level memory until the desired prefetch data is located and transferred to the lowest level cache.
  • the next data prefetch controller In operation, the next data prefetch controller generates the data prefetch prediction table utilizing executed instructions that exhibit deterministic addressing modes.
  • the data prefetch prediction table is located in the data prefetch predictor and is constantly updated by the next data prefetch controller.
  • the program counter related to the instruction is forwarded to the data prefetch predictor.
  • the program counter related to the instruction is used by the data prefetch predictor to search the program counter tag column of the data prefetch prediction table for a matching program counter tag.
  • next data prefetch indicator is extracted from the table entry and the indicator is used to search the lowest level cache in the computer system for a cache line that matches the effective address of the next data prefetch indicator. If a cache hit occurs in the lowest level cache, no further prefetching is required. On the other hand, if a cache miss occurs in the lowest level cache, then the refill manager generates a prefetch request utilizing the next data prefetch indicator that enables the higher level memories to be searched for data with the corresponding effective address. Once the data with the corresponding next effective address is located, the refill manager transfers the located data to the lowest level cache in the computer system.
  • the prefetch process related to the current instruction is complete.
  • the current instruction is finally executed by the processor, there is a higher probability that the data requested by the current instruction will be located in the lowest level cache, thereby allowing the fastest data access time possible.
  • FIG. 1 is a depiction of a processor and multilevel memory in accordance with the prior art.
  • FIG. 2 is a depiction of an assembly-language instruction in accordance with the prior art.
  • FIG. 3 is a depiction of specific parts of an assembly-language instruction in accordance with the prior art.
  • FIG. 4 is a depiction of a processor, a multilevel memory, and a data prefetch engine in accordance with the invention.
  • FIG. 5 is a depiction of a data prefetch prediction table entry in accordance with the invention.
  • FIG. 6 is a depiction of a data prefetch prediction table in accordance with the invention.
  • FIG. 7 is a flow diagram of the interaction between elements of the system of FIG. 4 in accordance with the invention.
  • FIG. 8 is a process flow diagram of a preferred method of the invention.
  • FIG. 4 is a depiction of the preferred architecture of a computer system 50 in accordance with the invention.
  • the preferred architecture includes a processor 52 , a data prefetch engine 56 , a level zero (L 0 ) cache 60 , a level one (L 1 ) cache 64 and a main memory 68 .
  • the processor is any conventional processor, including a processor that can generate one or more data memory references in a single clock cycle.
  • the processor includes a program counter that identifies the address of instructions that are to be executed, an instruction decoder that separates the operand from the opcode and converts the opcode into a series of electronic signals that execute the command represented by the opcode, an address decoder that determines the physical location of required data within the memory, an accumulator that temporarily stores values, and an arithmetic logic unit that performs the mathematical functions of the processor.
  • the preferred architecture of the computer system 50 includes a memory subsystem 54 , with a multilevel cache arrangement where the levels of cache memory are differentiated by size and latency.
  • the L 0 cache 60 (also known as the primary cache) provides the fastest data transfer rate to the processor 52 .
  • the L 0 cache is located physically close to the processor and is preferably SRAM. Although for description purposes the L 0 cache is shown as connected to the processor by a bus 72 , the L 0 cache is preferably integrated onto the processor chip and connected to the processor by on-chip high bandwidth circuitry.
  • the L 1 cache 64 (also known as the secondary cache) has a larger storage capacity than the L 0 cache, but provides a slower data transfer rate to the processor.
  • the L 1 cache is preferably on-chip SRAM, although it may be another form of memory such as SRAM that is integrated into the system RAM located on a system motherboard.
  • the L 0 cache memory and the L 1 cache memory store only operand data that is needed by the processor and opcode instructions needed by the processor are stored in a separate cache that is not depicted.
  • a split cache arrangement is described, a unified cache which combines both data and instructions can also be implemented.
  • the L 0 cache typically ranges from 1 Kb to 64 Kb and the L 1 cache typically ranges from 64 Kb to 1 Mb, although this is not critical.
  • the two level cache arrangement is described other cache arrangements are possible, including for example, multiple cache levels (1 to x) and/or cache memory that is connected to a processor in some other manner.
  • the exact cache configuration is not critical to the invention.
  • the main memory 68 is a large capacity memory that provides a relatively slow data transfer rate to the processor 52 .
  • the main memory may include DRAM, SRAM, flash memory and FRAM.
  • the memory subsystem may be further connected to input/output devices, such as magnetic memory.
  • the main memory is also connected to the processor by the bus 72 .
  • the preferred architecture of the computer system 50 includes the data prefetch engine 56 that manages the prefetching of data between the L 0 cache 60 , the L 1 cache 64 , and the main memory 68 .
  • the data prefetch engine is the focus of the invention and utilizes a next data prefetch controller 76 , a data prefetch predictor 80 , and a refill manager 84 to predict the effective address of the next desired data memory reference and to transfer the data corresponding to the predicted data memory reference to the lowest level cache in order to create the best chance for a cache hit upon the execution of a given instruction.
  • the addressing mode is identified by a 3-bit vector and the addressing mode vector of the instruction is fed through a hardwired comparator to determine if the addressing mode vector matches any pre-established deterministic addressing mode vectors that have been identified through a system setup.
  • deterministic addressing modes include sequential addressing modes such as pre and post incrementing, circular addressing, and bit reverse addressing modes.
  • a data prefetch prediction table entry 90 is depicted in FIG. 5 and includes the following vectors; a program counter tag 92 , a next data prefetch indicator 94 , the source addressing mode 96 , and a valid entry vector 98 .
  • the program counter tag is the program counter related to the present instruction. The program counter tag allows the data prefetch prediction table to be searched by the program counter that is related to a particular instruction.
  • next data prefetch indicator vector The number of bits in the next data prefetch indicator vector required to identify an effective address is a function of the memory organization of the computer system. In the preferred embodiment, the next data prefetch indicator vector is 12 bits.
  • the next unit in the data prefetch engine 56 is the refill manager 84 .
  • the refill manager is responsible for transferring prefetch data that is found in higher level memory to the lowest level cache.
  • the refill manager recognizes when a prefetch miss occurs at the lowest level cache and then generates prefetch requests for higher level memory until the target prefetch data is located and transferred to the lowest level cache.
  • the functions of the data prefetch engine are depicted as separate from the processor 52 for description purposes, in the preferred embodiment the subunits of the data prefetch engine are integrated onto the same chip as the processor.
  • a program counter is transferred from the processor 52 to the data prefetch predictor 80 .
  • the program counter is a look-ahead program counter that represents an upcoming instruction that is soon to be executed.
  • the program counter is fed into the data prefetch predictor and is used to search the program counter tag column of the data prefetch prediction table for a matching program counter tag. If the current program counter from the processor matches a program counter tag of the data prefetch prediction table, then the data prefetch prediction table supplies the next effective address that is used to search the highest priority cache, for example the L 0 cache 60 , for a matching cache line. On the other hand, if no matching table entry is found in the data prefetch prediction table, then the prefetch can be continued under another approach, such as block prefetching, or the prefetch can be discontinued.
  • the refill manager 84 When a prefetch miss occurs in the L 0 cache 60 , the refill manager 84 generates a prefetch request and forwards the prefetch request to the next lower level memory 104 , for example the L 1 cache. If the desired prefetch data exists in a cache line of the L 1 cache, then the cache line identified by the calculated effective address is transferred to the L 0 cache and the prefetch is complete. If, on the other hand, the prefetch data does not exist in a cache line of the L 1 cache, then the refill manager forwards a prefetch request to the next lower level memory, in this case the main memory. Once the prefetch data is found in the main memory, the data can be transferred to the L 0 or L 1 cache as needed.
  • the system may incur cycle penalties in spite of the prefetch effort. However, if the prefetch is able to bring the predicted data to the L 0 cache and the processor 52 does end up fetching the predicted data, then the efficiency of the processor is enhanced by the prefetching process because the data access time for data supplied to the processor has been minimized. If the prefetched data is not requested by the instruction execution, then the prefetch algorithm has been unsuccessful at predicting the data required by the current instruction. The prefetch process is rapidly repeated during the operation of the processor.
  • a scheme can also be implemented where the prefetch could be canceled if it is recognized that the entry in the prediction table doesn't match the real address of the instruction with the same program counter tag, thereby lowering the penalty for misprediction. Further, the reason for the misprediction can be determined and the table entry can be removed before it creates another misprediction.
  • a calculated next data prefetch indicator in the form of an effective address, is extracted from the matching data prefetch prediction table entry in order to search the computer memory subsystem for the desired data.
  • the computer memory subsystem is searched for data identified by the extracted next data prefetch indicator.
  • the desired data is transferred to the lowest level cache, if the data is not already present in the lowest level cache.
US09/178,052 1998-10-26 1998-10-26 Dynamic data prefetching based on program counter and addressing mode Expired - Lifetime US6401193B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/178,052 US6401193B1 (en) 1998-10-26 1998-10-26 Dynamic data prefetching based on program counter and addressing mode
DE19943938.9A DE19943938B4 (de) 1998-10-26 1999-09-14 Dynamischer Daten-Vorabruf auf Basis eines Programmzähler- und Adressierungsmodus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/178,052 US6401193B1 (en) 1998-10-26 1998-10-26 Dynamic data prefetching based on program counter and addressing mode

Publications (1)

Publication Number Publication Date
US6401193B1 true US6401193B1 (en) 2002-06-04

Family

ID=22650991

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/178,052 Expired - Lifetime US6401193B1 (en) 1998-10-26 1998-10-26 Dynamic data prefetching based on program counter and addressing mode

Country Status (2)

Country Link
US (1) US6401193B1 (de)
DE (1) DE19943938B4 (de)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020147897A1 (en) * 2001-04-04 2002-10-10 Jens Barrenscheen Interface
US6738867B1 (en) * 1999-06-02 2004-05-18 Hitachi, Ltd. Disk array system reading ahead operand databackground of the invention
US6795876B1 (en) * 2001-03-27 2004-09-21 Intel Corporation Adaptive read pre-fetch
US20040193754A1 (en) * 2003-03-27 2004-09-30 International Business Machines Corporation DMA prefetch
US20050027899A1 (en) * 2003-07-31 2005-02-03 International Business Machines Corporation Cacheable DMA
US6871273B1 (en) * 2000-06-22 2005-03-22 International Business Machines Corporation Processor and method of executing a load instruction that dynamically bifurcate a load instruction into separately executable prefetch and register operations
US20050149562A1 (en) * 2003-12-31 2005-07-07 International Business Machines Corporation Method and system for managing data access requests utilizing storage meta data processing
US20050216610A1 (en) * 2004-03-25 2005-09-29 International Business Machines Corporation Method to provide cache management commands for a DMA controller
US6973457B1 (en) 2002-05-10 2005-12-06 Oracle International Corporation Method and system for scrollable cursors
US20060015653A1 (en) * 2004-07-19 2006-01-19 Seagate Technology Llc Expedited and low power command sequence servicing
US7089331B1 (en) * 1998-05-29 2006-08-08 Oracle International Corporation Method and mechanism for reducing client-side memory footprint of transmitted data
US7103590B1 (en) 2001-08-24 2006-09-05 Oracle International Corporation Method and system for pipelined database table functions
US20070186048A1 (en) * 2004-03-24 2007-08-09 Matsushita Electric Industrial Co., Ltd. Cache memory and control method thereof
US20070260846A1 (en) * 2003-05-16 2007-11-08 Burton David A Methods of prefetching data in data storage systems
US20080055987A1 (en) * 2006-08-30 2008-03-06 Qimonda Ag Memory Device and Method of Operating a Memory Device
US7389284B1 (en) 2000-02-29 2008-06-17 Oracle International Corporation Method and mechanism for efficient processing of remote-mapped queries
US20090024835A1 (en) * 2007-07-19 2009-01-22 Fertig Michael K Speculative memory prefetch
US7610351B1 (en) 2002-05-10 2009-10-27 Oracle International Corporation Method and mechanism for pipelined prefetching
US20110066811A1 (en) * 2009-09-11 2011-03-17 Sander Benjamin T Store aware prefetching for a datastream
US20120066455A1 (en) * 2010-09-09 2012-03-15 Swamy Punyamurtula Hybrid prefetch method and apparatus
US20120096227A1 (en) * 2010-10-19 2012-04-19 Leonid Dubrovin Cache prefetch learning
US8766707B1 (en) 2013-03-15 2014-07-01 Seagate Technology Llc Integrated always on power island for low power mode operation
US9335809B2 (en) 2013-03-15 2016-05-10 Seagate Technology Llc Volatile memory storing system data during low power mode operation and monitoring the voltage supplied to the memory during low power mode
US9367468B2 (en) 2013-01-15 2016-06-14 Qualcomm Incorporated Data cache way prediction
US9411394B2 (en) 2013-03-15 2016-08-09 Seagate Technology Llc PHY based wake up from low power mode operation
US9792224B2 (en) * 2015-10-23 2017-10-17 Intel Corporation Reducing latency by persisting data relationships in relation to corresponding data in persistent memory
US20190303160A1 (en) * 2018-03-29 2019-10-03 Arm Limited Branch target address provision
US10592154B1 (en) * 2018-01-31 2020-03-17 EMC IP Holding Company LLC Accessing data previously migrated to a cloud
US11099995B2 (en) 2018-03-28 2021-08-24 Intel Corporation Techniques for prefetching data to a first level of memory of a hierarchical arrangement of memory

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4926323A (en) 1988-03-03 1990-05-15 Advanced Micro Devices, Inc. Streamlined instruction processor
EP0496439A2 (de) 1991-01-15 1992-07-29 Koninklijke Philips Electronics N.V. Rechneranordnung mit Mehrfachpufferdatencachespeicher
US5210838A (en) * 1990-05-15 1993-05-11 Sun Microsystems, Inc. Method and apparatus for predicting the effective addresses of future memory load operations in a microprocessor
US5367656A (en) 1992-03-13 1994-11-22 Bull Hn Information Systems Inc. Controlling cache predictive prefetching based on cache hit ratio trend
US5694568A (en) 1995-07-27 1997-12-02 Board Of Trustees Of The University Of Illinois Prefetch system applicable to complex memory access schemes
US5704053A (en) 1995-05-18 1997-12-30 Hewlett-Packard Company Efficient explicit data prefetching analysis and code generation in a low-level optimizer for inserting prefetch instructions into loops of applications
US5778435A (en) * 1996-05-30 1998-07-07 Lucent Technologies, Inc. History-based prefetch cache including a time queue
US5784711A (en) * 1990-05-18 1998-07-21 Philips Electronics North America Corporation Data cache prefetching under control of instruction cache
US5790823A (en) 1995-07-13 1998-08-04 International Business Machines Corporation Operand prefetch table
US5829028A (en) * 1996-05-06 1998-10-27 Advanced Micro Devices, Inc. Data cache configured to store data in a use-once manner
US6055622A (en) * 1997-02-03 2000-04-25 Intel Corporation Global stride prefetching apparatus and method for a high-performance processor

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4926323A (en) 1988-03-03 1990-05-15 Advanced Micro Devices, Inc. Streamlined instruction processor
US5210838A (en) * 1990-05-15 1993-05-11 Sun Microsystems, Inc. Method and apparatus for predicting the effective addresses of future memory load operations in a microprocessor
US5784711A (en) * 1990-05-18 1998-07-21 Philips Electronics North America Corporation Data cache prefetching under control of instruction cache
EP0496439A2 (de) 1991-01-15 1992-07-29 Koninklijke Philips Electronics N.V. Rechneranordnung mit Mehrfachpufferdatencachespeicher
US5822757A (en) * 1991-01-15 1998-10-13 Philips Electronics North America Corporation Computer system with multi-buffer data cache for prefetching data having different temporal and spatial localities
US5367656A (en) 1992-03-13 1994-11-22 Bull Hn Information Systems Inc. Controlling cache predictive prefetching based on cache hit ratio trend
US5704053A (en) 1995-05-18 1997-12-30 Hewlett-Packard Company Efficient explicit data prefetching analysis and code generation in a low-level optimizer for inserting prefetch instructions into loops of applications
US5790823A (en) 1995-07-13 1998-08-04 International Business Machines Corporation Operand prefetch table
US5694568A (en) 1995-07-27 1997-12-02 Board Of Trustees Of The University Of Illinois Prefetch system applicable to complex memory access schemes
US5829028A (en) * 1996-05-06 1998-10-27 Advanced Micro Devices, Inc. Data cache configured to store data in a use-once manner
US5778435A (en) * 1996-05-30 1998-07-07 Lucent Technologies, Inc. History-based prefetch cache including a time queue
US6055622A (en) * 1997-02-03 2000-04-25 Intel Corporation Global stride prefetching apparatus and method for a high-performance processor

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Chen et al., "Effective Hardware-Based Data Prefetching for High-Performance Processors", IEEE, pp. 609-623, 1995. *

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9244938B2 (en) 1998-05-29 2016-01-26 Oracle International Corporation Method and mechanism for reducing client-side memory footprint of transmitted data
US8825805B2 (en) 1998-05-29 2014-09-02 Oracle International Corporation Method and mechanism for reducing client-side memory footprint of transmitted data
US7089331B1 (en) * 1998-05-29 2006-08-08 Oracle International Corporation Method and mechanism for reducing client-side memory footprint of transmitted data
US6738867B1 (en) * 1999-06-02 2004-05-18 Hitachi, Ltd. Disk array system reading ahead operand databackground of the invention
US7389284B1 (en) 2000-02-29 2008-06-17 Oracle International Corporation Method and mechanism for efficient processing of remote-mapped queries
US6871273B1 (en) * 2000-06-22 2005-03-22 International Business Machines Corporation Processor and method of executing a load instruction that dynamically bifurcate a load instruction into separately executable prefetch and register operations
US6795876B1 (en) * 2001-03-27 2004-09-21 Intel Corporation Adaptive read pre-fetch
US9098393B2 (en) * 2001-04-04 2015-08-04 Infineon Technologies Ag Interface for ensuring efficient data requests
US20020147897A1 (en) * 2001-04-04 2002-10-10 Jens Barrenscheen Interface
US7103590B1 (en) 2001-08-24 2006-09-05 Oracle International Corporation Method and system for pipelined database table functions
US7610351B1 (en) 2002-05-10 2009-10-27 Oracle International Corporation Method and mechanism for pipelined prefetching
US6973457B1 (en) 2002-05-10 2005-12-06 Oracle International Corporation Method and system for scrollable cursors
US20040193754A1 (en) * 2003-03-27 2004-09-30 International Business Machines Corporation DMA prefetch
US7380059B2 (en) 2003-05-16 2008-05-27 Pillar Data Systems, Inc. Methods and systems of cache memory management and snapshot operations
US20070260846A1 (en) * 2003-05-16 2007-11-08 Burton David A Methods of prefetching data in data storage systems
US7200689B2 (en) 2003-07-31 2007-04-03 International Business Machines Corporation Cacheable DMA
US20050027899A1 (en) * 2003-07-31 2005-02-03 International Business Machines Corporation Cacheable DMA
US20070299809A1 (en) * 2003-12-31 2007-12-27 International Business Machines Corporation Method for managing data access requests utilizing storage meta data processing
US20050149562A1 (en) * 2003-12-31 2005-07-07 International Business Machines Corporation Method and system for managing data access requests utilizing storage meta data processing
US7818309B2 (en) 2003-12-31 2010-10-19 International Business Machines Corporation Method for managing data access requests utilizing storage meta data processing
US20070186048A1 (en) * 2004-03-24 2007-08-09 Matsushita Electric Industrial Co., Ltd. Cache memory and control method thereof
US7657667B2 (en) 2004-03-25 2010-02-02 International Business Machines Corporation Method to provide cache management commands for a DMA controller
US20050216610A1 (en) * 2004-03-25 2005-09-29 International Business Machines Corporation Method to provide cache management commands for a DMA controller
US7904604B2 (en) * 2004-07-19 2011-03-08 Seagate Technology Llc Expedited and low power command sequence servicing
US20060015653A1 (en) * 2004-07-19 2006-01-19 Seagate Technology Llc Expedited and low power command sequence servicing
US20080055987A1 (en) * 2006-08-30 2008-03-06 Qimonda Ag Memory Device and Method of Operating a Memory Device
US7420841B2 (en) * 2006-08-30 2008-09-02 Qimonda Ag Memory device and method for transforming between non-power-of-2 levels of multilevel memory cells and 2-level data bits
US7930485B2 (en) * 2007-07-19 2011-04-19 Globalfoundries Inc. Speculative memory prefetch
US20090024835A1 (en) * 2007-07-19 2009-01-22 Fertig Michael K Speculative memory prefetch
US20110066811A1 (en) * 2009-09-11 2011-03-17 Sander Benjamin T Store aware prefetching for a datastream
US8667225B2 (en) 2009-09-11 2014-03-04 Advanced Micro Devices, Inc. Store aware prefetching for a datastream
US20120066455A1 (en) * 2010-09-09 2012-03-15 Swamy Punyamurtula Hybrid prefetch method and apparatus
US8583894B2 (en) * 2010-09-09 2013-11-12 Advanced Micro Devices Hybrid prefetch method and apparatus
US8850123B2 (en) * 2010-10-19 2014-09-30 Avago Technologies General Ip (Singapore) Pte. Ltd. Cache prefetch learning
US20120096227A1 (en) * 2010-10-19 2012-04-19 Leonid Dubrovin Cache prefetch learning
US9367468B2 (en) 2013-01-15 2016-06-14 Qualcomm Incorporated Data cache way prediction
US9411394B2 (en) 2013-03-15 2016-08-09 Seagate Technology Llc PHY based wake up from low power mode operation
US9335809B2 (en) 2013-03-15 2016-05-10 Seagate Technology Llc Volatile memory storing system data during low power mode operation and monitoring the voltage supplied to the memory during low power mode
US8766707B1 (en) 2013-03-15 2014-07-01 Seagate Technology Llc Integrated always on power island for low power mode operation
US9792224B2 (en) * 2015-10-23 2017-10-17 Intel Corporation Reducing latency by persisting data relationships in relation to corresponding data in persistent memory
US10169245B2 (en) 2015-10-23 2019-01-01 Intel Corporation Latency by persisting data relationships in relation to corresponding data in persistent memory
US10592154B1 (en) * 2018-01-31 2020-03-17 EMC IP Holding Company LLC Accessing data previously migrated to a cloud
US11099995B2 (en) 2018-03-28 2021-08-24 Intel Corporation Techniques for prefetching data to a first level of memory of a hierarchical arrangement of memory
US20190303160A1 (en) * 2018-03-29 2019-10-03 Arm Limited Branch target address provision
US10613869B2 (en) * 2018-03-29 2020-04-07 Arm Limited Branch target address provision

Also Published As

Publication number Publication date
DE19943938A1 (de) 2000-05-04
DE19943938B4 (de) 2014-05-22

Similar Documents

Publication Publication Date Title
US6401193B1 (en) Dynamic data prefetching based on program counter and addressing mode
US5235697A (en) Set prediction cache memory system using bits of the main memory address
JP3659340B2 (ja) 命令履歴キャッシングを使用して推測的に命令を実行する回路、製品、およびそのための方法
US6317810B1 (en) Microprocessor having a prefetch cache
US5694568A (en) Prefetch system applicable to complex memory access schemes
JP3542021B2 (ja) セット予測によりセット連想キャッシュの遅延を少なくする方法及び装置
US6212602B1 (en) Cache tag caching
US7213126B1 (en) Method and processor including logic for storing traces within a trace cache
US6976147B1 (en) Stride-based prefetch mechanism using a prediction confidence value
US6490658B1 (en) Data prefetch technique using prefetch cache, micro-TLB, and history file
US5353426A (en) Cache miss buffer adapted to satisfy read requests to portions of a cache fill in progress without waiting for the cache fill to complete
US7958317B2 (en) Cache directed sequential prefetch
US7055023B2 (en) Apparatus and method for branch prediction where data for predictions is selected from a count in a branch history table or a bias in a branch target buffer
US6138212A (en) Apparatus and method for generating a stride used to derive a prefetch address
US6351796B1 (en) Methods and apparatus for increasing the efficiency of a higher level cache by selectively performing writes to the higher level cache
EP0795828A2 (de) Verfahren und Gerät zur dynamischen Vorhersage des Weges für mehrstufige und mehrwege-satz-assoziative Cachespeicher
US5996061A (en) Method for invalidating data identified by software compiler
US10083126B2 (en) Apparatus and method for avoiding conflicting entries in a storage structure
KR930002945A (ko) 프리페치버퍼 및 프리페치버퍼를 적용한 정보처리장치
US6175898B1 (en) Method for prefetching data using a micro-TLB
JPH0619793A (ja) キャッシュ・アクセスのための仮想アドレス変換予測の履歴テーブル
US7047362B2 (en) Cache system and method for controlling the cache system comprising direct-mapped cache and fully-associative buffer
US6321328B1 (en) Processor having data buffer for speculative loads
US6915415B2 (en) Method and apparatus for mapping software prefetch instructions to hardware prefetch logic
JPH06208463A (ja) 命令をその少なくとも1つの実行装置へ迅速にディスパッチする方法および装置

Legal Events

Date Code Title Description
AS Assignment

Owner name: SIEMENS MICROELECTRONICS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:AFSAR, MUHAMMAD;OBERLAENDER, KLAUS;REEL/FRAME:009555/0203

Effective date: 19981020

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

AS Assignment

Owner name: SMI HOLDING LLC, CALIFORNIA

Free format text: MERGER;ASSIGNOR:SIEMENS MICROELECTRONICS, INC;REEL/FRAME:028339/0890

Effective date: 19990330

Owner name: INFINEON TECHNOLOGIES NORTH AMERICA CORP., CALIFOR

Free format text: CHANGE OF NAME;ASSIGNOR:INFINEON TECHNOLOGIES CORPORATION;REEL/FRAME:028340/0436

Effective date: 19990928

Owner name: INFINEON TECHNOLOGIES CORPORATION, CALIFORNIA

Free format text: CHANGE OF NAME;ASSIGNOR:SIEMENS DRAM SEMICONDUCTOR CORPORATION;REEL/FRAME:028340/0343

Effective date: 19980925

Owner name: SIEMENS DRAM SEMICONDUCTOR CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SMI HOLDING LLC;REEL/FRAME:028340/0265

Effective date: 19990330

FPAY Fee payment

Year of fee payment: 12