US20240047218A1 - Systems and methods for improving planarity using selective atomic layer etching (ale) - Google Patents

Systems and methods for improving planarity using selective atomic layer etching (ale) Download PDF

Info

Publication number
US20240047218A1
US20240047218A1 US18/380,776 US202318380776A US2024047218A1 US 20240047218 A1 US20240047218 A1 US 20240047218A1 US 202318380776 A US202318380776 A US 202318380776A US 2024047218 A1 US2024047218 A1 US 2024047218A1
Authority
US
United States
Prior art keywords
atomic layer
processing system
layer processing
modified layer
features
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US18/380,776
Inventor
David O'Meara
Anthony Dip
Masanobu Igeta
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US18/380,776 priority Critical patent/US20240047218A1/en
Publication of US20240047218A1 publication Critical patent/US20240047218A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32513Sealing means, e.g. sealing between different parts of the vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Definitions

  • the present disclosure relates to the planarization of substrates.
  • it provides embodiments of a system and a method for planarizing a surface of a substrate.
  • Atomic layer processes such as atomic layer deposition (ALD) and atomic layer etching (ALE), have become important in the industry, offering techniques capable of accurately controlling material properties and nanometer dimensions.
  • ALD Atomic layer deposition
  • substrates are cyclically exposed to alternate gaseous species (or precursors), which react with the substrate surface in a self-limiting, or near self-limiting manner, to slowly build up a thin film or layer on a substrate.
  • Multiple ALD cycles are generally performed to deposit or form a desired film thickness by repeating the cycles of alternating gaseous species.
  • Atomic layer etching is a known technique for removing or etching thin layers sequentially (often one monolayer at a time) through one or more self-limiting reactions or near self-limiting reactions.
  • ALE processes often include multiple ALE cycles of layer modification and etch steps, wherein the layer modification step modifies exposed surfaces of a substrate and the etch step selectively removes the modified layer.
  • a surface of the substrate may be exposed to a reactive precursor, which adsorbs on and reacts with the surface material to produce a modified surface layer.
  • This layer modification step may be self-limiting, for example, if the reaction stops after adsorbing one monolayer.
  • the surface of the substrate may be bombarded with ions to remove or etch the modified surface layer.
  • Other etch removal mechanisms may alternatively be used.
  • the etch step may also be self-limiting if the energy or chemistry of the etch step is sufficient to remove the modified surface layer, but insufficient to etch the underlying material.
  • Multiple ALE cycles are generally performed to remove or etch a desired film thickness.
  • ALD and ALE processes can be performed within a variety of atomic layer processing systems or tools.
  • batch furnace type systems may be utilized to perform ALD or ALE processes.
  • Single substrate systems in which a process chamber is filled with gas and evacuated for a single substrate, may also be utilized.
  • Yet another system is a spatial atomic layer processing system.
  • substrates travel at relatively high speeds past a plurality of gas sources (e.g., gas injectors, a gas showerhead, or a gas showerhead with injector outlets), which inject the necessary gases proximate to the substrate surface to accomplish ALD or ALE process steps as the substrate rotates in a cyclical manner.
  • gas sources e.g., gas injectors, a gas showerhead, or a gas showerhead with injector outlets
  • CMP chemical mechanical polishing
  • the patterned substrate may include, for example, one or more features having higher regions and lower regions, such that a height differential exists between the higher and lower regions.
  • the patterned substrate may be subject to a selective atomic layer etching (ALE) process, which preferentially forms a modified layer on the higher regions of the one or more features, as compared to the lower regions of the one or more features.
  • ALE selective atomic layer etching
  • the selective ALE process described herein preferentially etches the higher regions of the one or more features to lessen the height differential between the higher and lower regions of the one or more features.
  • a patterned substrate comprising one or more features is provided on a rotating platen of a spatial atomic layer processing system, and a modified layer is preferentially formed on higher regions of the one or more features by exposing a surface of the patterned substrate to a first precursor gas while the rotating platen spins.
  • a first precursor gas for example chemistry and temperature
  • the preferential formation on higher regions increases.
  • Spinning the rotating platen at higher rotational speeds causes the modified layer to be more preferentially formed on the one or more features, such that a thickness of the modified layer is greater on the higher regions, than on the lower regions of the one or more features.
  • the modified layer is subsequently removed (in one example, but not limited to, a subsequent plasma removal step)
  • the higher regions are preferentially etched to lessen the height differential between the higher and lower regions of the one or more features.
  • the selective ALE process described herein may be used to gradually reduce a height of the one or more features until a desired planarization of the one or more features is achieved.
  • a planarization technique is provided in which a spatial atomic processing system is utilized to provide an ALE process which planarizes the substrate.
  • height differentials are decreased by 25%.
  • height differentials are decreased by 50% or more.
  • planarization may occur.
  • the rotating platen is rotated at speeds of 30 RPM or more to achieve the planarization described herein.
  • the RPM is 100 RPM or more.
  • RPMs of 200 RPM or more may be utilized.
  • the rotating platen may be rotated at speeds up to 6,000 RPM.
  • a method for planarizing a patterned substrate in a spatial atomic layer processing system.
  • the method may generally begin by providing at least a first layer as part of the patterned substrate.
  • the first layer may comprise at least a portion of one or more features formed on the patterned substrate.
  • the one or more features may have higher regions and lower regions, such that a height differential exists between the higher regions and the lower regions.
  • the first layer provided on the patterned substrate may comprise a variety of materials.
  • the first layer may be an oxide.
  • the method may include providing the patterned substrate on a rotating platen of the spatial atomic layer processing system, and forming a modified layer on the first layer.
  • At least one step of forming the modified layer on the first layer may include exposing a surface of the first layer to a first precursor gas, which adsorbs on and reacts with the surface of the first layer to produce the modified layer.
  • the surface of the first layer may be exposed to a variety of first precursor gases when forming the modified layer.
  • the first precursor gas may comprise trimethylaluminum, BCl 3 , HF, Cl 2 , CF 4 /O 2 , and/or F 2 /He.
  • the rotational speed of the rotating platen may cause enhanced deposition of a first precursor of the first precursor gas on the higher regions of the one or more features as compared to the lower regions of the one or more features.
  • the rotational speed may be selected from a range between 30 RPM and 240 RPM. In some embodiments, the rotational speed may be adjusted during one or more of the steps of forming the modified layer and removing the modified layer.
  • the method may remove the modified layer.
  • the modified layer may be removed via a plasma removal step.
  • the method may preferentially etch the higher regions of the one or more features, as compared to the lower regions of the one or more features, so as to lessen the height differential between the higher regions of the one or more features and the lower regions of the one or more features.
  • the method may repeat the steps of forming the modified layer and removing the modified layer so as to further reduce the height differential and planarize the patterned structure.
  • the height differential between the higher regions and the lower regions may be reduced by at least 50% by utilizing the method disclosed herein.
  • the method may generally begin by providing the patterned substrate on a rotating platen of the spatial atomic layer processing system.
  • one or more features formed on the patterned substrate may have higher regions and lower regions.
  • the method may include spinning the rotating platen and exposing a surface of the patterned substrate to a precursor gas to form a modified layer on the patterned substrate.
  • the surface of the patterned substrate may comprise an oxide and the precursor gas may comprise trimethylaluminum, BCl 3 , HF, Cl 2 , CF 4 /O 2 , and/or F 2 /He.
  • the rotational speed of the rotating platen may cause the modified layer to be preferentially formed on the higher regions of the one or more features.
  • the rotational speed of the rotating platen may be selected from a range between 30 RPM and 240 RPM. In other embodiments, the rotational speed of the rotating platen may be selected from a range between 100 RPM and 6,000 RPM. In one example embodiment, the rotational speed of the rotating platen may be above 200 RPM.
  • the method may include removing the modified layer so that the higher regions of the one or more features are preferentially etched as compared to the lower regions of the one or more features.
  • the modified layer may be removed via a plasma removal step.
  • the rotational speed of the rotating platen may be adjusted during one or more of the steps of exposing a surface of the patterned substrate to a precursor gas to form a modified layer and removing the modified layer.
  • the method may repeat the steps of exposing a surface of the patterned substrate to a precursor gas to form a modified layer and removing the modified layer to gradually reduce a height of the one or more features until a desired planarization of the one or more features is achieved.
  • the desired planarization may reduce the height differential between the higher regions and the lower regions by at least 25%. In other embodiments, the desired planarization may reduce the height differential between the higher regions and the lower regions by at least 50%.
  • FIG. 1 is a block diagram illustrating one embodiment of a spatial atomic layer processing system that may be used to perform the techniques described herein.
  • FIG. 2 is cross-sectional view of a patterned substrate comprising a modified layer formed upon one or more features, where a thickness of the modified layer is substantially equal on the top, bottom and sides of the one or more features.
  • FIG. 3 is cross-sectional view of another patterned substrate comprising a modified layer formed upon one or more features, where a thickness of the modified layer is greater on the top than on the bottom and sides of the one or more features.
  • FIG. 4 is a graph comparing a thickness (in angstroms) of a modified layer that may be formed on the top of one or more features over time (seconds) when a rotating platen of a spatial atomic layer processing system is spun at 30 rotations per minute (RPM) and 240 RPM.
  • RPM rotations per minute
  • FIGS. 5 A- 5 E illustrate one embodiment of a process flow for planarizing a patterned substrate using the techniques described herein.
  • FIGS. 6 A- 6 E illustrate another embodiment of a process flow for planarizing a patterned substrate using the techniques described herein.
  • FIG. 7 is a block diagram illustrating another embodiment of a spatial atomic layer processing system that may be used to perform the techniques described herein.
  • FIG. 8 is a flowchart diagram illustrating one embodiment of a method for planarizing a patterned substrate using the techniques described herein.
  • FIG. 9 is a flowchart diagram illustrating another embodiment of a method for planarizing a patterned substrate using the techniques described herein.
  • the patterned substrate may include, for example, one or more features having higher regions and lower regions, such that a height differential exists between the higher and lower regions.
  • the patterned substrate may be subject to a selective atomic layer etching (ALE) process, which preferentially forms a modified layer on the higher regions of the one or more features, as compared to the lower regions of the one or more features.
  • ALE selective atomic layer etching
  • the selective ALE process described herein preferentially etches the higher regions of the one or more features to lessen the height differential between the higher and lower regions of the one or more features.
  • a patterned substrate comprising one or more features is provided on a rotating platen of a spatial atomic layer processing system, and a modified layer is preferentially formed on higher regions of the one or more features by exposing a surface of the patterned substrate to a first precursor gas while the rotating platen spins.
  • a modified layer is preferentially formed on higher regions of the one or more features by exposing a surface of the patterned substrate to a first precursor gas while the rotating platen spins.
  • the preferential formation on higher regions increases. Spinning the rotating platen at higher rotational speeds causes the modified layer to be more preferentially formed on the one or more features, such that a thickness of the modified layer is greater on the higher regions, than on the lower regions of the one or more features.
  • the rotating platen may be rotated at speeds of 30 RPM or more to preferentially form the modified layer on the higher regions. In other embodiments, the rotating platen may be rotated at speeds of 100 RPM or more. For example, RPMs of 200 RPM or more may be utilized. In some embodiments, a rotational speed up to for example 6,000 RPM may be utilized while practicing the techniques described herein.
  • the higher regions are preferentially etched to lessen the height differential between the higher and lower regions of the one or more features.
  • the removal step may be a plasma step.
  • the selective ALE process described herein may be used to gradually reduce a height of the one or more features until a desired planarization of the one or more features is achieved.
  • height differential between the higher and lower regions of the one or more features is decreased by 25% to achieve a desired planarization. In another embodiment, the height differential is decreased by 50% or more.
  • a planarization technique in which a spatial atomic processing system is utilized to provide an ALE process which planarizes (or improves the planarity of) a patterned substrate.
  • the area of the ALE chemistry is increased, which will reduce the etch as the features are planarized.
  • the selective ALE process described herein achieves planarization of a patterned substrate without the physical damaging effects of conventional CMP techniques, and thus, may be a desirable alternative to CMP or used in conjunction with CMP to reduce the damaging effects of CMP.
  • a step on the substrate may be planarized by at least twenty-five percent, in another embodiment by fifty percent, and in still another embodiment by seventy-five percent or more.
  • FIG. 1 illustrates one example of a spatial atomic layer processing system that may be used to planarize a patterned substrate. More specifically, FIG. 1 depicts one embodiment of a spatial atomic layer processing system that may be used to gradually reduce a height of one or more features formed on a patterned substrate using the selective ALE process described herein. It is recognized, however, that the system shown in FIG. 1 is merely one example system in which the techniques described herein may be utilized. Other spatial atomic layer processing systems may also be used to perform the techniques described herein.
  • FIG. 1 provides a top-down view of a spatial atomic layer processing system 100 as seen inside a process chamber 105 of the spatial atomic layer processing system 100 .
  • a rotating platen 110 is provided within the process chamber 105 for holding one or more substrates 115 .
  • Each of the substrates 115 may be arranged on a susceptor (not shown), which supplies heat to the substrate.
  • a number of processing sections and purge sources may also be provided within the process chamber 105 and located above the rotating platen 110 for providing various gases to the substrate. Gas outlet pumping ports 140 may also be provided.
  • spatial atomic layer processing system 100 includes three process sections: a precursor adsorption section 120 , an optional processing section 124 and a plasma treatment section 128 .
  • the precursor adsorption section 120 , optional processing section 124 and plasma treatment section 128 are separated by inert gas areas, where the inert gas is introduced by purge sources 130 .
  • the inert gases injected by purge sources 130 and the separate exhaust configuration provided by gas outlet pumping ports 140 enable fast rotation speeds of the system without detrimental effects of precursors and reactants mixing.
  • the selective ALE process may use the precursor adsorption section 120 to form a modified layer on a patterned substrate and may use the plasma treatment section 128 to remove the modified layer.
  • the selective ALE process may use the optional processing section 124 to supply one or more gases (e.g., an oxygen containing gas, an inert gas or a precursor gas) to the patterned substrate after forming the modified layer within the precursor adsorption section 120 and prior to removing the modified layer within the plasma treatment section 128 .
  • gases e.g., an oxygen containing gas, an inert gas or a precursor gas
  • FIG. 1 uses a plasma treatment section 128 for the etch step of the ALE process, it will be recognized that non-plasma techniques may also be utilized for the etch step as the particular atomic layer processing system 100 shown in FIG. 1 is merely exemplary.
  • Precursor adsorption section 120 may be generally configured to provide a first precursor gas to the one or more substrates 115 .
  • a substrate is rotated under the precursor adsorption section 120 , a surface of the substrate is exposed to the first precursor gas, which adsorbs on and reacts with the surface material to produce a modified layer.
  • the precursor adsorption section 120 may provide the first precursor gas to the substrate in a variety of different ways.
  • the precursor adsorption section 120 includes a showerhead 121 and a precursor gas injector 122 for injecting the first precursor gas into a process space arranged above the substrates 115 .
  • the showerhead 121 and the precursor gas injector 122 may be configured in any known manner.
  • the precursor adsorption section 120 may be configured to provide a wide variety of precursor gases to the substrates 115 .
  • the first precursor gas may be a metal containing precursor gas, such as for example, but not limited to, trimethylaluminum (TMA), titanium tetrachloride (TiCl 4 —), Bis-t-butylaminosilane (BTBAS), Tetrakis(dimethylamino)Titanium (TDMAT), Tris(Dimethylamino)silane (3DMAS), TetrakisenhtylmethylaminoHafnium (TEMAHf), etc.
  • TMA trimethylaluminum
  • TiCl 4 — titanium tetrachloride
  • BBAS Bis-t-butylaminosilane
  • TDMAT Tetrakis(dimethylamino)Titanium
  • DMAS Tris(Dimethylamino)silane
  • TEMAHf Tetrakisenhtyl
  • the first precursor gas is not strictly limited to metal containing precursor gases and may include other precursor gases commonly used in semiconductor processing.
  • the precursor adsorption section 120 may alternatively provide any of a wide range of gases including but not limited to BCl 3 , O 2 , Ar, HF, Cl 2 , CF 4 , He, NF 3 , H 2 , C 4 F 8 , CH 3 F, CHF 3 , SF 6 , O 3 , C 3 H 3 F 3 , HCl, NH 4 OH, TiCl 4 , SiCl 4 , CF 4 /O 2 , and/or F 2 /He, etc.
  • Plasma treatment section 128 is provided for generating a plasma, which can be used to remove (or etch) the modified layer.
  • Plasma may be generated by supplying one or more process gases to the plasma treatment section 128 while power is applied to one or more electrodes disposed above and/or below a substrate rotated under the plasma treatment section 128 .
  • a source voltage can be applied to an upper electrode arranged above a substrate, and a bias voltage can be applied to a lower electrode arranged below the substrate to generate a high-frequency electric field between the upper and lower electrodes.
  • the high-frequency electric field dissociates and converts the one or more process gases supplied to the plasma treatment section 128 into a plasma, which can be used in various types of treatments such as, but not limited to, plasma etching, deposition and/or sputtering.
  • the plasma generated within the plasma treatment section 128 is used to remove (or etch) the modified layer, typically one monolayer at a time.
  • a substrate is rotated under the plasma treatment section 128 , a surface of the substrate exposed to the plasma is bombarded with ions to remove the modified layer.
  • the bias voltage may be selected or adjusted to control the ion bombardment energy, and thus, control the depth to which the modified layer is removed.
  • Various plasma etch chemistries may be used within the plasma treatment section 128 to remove the modified layer.
  • Ar plasmas, He plasmas, thermal desorption, or plasma chemistries including a wide range of gases including but not limited to BCl 3 , O 2 , Ar, HF, Cl 2 , CF 4 , He, NF 3 , H 2 , C 4 F 8 , CH 3 F, CHF 3 , SF 6 , O 3 , C 3 H 3 F 3 , HCl, NH 4 OH, TiCl 4 , SiCl 4 , etc., may be used all as is well-known in the art.
  • the optional processing section 124 may be used to provide one or more gases (e.g., an oxygen containing gas, an inert gas or a second precursor gas) to the substrates 115 before the modified layer is removed within the plasma treatment section 128 .
  • the optional processing section 124 may provide the one or more gases to the substrate in a variety of different ways.
  • the optional processing section 124 includes a showerhead 125 and a gas injector 126 for injecting the gas(es) into a process space arranged above the substrates 115 .
  • the showerhead 125 and the gas injector 126 may be configured in any known manner.
  • the optional processing section 124 may be configured to provide a wide variety of gases to the substrates 115 .
  • the optional processing section 124 may provide an oxygen containing gas, such as for example, oxygen (O 2 ), ozone (O 3 ), etc., to the substrates.
  • an oxygen containing gas such as for example, oxygen (O 2 ), ozone (O 3 ), etc.
  • O 2 oxygen
  • O 3 ozone
  • the optional processing section 124 is not strictly limited to providing oxygen containing gases and may be used in other embodiments to provide other gases to the substrate surface.
  • the optional processing section 124 may be alternatively used to expose a surface of the substrate to an inert gas (e.g., argon, nitrogen, or another inert gas) or a second precursor gas.
  • an inert gas e.g., argon, nitrogen, or another inert gas
  • the one or more of substrates 115 are moved in sequence under the precursor adsorption section 120 , the optional processing section 124 and the plasma treatment section 128 to perform one or more cycles of the selective atomic layer etch (ALE) process described herein.
  • Purge sources 130 provide a gas purge (e.g., an argon, nitrogen, or other inert gas purge) after the substrates 115 rotate past the precursor adsorption section 120 and the plasma treatment section 128 to prevent gas mixing.
  • a controller may be provided for controlling various operating parameters of the spatial atomic layer processing system 100 including, for example, temperatures, gas flows, pressures, rotation speeds, number of cycles, etc.
  • the selective ALE process described herein may be utilized for planarizing a patterned substrate in a spatial atomic layer processing system, such as but not limited to the spatial atomic layer processing system 100 shown in FIG. 1 and described above.
  • the rotation of the rotating platen 110 and the substrates 115 may be repeated for a number of cycles needed to achieve a desired amount of planarization.
  • a complete ALE cycle including layer modification and removal steps may be performed each rotation of the rotating platen 110 . If the removal step requires more time than provided by the high rotational speed of the rotating platen 110 , the layer modification and removal steps may be separated, such that one rotation of the rotating platen 110 provides layer modification, while separate, additional rotation(s) are used to remove the modified layer.
  • a patterned substrate comprising one or more features may be provided on a rotating platen of a spatial atomic layer processing system, and a modified layer may be preferentially formed on higher regions of the one or more features by exposing a surface of the patterned substrate to a first precursor gas while the rotating platen spins at a high rotational speed.
  • the fast rotation speed reduces the exposure time to the features, which effectively creates a depletion condition such that the precursor gas does not have time to diffuse below the highest surfaces of the patterned substrate.
  • the first precursor gas adsorbs on and reacts with a surface material of the patterned substrate to produce the modified layer.
  • the modified layer When the modified layer is subsequently removed (e.g., in a subsequent plasma removal step), the higher regions are preferentially etched to lessen the height differential between the higher and lower regions of the one or more features.
  • the selective ALE process described herein may be used to gradually reduce a height of the one or more features until a desired planarization of the one or more features is achieved.
  • Spinning the rotating platen at high rotational speed aids in the modified layer being preferentially formed on the higher regions of the one or more features as compared to the lower regions of the one or more features. More specifically, spinning the rotating platen at a rotational speed greater than, for example, 30 RPM for some ALE chemistries causes the modified layer to be preferentially formed on the one or more features, such that a thickness of the modified layer is greater on the higher regions, than on the lower regions of the one or more features.
  • the relationship between layer thickness and rotational speed is illustrated in FIGS. 2 - 4 . It will be recognized, however, that rotational speeds may be dependent upon the particular chemistries utilized in the ALE process.
  • FIG. 2 provides a cross-sectional view of a patterned substrate 200 comprising one or more features 210 formed on a substrate 205 .
  • the patterned substrate 200 may be provided on a rotating platen of a spatial atomic layer processing system, as discussed above in reference to FIG. 1 .
  • a modified layer 215 is formed on the patterned substrate 200 by exposing a surface of the patterned substrate 200 to a first precursor gas while the rotating platen spins at a relatively low rotational speed.
  • the first precursor gas adsorbs onto and reacts with the surface of the patterned substrate 200 to form the modified layer 215 .
  • the thickness of the modified layer 215 is substantially equal on the top (T top ), bottom (T bottom ) and sides (T side ) of the one or more features 210 .
  • the modified layer 215 is subsequently removed (e.g., in a subsequent plasma removal step)
  • the higher regions and lower regions of the one or more features 210 (or the substrate 205 ) may be etched somewhat equally. Therefore, rotating the platen at low rotational speed fails to provide the desired planarization.
  • FIG. 3 provides a cross-sectional view of a patterned substrate 300 comprising one or more features 310 formed on a substrate 305 .
  • the patterned substrate 200 may be provided on a rotating platen of a spatial atomic layer processing system, as discussed above in reference to FIG. 1 .
  • a modified layer 315 is formed on the patterned substrate 300 by exposing a surface of the patterned substrate 300 to a first precursor gas while the rotating platen spins at a relatively high rotational speed (e.g., for some ALE chemistries, a rotational speed greater than 30 RPM).
  • the first precursor gas adsorbs onto and reacts with the surface of the patterned substrate 300 to form the modified layer 315 .
  • the thickness of the modified layer 315 is greater on the top (T top ) than on the bottom (T bottom ) and sides (T side ) of the one or more features 310 . This is desirable, as it would enable the tops of the features 210 to be preferentially etched when the modified layer 215 is subsequently removed.
  • the rotating platen may generally be rotated at a high rotational speed.
  • the rotating speed of the rotating platen may be selected from a range between 30 RPM and 240 RPM.
  • the rotating speed of the rotating platen may be selected from a broader range between 100 RPM and 6,000 RPM.
  • a rotational speed greater than 200 RPM may be used to preferentially form the modified layer 315 on the higher regions (e.g., the top and/or upper sides) of the features.
  • the rotational speed needed to achieve preferential formation of the modified layer 315 on the higher regions may generally depend on the precursor gas chemistry used to form the modified layer.
  • FIG. 4 is a graph comparing a thickness (in angstroms) of an exemplary modified layer (e.g., aluminum oxide (Al 2 O 3 )) that may be formed on the top of the features over time (seconds) when a rotating platen of a spatial atomic layer processing system is spun at 30 RPM and 240 RPM. As shown in FIG. 4 , the thickness of the modified layer formed on the top of the features increases much faster when the rotating platen is spun at 240 RPM vs 30 RPM. It will be recognized that Al 2 O 3 is exemplary and other modified layers may be formed.
  • Al 2 O 3 is exemplary and other modified layers may be formed.
  • FIGS. 5 A- 5 E illustrate one embodiment of a process flow for planarizing a patterned substrate 400 using the selective ALE process described herein.
  • the patterned substrate 400 may include one or more features 410 formed on a substrate 405 .
  • the features 410 shown in FIGS. 5 A- 5 E may represent any features or structures on a substrate (e.g., a semiconductor wafer) for which planarization may be desirable.
  • the height of the one or more features 410 may be substantially equal, or may vary across the patterned substrate 400 .
  • the patterned substrate 400 may be planarized by performing one or more cycles of the selective ALE process described herein to gradually reduce the height of the one or more features 410 .
  • the selective ALE process described herein may begin by providing the patterned substrate 400 shown in FIG. 5 A on a rotating platen of a spatial atomic layer processing system.
  • a modified layer 415 is formed on the patterned substrate 400 by exposing a surface of the patterned substrate 400 to a precursor gas while the rotating platen spins at a relatively high rotational speed.
  • the precursor gas adsorbs onto and reacts with the surface of the patterned substrate 400 to form the modified layer 415 .
  • the rotational speed of the platen and the precursor gas chemistry may be selected, so that the modified layer 415 is formed primarily on the top 412 and/or on the upper sides 414 of the one or more features 410 .
  • the modified layer 415 is removed to reduce the height of the one or more features 410 .
  • a surface of the patterned substrate 400 may be exposed to a plasma to remove the modified layer 415 via plasma atomic layer etching.
  • another modified layer 425 is formed on the patterned substrate 400 by exposing a surface of the patterned substrate 400 to a precursor gas while the rotating platen spins at a relatively high rotational speed.
  • the precursor gas adsorbs onto and reacts with the surface of the patterned substrate 400 to form the modified layer 425 .
  • the rotational speed of the platen and the precursor gas chemistry may be selected, so that the modified layer 425 is formed primarily on the top 412 and/or on the upper sides 414 of the one or more features 410 .
  • the rotational speed of the platen may be the same when forming modified layer 415 and modified layer 425 . In other embodiments, the rotational speed of the platen may be adjusted when forming one or more of the modified layers. For example, the rotational speed of the platen may be increased when forming modified layer 425 compared to the rotational speed used to form modified layer 415 .
  • the selective ALE process described herein may be used to vary the preferential formation of the modified layer on the top and/or on upper sides of the features.
  • the modified layer 425 is again removed to further reduce the height of the one or more features 410 .
  • a surface of the patterned substrate 400 may be exposed to a plasma to remove the modified layer 425 via plasma atomic layer etching.
  • the layer modification ( FIGS. 5 B and 5 D ) and removal ( FIGS. 5 C and 5 E ) steps may be repeated for a number of cycles until a desired planarization is achieved.
  • a layer modification step and a removal step may be performed for each rotation of the rotating platen.
  • the layer modification and removal steps may be separated, such that layer modification is performed during one rotation of the platen, while separate, additional rotation(s) are used to remove the modified layer.
  • a configuration is also possible in which multiple modification layers and removal steps are processed for each rotation if multiple segments are designed in the chamber.
  • FIGS. 6 A- 6 E illustrate another embodiment of a process flow for planarizing a patterned substrate 500 using the selective ALE process described herein.
  • the patterned substrate 500 may include one or more features 510 formed on a substrate 505 .
  • the features 510 shown in FIGS. 6 A- 6 E may represent any features or structures on a substrate (e.g., a semiconductor wafer) for which planarization may be desirable.
  • the one or more features 510 may have higher regions 512 and lower regions 514 , and the patterned substrate 500 may be planarized by performing one or more cycles the selective ALE process described herein to gradually reduce a height differential between the higher regions 512 and the lower regions 514 .
  • the selective ALE process described herein may begin by providing the patterned substrate 500 on a rotating platen of a spatial atomic layer processing system.
  • a modified layer 515 is formed on the patterned substrate 500 by exposing a surface of the patterned substrate 500 to a precursor gas while the rotating platen spins at a relatively high rotational speed.
  • the precursor gas adsorbs onto and reacts with the surface of the patterned substrate 500 to form the modified layer 515 .
  • the rotational speed of the platen and the precursor gas chemistry may be selected, so that the modified layer 515 is preferentially formed on the higher regions 512 of the one or more features 510 as compared to the lower regions 514 of the one or more features 510 .
  • the modified layer 515 may be preferentially formed, such that a thickness of the modified layer 515 is greater on the higher regions 512 than on the lower regions 514 of the one or more features 510 .
  • the modified layer 515 is removed to reduce or lessen the height differential between the higher regions 512 and the lower regions 514 of the one or more features 510 .
  • a surface of the patterned substrate 500 may be exposed to a plasma to remove the modified layer 515 via plasma atomic layer etching.
  • another modified layer 525 is formed on the patterned substrate 500 by exposing a surface of the patterned substrate 500 to a precursor gas while the rotating platen spins at a relatively high rotational speed.
  • the precursor gas adsorbs onto and reacts with the surface of the patterned substrate 500 to form the modified layer 525 .
  • the rotational speed of the platen and the precursor gas chemistry may be selected, so that the modified layer 525 is preferentially formed on the higher regions 512 of the one or more features 510 as compared to the lower regions 514 of the one or more features 510 .
  • the modified layer 525 may be preferentially formed, such that a thickness of the modified layer 525 is greater on the higher regions 512 than on the lower regions 514 of the one or more features 510 .
  • the rotational speed of the platen may be the same when forming modified layer 515 and modified layer 525 . In other embodiments, the rotational speed of the platen may be adjusted when forming one or more of the modified layers. For example, the rotational speed of the platen may be increased when forming modified layer 525 compared to the rotational speed used to form modified layer 515 . By gradually increasing the rotational speed of the platen as additional modified layers are formed, the selective ALE process described herein may be used to vary the preferential formation of the modified layer on the top and/or on upper sides of the features.
  • the modified layer 525 is again removed to further reduce or lessen the height differential between the higher regions 512 and the lower regions 514 of the one or more features 510 .
  • a surface of the patterned substrate 500 may be exposed to a plasma to remove the modified layer 525 via plasma atomic layer etching.
  • the layer modification ( FIGS. 6 B and 6 D ) and removal ( FIGS. 6 C and 6 E ) steps may be repeated for a number of cycles until a desired planarization is achieved.
  • a layer modification step and a removal step may be performed for each rotation of the rotating platen.
  • the layer modification and removal steps may be separated, such that layer modification is performed during one rotation of the platen, while separate, additional rotation(s) are used to remove the modified layer.
  • a selective ALE process may be utilized to planarize a patterned substrate.
  • the amount of planarization may vary in accordance with the ALE chemistries utilized and the number of cycles of the process.
  • the selective ALE process described herein may be used to gradually reduce a height of one or more features until a desired planarization of the one or more features is achieved.
  • a planarization technique is provided in which a spatial atomic processing system is utilized to provide an ALE process which planarizes the substrate.
  • height differentials are decreased by 25%.
  • height differentials are decreased by 50% or more.
  • height differentials may be nearly completely removed.
  • the features 410 and features 510 discussed above are merely exemplary and other features may advantageously take advantage of use of the techniques described herein.
  • the features may be formed of any of a wide range of materials that are utilized in the substrate processing art.
  • the features may be formed of oxide.
  • the features may be formed of silicon oxide.
  • the features may be formed of silicon, silicon nitride, aluminum oxide, titanium oxide, and/or hafnium oxide. Other materials may also be utilized however.
  • the features may be formed by a combination of materials and or structures so the features need not be homogenous, but rather could be for example, formed by multiple layers of differing materials.
  • the rotational speed of the rotating platen is disclosed above as a key variable, which can be used and/or controlled to improve the planarity of a patterned substrate
  • other variables or combinations of variables can also be used to improve planarity.
  • the techniques described herein may improve planarity of a patterned substrate by controlling one or more of the following variables or process conditions: the rotational speed (e.g., RPM) of the rotating platen, the chemistry of various gases used to implement the selective ALE process (e.g., precursor gases, etching gases or ratios of gases), the duration of the layer modification step and/or duration of the removal step, the number of cycles used to achieve the desired planarity, and other features of the spatial atomic layer processing system (such as, e.g., temperature, pressure, vacuum, etc.).
  • the rotational speed e.g., RPM
  • the chemistry of various gases used to implement the selective ALE process e.g., precursor gases, etching gases or ratios of gases
  • variables and/or process conditions may be selected and/or controlled to improve the planarity of a patterned substrate.
  • various plasma parameters such as the concentration of one or more gases supplied to the substrate surface, the gap or distance between the plasma source and the substrate surface, the source power and/or the bias power may be selected to achieve a desired planarization.
  • Other processing parameters such as ozone density, inert gas dilution, gas ratios and/or gas injector designs may also be chosen to achieve a desired planarization.
  • the spatial atomic layer processing system 100 shown in FIG. 1 may be utilized to provide a desired planarization, or otherwise improve the planarity of, a patterned substrate.
  • the spatial atomic layer processing system 100 shown in FIG. 1 is provided as an example processing system in which the techniques described herein may be utilized, the disclosed techniques are not limited to the system illustrated in FIG. 1 .
  • one or more components or features may be added or removed from the spatial atomic layer processing system 100 shown in FIG. 1 .
  • a more sophisticated processing system using automated recipe databases, input from other automated system, etc. may be used to implement the techniques described herein.
  • FIG. 7 illustrates another embodiment of a spatial atomic layer processing system 600 that may be used to planarize a patterned substrate.
  • the spatial atomic layer processing system 600 may generally include a process chamber 605 , one or more sensors 610 and a controller 615 .
  • the process chamber 605 may be similar to the process chamber 105 shown in FIG. 1 , and may include a rotating platen and a number of processing sections (e.g., a precursor adsorption section 120 , an optional processing section 124 and a plasma treatment section 128 ), purge sources and gas outlet pumping ports.
  • the process chamber 605 is not restricted to the process chamber 105 shown and described in FIG. 1 and may be configured differently in other embodiments.
  • sensor(s) 610 and controller 615 are provided for monitoring various parameters and automatically adjusting one or more variables and/or process conditions of the system or process described herein to achieve a planarity objective.
  • the planarity objective may be a desired planarization or a desired reduction in the height differential between the higher regions and the lower regions of the features on the patterned substrate.
  • the planarity objective may include a target throughput number of substrates per hour.
  • Sensor(s) 610 may be coupled to and/or located within process chamber 605 for monitoring various parameters of the substrate, the system 600 and/or the selective ALE process described herein.
  • Sensor(s) 610 may include various types of sensors including, but not limited to, optical sensors (such as cameras, lasers, light, reflectometer, spectrometers, etc.), capacitive sensors, ultrasonic sensors, gas sensors, or other sensors that may monitor a condition of the substrate and/or the system 600 .
  • one or more optical sensors may be used to measure the height differential of the higher regions and the lower regions in-situ of the process chamber 105 .
  • a spectrometer may be used to measure a film thickness of one or more layers provided on the patterned substrate.
  • a residual gas analyzer may be used to detect precursor breakdown for real-time chemical reaction completion detection.
  • Controller 615 is coupled for receiving data from sensor(s) 610 and configured for controlling one or more process parameters of the process chamber 605 based on the sensor data.
  • controller 615 may be configured to analyze the data collected by the sensor(s) 610 and provide feedback to control various process parameters of components of process chamber 605 .
  • controller 615 may use or analyze the sensor data to determine when to end one or more steps of the selective ALE process described herein.
  • controller 615 may receive data from a residual gas analyzer to detect an endpoint of the layer modification step.
  • controller 615 may utilize spectroscopic ellipsometry to detect an average film thickness on the substrate during rotation and provide an indication of film thickness change during the selective ALE process.
  • controller 615 may automatically end the selective ALE process when a planarity objective is achieved, such as when a desired planarization or a desired reduction in the height differential between the higher and lower regions of the features is achieved. In some embodiments, controller 615 may automatically adjust one or more parameters during the process to achieve the planarity objective.
  • the sensor data and the controller may also be utilized to achieve a desired substrate throughput objective. Further, the sensor data and the controller may be utilized to achieve a desired planarity objective along with a desired substrate throughput objective or alternative balance the various objectives.
  • controller(s) 615 described herein can be implemented in a wide variety of manners.
  • the controller may be a computer.
  • controller 615 may include one or more programmable integrated circuits that are programmed to provide the functionality described herein.
  • processors e.g., microprocessor, microcontroller, central processing unit, etc.
  • programmable logic devices e.g., complex programmable logic device (CPLD)), field programmable gate array (FPGA), etc.
  • CPLD complex programmable logic device
  • FPGA field programmable gate array
  • the software or other programming instructions can be stored in one or more non-transitory computer-readable mediums (e.g., memory storage devices, flash memory, dynamic random access memory (DRAM), reprogrammable storage devices, hard drives, floppy disks, DVDs, CD-ROMs, etc.), and the software or other programming instructions when executed by the programmable integrated circuits cause the programmable integrated circuits to perform the processes, functions, and/or capabilities described herein. Other variations could also be implemented.
  • non-transitory computer-readable mediums e.g., memory storage devices, flash memory, dynamic random access memory (DRAM), reprogrammable storage devices, hard drives, floppy disks, DVDs, CD-ROMs, etc.
  • FIGS. 8 - 9 illustrate embodiments of methods that utilize the techniques described herein. It will be recognized that the embodiments shown in FIGS. 8 - 9 are merely exemplary and additional methods may utilize the techniques described herein. Further, additional processing steps may be added to the methods shown in FIGS. 8 - 9 as the steps described are not intended to be exclusive. Moreover, the order of the steps is not limited to the order shown in the figures as different orders may occur and/or various steps may be performed in combination or at the same time.
  • FIG. 8 illustrates one embodiment of a method 700 for planarizing a patterned substrate in a spatial atomic layer processing system.
  • the method 700 provides at least a first layer as part of the patterned substrate.
  • the first layer may comprise at least a portion of one or more features formed on the patterned substrate.
  • the one or more features may have higher regions and lower regions, such that a height differential exists between the higher regions and the lower regions.
  • the method 700 may provide the patterned substrate on a rotating platen of the spatial atomic layer processing system (in step 720 ).
  • the method 700 forms a modified layer on the first layer.
  • At least one step of forming the modified layer on the first layer may include exposing a surface of the first layer to a first precursor gas, which adsorbs on and reacts with the surface of the first layer to produce the modified layer. Spinning the rotating platen at a higher rotational speeds aids in the modified layer being preferentially formed onto the higher regions of the one or more features, as compared to the lower regions of the one or more features.
  • the method 700 removes the modified layer.
  • the method 700 preferentially etches the higher regions of the one or more features, as compared to the lower regions of the one or more features, so as to lessen the height differential between the higher regions of the one or more features and the lower regions of the one or more features (in step 750 ).
  • the method 700 may repeat the steps of forming the modified layer and removing the modified layer so as to further planarize the patterned structure in step 760 .
  • FIG. 9 illustrates one embodiment of a method 800 for planarizing a patterned substrate in a spatial atomic layer processing system.
  • the method 800 provides the patterned substrate on a rotating platen of the spatial atomic layer processing system.
  • one or more features formed on the patterned substrate may have higher regions and lower regions.
  • the method 800 spins the rotating platen.
  • the method 8700 exposes a surface of the patterned substrate to a precursor gas to form a modified layer on the patterned substrate.
  • the rotational speed of the rotating platen causes the modified layer to be preferentially formed on the higher regions of the one or more features.
  • the method 800 removes the modified layer so that the higher regions of the one or more features are preferentially etched as compared to the lower regions of the one or more features.
  • the method 800 repeats the steps of exposing a surface of the patterned substrate to a precursor gas to form a modified layer and removing the modified layer to gradually reduce a height of the one or more features until a desired planarization of the one or more features is achieved.
  • the desired planarization may reduce the height differential between the higher regions and the lower regions by at least 25%.
  • substrate means and includes a base material or construction upon which materials are formed. It will be appreciated that the substrate may include a single material, a plurality of layers of different materials, a layer or layers having regions of different materials or different structures in them, etc. These materials may include semiconductors, insulators, conductors, or combinations thereof.
  • the substrate may be a semiconductor substrate, a base semiconductor layer on a supporting structure, a metal electrode or a semiconductor substrate having one or more layers, structures or regions formed thereon.
  • the substrate may be a conventional silicon substrate or other bulk substrate comprising a layer of semi-conductive material.
  • the term “bulk substrate” means and includes not only silicon wafers, but also silicon-on-insulator (“SOI”) substrates, such as silicon-on-sapphire (“SOS”) substrates and silicon-on-glass (“SOG”) substrates, epitaxial layers of silicon on a base semiconductor foundation, and other semiconductor or optoelectronic materials, such as silicon-germanium, germanium, gallium arsenide, gallium nitride, and indium phosphide.
  • SOI silicon-on-insulator
  • SOS silicon-on-sapphire
  • SOOG silicon-on-glass
  • epitaxial layers of silicon on a base semiconductor foundation and other semiconductor or optoelectronic materials, such as silicon-germanium, germanium, gallium arsenide, gallium nitride, and indium phosphide.
  • the substrate may be doped or undoped.
  • the substrate may include any material portion or structure of a device, particularly a semiconductor or other electronics device, and may, for example, be a base substrate structure, such as a semiconductor substrate or a layer on or overlying a base substrate structure such as a thin film.
  • substrate is not intended to be limited to any particular base structure, underlying layer or overlying layer, patterned or unpatterned, but rather, is contemplated to include any such layer or base structure, and any combination of layers and/or base structures.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Atomic layer processing systems are provided for planarizing a patterned substrate utilizing a rotating platen. An atomic layer processing system may include a spatial atomic layer processing chamber with the rotating platen, a sensor that provides sensor data related to a property of the patterned substrate and/or a reaction in the spatial atomic layer processing chamber, and a controller. The controller may be coupled to the sensor to receive the sensor data and utilize such data to adjust at least one operating parameter of the atomic layer processing system so as to achieve a desired amount of planarization of the patterned substrate.

Description

    CROSS REFERENCE TO RELATED PATENTS AND APPLICATIONS
  • The present application is a Divisional of U.S. patent application Ser. No. 16/944,563, filed Jul. 31, 2020, the entire contents of which are herein incorporated by reference.
  • BACKGROUND
  • The present disclosure relates to the planarization of substrates. In particular, it provides embodiments of a system and a method for planarizing a surface of a substrate.
  • Atomic layer processes, such as atomic layer deposition (ALD) and atomic layer etching (ALE), have become important in the industry, offering techniques capable of accurately controlling material properties and nanometer dimensions. Atomic layer deposition (ALD) is a known technique for forming thin layers on a substrate. In ALD, substrates are cyclically exposed to alternate gaseous species (or precursors), which react with the substrate surface in a self-limiting, or near self-limiting manner, to slowly build up a thin film or layer on a substrate. Multiple ALD cycles are generally performed to deposit or form a desired film thickness by repeating the cycles of alternating gaseous species.
  • Atomic layer etching (ALE) is a known technique for removing or etching thin layers sequentially (often one monolayer at a time) through one or more self-limiting reactions or near self-limiting reactions. ALE processes often include multiple ALE cycles of layer modification and etch steps, wherein the layer modification step modifies exposed surfaces of a substrate and the etch step selectively removes the modified layer. During the layer modification step, a surface of the substrate may be exposed to a reactive precursor, which adsorbs on and reacts with the surface material to produce a modified surface layer. This layer modification step may be self-limiting, for example, if the reaction stops after adsorbing one monolayer. During the etch step, the surface of the substrate may be bombarded with ions to remove or etch the modified surface layer. Other etch removal mechanisms may alternatively be used. The etch step may also be self-limiting if the energy or chemistry of the etch step is sufficient to remove the modified surface layer, but insufficient to etch the underlying material. Multiple ALE cycles are generally performed to remove or etch a desired film thickness.
  • ALD and ALE processes can be performed within a variety of atomic layer processing systems or tools. For example, batch furnace type systems may be utilized to perform ALD or ALE processes. Single substrate systems, in which a process chamber is filled with gas and evacuated for a single substrate, may also be utilized. Yet another system is a spatial atomic layer processing system. In spatial atomic layer processing systems, substrates travel at relatively high speeds past a plurality of gas sources (e.g., gas injectors, a gas showerhead, or a gas showerhead with injector outlets), which inject the necessary gases proximate to the substrate surface to accomplish ALD or ALE process steps as the substrate rotates in a cyclical manner.
  • The ability to planarize is important to the fabrication of integrated circuits (ICs) and can be used at several levels during the IC fabrication process to prevent defects, avoid shorting paths and to perform selective patterning techniques. Chemical mechanical polishing (CMP) is an example of a planarization technique commonly used in IC fabrication.
  • SUMMARY
  • Systems and methods are provided herein for planarizing a patterned substrate in a spatial atomic layer processing system. The patterned substrate may include, for example, one or more features having higher regions and lower regions, such that a height differential exists between the higher and lower regions. In order to planarize the patterned substrate, or reduce the height differential between the higher and lower regions, the patterned substrate may be subject to a selective atomic layer etching (ALE) process, which preferentially forms a modified layer on the higher regions of the one or more features, as compared to the lower regions of the one or more features. By preferentially forming the modified layer on the higher regions of the one or more features, and subsequently removing the modified layer, the selective ALE process described herein preferentially etches the higher regions of the one or more features to lessen the height differential between the higher and lower regions of the one or more features.
  • In an embodiment of the selective ALE process described herein, a patterned substrate comprising one or more features is provided on a rotating platen of a spatial atomic layer processing system, and a modified layer is preferentially formed on higher regions of the one or more features by exposing a surface of the patterned substrate to a first precursor gas while the rotating platen spins. In one embodiment, as the rotation speed increases for a given set of process variables (for example chemistry and temperature), the preferential formation on higher regions increases. Spinning the rotating platen at higher rotational speeds causes the modified layer to be more preferentially formed on the one or more features, such that a thickness of the modified layer is greater on the higher regions, than on the lower regions of the one or more features. When the modified layer is subsequently removed (in one example, but not limited to, a subsequent plasma removal step), the higher regions are preferentially etched to lessen the height differential between the higher and lower regions of the one or more features.
  • By repeating the steps of forming the modified layer and removing the modified layer, the selective ALE process described herein may be used to gradually reduce a height of the one or more features until a desired planarization of the one or more features is achieved. Thus, a planarization technique is provided in which a spatial atomic processing system is utilized to provide an ALE process which planarizes the substrate. In one embodiment, height differentials are decreased by 25%. In another embodiment, height differentials are decreased by 50% or more. In this manner, planarization may occur. In some embodiments, the rotating platen is rotated at speeds of 30 RPM or more to achieve the planarization described herein. In other embodiments, the RPM is 100 RPM or more. For example, RPMs of 200 RPM or more may be utilized. In other embodiments, the rotating platen may be rotated at speeds up to 6,000 RPM.
  • According to one embodiment, a method is provided herein for planarizing a patterned substrate in a spatial atomic layer processing system. The method may generally begin by providing at least a first layer as part of the patterned substrate. In some embodiments, the first layer may comprise at least a portion of one or more features formed on the patterned substrate. In some embodiments, the one or more features may have higher regions and lower regions, such that a height differential exists between the higher regions and the lower regions. The first layer provided on the patterned substrate may comprise a variety of materials. In one embodiment, the first layer may be an oxide.
  • Next, the method may include providing the patterned substrate on a rotating platen of the spatial atomic layer processing system, and forming a modified layer on the first layer. At least one step of forming the modified layer on the first layer may include exposing a surface of the first layer to a first precursor gas, which adsorbs on and reacts with the surface of the first layer to produce the modified layer. The surface of the first layer may be exposed to a variety of first precursor gases when forming the modified layer. In one embodiment, the first precursor gas may comprise trimethylaluminum, BCl3, HF, Cl2, CF4/O2, and/or F2/He.
  • When forming the modified layer, spinning the rotating platen at higher rotational speeds aids in the modified layer being preferentially formed onto the higher regions of the one or more features, as compared to the lower regions of the one or more features. As used herein, “preferentially formed” means that a thickness of the modified layer may be greater on the higher regions of the one or more features than on the lower regions of the one or more features. In some embodiments, the rotational speed of the rotating platen may cause enhanced deposition of a first precursor of the first precursor gas on the higher regions of the one or more features as compared to the lower regions of the one or more features. In some embodiments, the rotational speed may be selected from a range between 30 RPM and 240 RPM. In some embodiments, the rotational speed may be adjusted during one or more of the steps of forming the modified layer and removing the modified layer.
  • After the modified layer is formed, the method may remove the modified layer. In some embodiments, the modified layer may be removed via a plasma removal step. By forming the modified layer on the first layer and subsequently removing the modified layer, the method may preferentially etch the higher regions of the one or more features, as compared to the lower regions of the one or more features, so as to lessen the height differential between the higher regions of the one or more features and the lower regions of the one or more features. In some embodiments, the method may repeat the steps of forming the modified layer and removing the modified layer so as to further reduce the height differential and planarize the patterned structure. In some embodiments, the height differential between the higher regions and the lower regions may be reduced by at least 50% by utilizing the method disclosed herein.
  • According to another embodiment, another method provided herein for planarizing a patterned substrate in a spatial atomic layer processing system. In this embodiment, the method may generally begin by providing the patterned substrate on a rotating platen of the spatial atomic layer processing system. As noted above, one or more features formed on the patterned substrate may have higher regions and lower regions.
  • Next, the method may include spinning the rotating platen and exposing a surface of the patterned substrate to a precursor gas to form a modified layer on the patterned substrate. In some embodiments, the surface of the patterned substrate may comprise an oxide and the precursor gas may comprise trimethylaluminum, BCl3, HF, Cl2, CF4/O2, and/or F2/He.
  • As noted above, the rotational speed of the rotating platen may cause the modified layer to be preferentially formed on the higher regions of the one or more features. In some embodiments, the rotational speed of the rotating platen may be selected from a range between 30 RPM and 240 RPM. In other embodiments, the rotational speed of the rotating platen may be selected from a range between 100 RPM and 6,000 RPM. In one example embodiment, the rotational speed of the rotating platen may be above 200 RPM.
  • After the modified layer is formed on the patterned substrate, the method may include removing the modified layer so that the higher regions of the one or more features are preferentially etched as compared to the lower regions of the one or more features. In some embodiments, the modified layer may be removed via a plasma removal step. In some embodiments, the rotational speed of the rotating platen may be adjusted during one or more of the steps of exposing a surface of the patterned substrate to a precursor gas to form a modified layer and removing the modified layer.
  • In some embodiments, the method may repeat the steps of exposing a surface of the patterned substrate to a precursor gas to form a modified layer and removing the modified layer to gradually reduce a height of the one or more features until a desired planarization of the one or more features is achieved. In some embodiments, the desired planarization may reduce the height differential between the higher regions and the lower regions by at least 25%. In other embodiments, the desired planarization may reduce the height differential between the higher regions and the lower regions by at least 50%.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • A more complete understanding of the present inventions and advantages thereof may be acquired by referring to the following description taken in conjunction with the accompanying drawings, in which like reference numbers indicate like features. It is to be noted, however, that the accompanying drawings illustrate only exemplary embodiments of the disclosed concepts and are therefore not to be considered limiting of the scope, for the disclosed concepts may admit to other equally effective embodiments.
  • FIG. 1 is a block diagram illustrating one embodiment of a spatial atomic layer processing system that may be used to perform the techniques described herein.
  • FIG. 2 is cross-sectional view of a patterned substrate comprising a modified layer formed upon one or more features, where a thickness of the modified layer is substantially equal on the top, bottom and sides of the one or more features.
  • FIG. 3 is cross-sectional view of another patterned substrate comprising a modified layer formed upon one or more features, where a thickness of the modified layer is greater on the top than on the bottom and sides of the one or more features.
  • FIG. 4 is a graph comparing a thickness (in angstroms) of a modified layer that may be formed on the top of one or more features over time (seconds) when a rotating platen of a spatial atomic layer processing system is spun at 30 rotations per minute (RPM) and 240 RPM.
  • FIGS. 5A-5E illustrate one embodiment of a process flow for planarizing a patterned substrate using the techniques described herein.
  • FIGS. 6A-6E illustrate another embodiment of a process flow for planarizing a patterned substrate using the techniques described herein.
  • FIG. 7 is a block diagram illustrating another embodiment of a spatial atomic layer processing system that may be used to perform the techniques described herein.
  • FIG. 8 is a flowchart diagram illustrating one embodiment of a method for planarizing a patterned substrate using the techniques described herein.
  • FIG. 9 is a flowchart diagram illustrating another embodiment of a method for planarizing a patterned substrate using the techniques described herein.
  • DETAILED DESCRIPTION
  • Systems and methods are provided herein for planarizing a patterned substrate in a spatial atomic layer processing system. The patterned substrate may include, for example, one or more features having higher regions and lower regions, such that a height differential exists between the higher and lower regions. In order to planarize the patterned substrate, or reduce the height differential between the higher and lower regions, the patterned substrate may be subject to a selective atomic layer etching (ALE) process, which preferentially forms a modified layer on the higher regions of the one or more features, as compared to the lower regions of the one or more features. By preferentially forming the modified layer on the higher regions of the one or more features, and subsequently removing the modified layer, the selective ALE process described herein preferentially etches the higher regions of the one or more features to lessen the height differential between the higher and lower regions of the one or more features.
  • In an embodiment of the selective ALE process described herein, a patterned substrate comprising one or more features is provided on a rotating platen of a spatial atomic layer processing system, and a modified layer is preferentially formed on higher regions of the one or more features by exposing a surface of the patterned substrate to a first precursor gas while the rotating platen spins. In one embodiment, as the rotation speed increases for a given set of process variables (for example chemistry and temperature), the preferential formation on higher regions increases. Spinning the rotating platen at higher rotational speeds causes the modified layer to be more preferentially formed on the one or more features, such that a thickness of the modified layer is greater on the higher regions, than on the lower regions of the one or more features. In some embodiments, the rotating platen may be rotated at speeds of 30 RPM or more to preferentially form the modified layer on the higher regions. In other embodiments, the rotating platen may be rotated at speeds of 100 RPM or more. For example, RPMs of 200 RPM or more may be utilized. In some embodiments, a rotational speed up to for example 6,000 RPM may be utilized while practicing the techniques described herein.
  • When the modified layer is subsequently removed (in one example, but not limited to, a subsequent plasma removal step), the higher regions are preferentially etched to lessen the height differential between the higher and lower regions of the one or more features. In one embodiment, the removal step may be a plasma step. By repeating the steps of forming the modified layer and removing the modified layer, the selective ALE process described herein may be used to gradually reduce a height of the one or more features until a desired planarization of the one or more features is achieved. In one embodiment, height differential between the higher and lower regions of the one or more features is decreased by 25% to achieve a desired planarization. In another embodiment, the height differential is decreased by 50% or more. Thus, a planarization technique is provided in which a spatial atomic processing system is utilized to provide an ALE process which planarizes (or improves the planarity of) a patterned substrate. As the height of the higher features is reduced, the area of the ALE chemistry is increased, which will reduce the etch as the features are planarized. In this manner, the selective ALE process described herein achieves planarization of a patterned substrate without the physical damaging effects of conventional CMP techniques, and thus, may be a desirable alternative to CMP or used in conjunction with CMP to reduce the damaging effects of CMP. In one embodiment, a step on the substrate may be planarized by at least twenty-five percent, in another embodiment by fifty percent, and in still another embodiment by seventy-five percent or more.
  • FIG. 1 illustrates one example of a spatial atomic layer processing system that may be used to planarize a patterned substrate. More specifically, FIG. 1 depicts one embodiment of a spatial atomic layer processing system that may be used to gradually reduce a height of one or more features formed on a patterned substrate using the selective ALE process described herein. It is recognized, however, that the system shown in FIG. 1 is merely one example system in which the techniques described herein may be utilized. Other spatial atomic layer processing systems may also be used to perform the techniques described herein.
  • FIG. 1 provides a top-down view of a spatial atomic layer processing system 100 as seen inside a process chamber 105 of the spatial atomic layer processing system 100. As shown in FIG. 1 , a rotating platen 110 is provided within the process chamber 105 for holding one or more substrates 115. Each of the substrates 115 may be arranged on a susceptor (not shown), which supplies heat to the substrate. A number of processing sections and purge sources may also be provided within the process chamber 105 and located above the rotating platen 110 for providing various gases to the substrate. Gas outlet pumping ports 140 may also be provided.
  • In the example embodiment shown in FIG. 1 , spatial atomic layer processing system 100 includes three process sections: a precursor adsorption section 120, an optional processing section 124 and a plasma treatment section 128. The precursor adsorption section 120, optional processing section 124 and plasma treatment section 128 are separated by inert gas areas, where the inert gas is introduced by purge sources 130. The inert gases injected by purge sources 130 and the separate exhaust configuration provided by gas outlet pumping ports 140 enable fast rotation speeds of the system without detrimental effects of precursors and reactants mixing.
  • While some embodiments of the selective ALE process may utilize all three process sections, other embodiments may not. In some embodiments, for example, the selective ALE process may use the precursor adsorption section 120 to form a modified layer on a patterned substrate and may use the plasma treatment section 128 to remove the modified layer. In other embodiments, the selective ALE process may use the optional processing section 124 to supply one or more gases (e.g., an oxygen containing gas, an inert gas or a precursor gas) to the patterned substrate after forming the modified layer within the precursor adsorption section 120 and prior to removing the modified layer within the plasma treatment section 128. Though the embodiment of FIG. 1 uses a plasma treatment section 128 for the etch step of the ALE process, it will be recognized that non-plasma techniques may also be utilized for the etch step as the particular atomic layer processing system 100 shown in FIG. 1 is merely exemplary.
  • Precursor adsorption section 120 may be generally configured to provide a first precursor gas to the one or more substrates 115. When a substrate is rotated under the precursor adsorption section 120, a surface of the substrate is exposed to the first precursor gas, which adsorbs on and reacts with the surface material to produce a modified layer. The precursor adsorption section 120 may provide the first precursor gas to the substrate in a variety of different ways. In the illustrated embodiment, the precursor adsorption section 120 includes a showerhead 121 and a precursor gas injector 122 for injecting the first precursor gas into a process space arranged above the substrates 115. The showerhead 121 and the precursor gas injector 122 may be configured in any known manner.
  • The precursor adsorption section 120 may be configured to provide a wide variety of precursor gases to the substrates 115. In some embodiments, for example, the first precursor gas may be a metal containing precursor gas, such as for example, but not limited to, trimethylaluminum (TMA), titanium tetrachloride (TiCl4—), Bis-t-butylaminosilane (BTBAS), Tetrakis(dimethylamino)Titanium (TDMAT), Tris(Dimethylamino)silane (3DMAS), TetrakisenhtylmethylaminoHafnium (TEMAHf), etc. It is recognized, however, that the first precursor gas is not strictly limited to metal containing precursor gases and may include other precursor gases commonly used in semiconductor processing. For example, the precursor adsorption section 120 may alternatively provide any of a wide range of gases including but not limited to BCl3, O2, Ar, HF, Cl2, CF4, He, NF3, H2, C4F8, CH3F, CHF3, SF6, O3, C3H3F3, HCl, NH4OH, TiCl4, SiCl4, CF4/O2, and/or F2/He, etc.
  • Plasma treatment section 128 is provided for generating a plasma, which can be used to remove (or etch) the modified layer. Plasma may be generated by supplying one or more process gases to the plasma treatment section 128 while power is applied to one or more electrodes disposed above and/or below a substrate rotated under the plasma treatment section 128. In one example embodiment, a source voltage can be applied to an upper electrode arranged above a substrate, and a bias voltage can be applied to a lower electrode arranged below the substrate to generate a high-frequency electric field between the upper and lower electrodes. The high-frequency electric field dissociates and converts the one or more process gases supplied to the plasma treatment section 128 into a plasma, which can be used in various types of treatments such as, but not limited to, plasma etching, deposition and/or sputtering.
  • In the embodiments disclosed herein, the plasma generated within the plasma treatment section 128 is used to remove (or etch) the modified layer, typically one monolayer at a time. When a substrate is rotated under the plasma treatment section 128, a surface of the substrate exposed to the plasma is bombarded with ions to remove the modified layer. As known in the art, the bias voltage may be selected or adjusted to control the ion bombardment energy, and thus, control the depth to which the modified layer is removed.
  • Various plasma etch chemistries may be used within the plasma treatment section 128 to remove the modified layer. For example, Ar plasmas, He plasmas, thermal desorption, or plasma chemistries including a wide range of gases including but not limited to BCl3, O2, Ar, HF, Cl2, CF4, He, NF3, H2, C4F8, CH3F, CHF3, SF6, O3, C3H3F3, HCl, NH4OH, TiCl4, SiCl4, etc., may be used all as is well-known in the art.
  • In some embodiments, the optional processing section 124 may be used to provide one or more gases (e.g., an oxygen containing gas, an inert gas or a second precursor gas) to the substrates 115 before the modified layer is removed within the plasma treatment section 128. The optional processing section 124 may provide the one or more gases to the substrate in a variety of different ways. In the illustrated embodiment, the optional processing section 124 includes a showerhead 125 and a gas injector 126 for injecting the gas(es) into a process space arranged above the substrates 115. The showerhead 125 and the gas injector 126 may be configured in any known manner.
  • The optional processing section 124 may be configured to provide a wide variety of gases to the substrates 115. In some embodiments, the optional processing section 124 may provide an oxygen containing gas, such as for example, oxygen (O2), ozone (O3), etc., to the substrates. When a substrate is rotated under the optional processing section 124 and an oxygen containing gas is provided, a surface of the substrate may be exposed to the oxygen containing gas to oxidize the modified layer. In some embodiments, the oxidation may be used, or may assist, in removing the modified layer. It is recognized, however, that the optional processing section 124 is not strictly limited to providing oxygen containing gases and may be used in other embodiments to provide other gases to the substrate surface. For example, the optional processing section 124 may be alternatively used to expose a surface of the substrate to an inert gas (e.g., argon, nitrogen, or another inert gas) or a second precursor gas.
  • As the rotating platen 110 rotates (as indicated by the arrows), the one or more of substrates 115 are moved in sequence under the precursor adsorption section 120, the optional processing section 124 and the plasma treatment section 128 to perform one or more cycles of the selective atomic layer etch (ALE) process described herein. Purge sources 130 provide a gas purge (e.g., an argon, nitrogen, or other inert gas purge) after the substrates 115 rotate past the precursor adsorption section 120 and the plasma treatment section 128 to prevent gas mixing. Although not shown in FIG. 1 , a controller may be provided for controlling various operating parameters of the spatial atomic layer processing system 100 including, for example, temperatures, gas flows, pressures, rotation speeds, number of cycles, etc.
  • The selective ALE process described herein may be utilized for planarizing a patterned substrate in a spatial atomic layer processing system, such as but not limited to the spatial atomic layer processing system 100 shown in FIG. 1 and described above. In the selective ALE process described herein, the rotation of the rotating platen 110 and the substrates 115 may be repeated for a number of cycles needed to achieve a desired amount of planarization. In some embodiments, a complete ALE cycle including layer modification and removal steps may be performed each rotation of the rotating platen 110. If the removal step requires more time than provided by the high rotational speed of the rotating platen 110, the layer modification and removal steps may be separated, such that one rotation of the rotating platen 110 provides layer modification, while separate, additional rotation(s) are used to remove the modified layer.
  • In some embodiments of the selective ALE process described herein, a patterned substrate comprising one or more features may be provided on a rotating platen of a spatial atomic layer processing system, and a modified layer may be preferentially formed on higher regions of the one or more features by exposing a surface of the patterned substrate to a first precursor gas while the rotating platen spins at a high rotational speed. The fast rotation speed reduces the exposure time to the features, which effectively creates a depletion condition such that the precursor gas does not have time to diffuse below the highest surfaces of the patterned substrate. The first precursor gas adsorbs on and reacts with a surface material of the patterned substrate to produce the modified layer. When the modified layer is subsequently removed (e.g., in a subsequent plasma removal step), the higher regions are preferentially etched to lessen the height differential between the higher and lower regions of the one or more features. By repeating the steps of forming the modified layer and removing the modified layer, the selective ALE process described herein may be used to gradually reduce a height of the one or more features until a desired planarization of the one or more features is achieved.
  • Spinning the rotating platen at high rotational speed aids in the modified layer being preferentially formed on the higher regions of the one or more features as compared to the lower regions of the one or more features. More specifically, spinning the rotating platen at a rotational speed greater than, for example, 30 RPM for some ALE chemistries causes the modified layer to be preferentially formed on the one or more features, such that a thickness of the modified layer is greater on the higher regions, than on the lower regions of the one or more features. The relationship between layer thickness and rotational speed is illustrated in FIGS. 2-4 . It will be recognized, however, that rotational speeds may be dependent upon the particular chemistries utilized in the ALE process.
  • FIG. 2 provides a cross-sectional view of a patterned substrate 200 comprising one or more features 210 formed on a substrate 205. The patterned substrate 200 may be provided on a rotating platen of a spatial atomic layer processing system, as discussed above in reference to FIG. 1 . In the embodiment shown in FIG. 2 , a modified layer 215 is formed on the patterned substrate 200 by exposing a surface of the patterned substrate 200 to a first precursor gas while the rotating platen spins at a relatively low rotational speed. The first precursor gas adsorbs onto and reacts with the surface of the patterned substrate 200 to form the modified layer 215. When formed at low rotational speeds, the thickness of the modified layer 215 is substantially equal on the top (Ttop), bottom (Tbottom) and sides (Tside) of the one or more features 210. When the modified layer 215 is subsequently removed (e.g., in a subsequent plasma removal step), the higher regions and lower regions of the one or more features 210 (or the substrate 205) may be etched somewhat equally. Therefore, rotating the platen at low rotational speed fails to provide the desired planarization.
  • FIG. 3 provides a cross-sectional view of a patterned substrate 300 comprising one or more features 310 formed on a substrate 305. The patterned substrate 200 may be provided on a rotating platen of a spatial atomic layer processing system, as discussed above in reference to FIG. 1 . In the embodiment shown in FIG. 3 , a modified layer 315 is formed on the patterned substrate 300 by exposing a surface of the patterned substrate 300 to a first precursor gas while the rotating platen spins at a relatively high rotational speed (e.g., for some ALE chemistries, a rotational speed greater than 30 RPM). The first precursor gas adsorbs onto and reacts with the surface of the patterned substrate 300 to form the modified layer 315. When formed at high rotational speeds, the thickness of the modified layer 315 is greater on the top (Ttop) than on the bottom (Tbottom) and sides (Tside) of the one or more features 310. This is desirable, as it would enable the tops of the features 210 to be preferentially etched when the modified layer 215 is subsequently removed.
  • To achieve preferential formation of the modified layer 315 on the top of the features, the rotating platen may generally be rotated at a high rotational speed. In some embodiments, the rotating speed of the rotating platen may be selected from a range between 30 RPM and 240 RPM. In other embodiments, the rotating speed of the rotating platen may be selected from a broader range between 100 RPM and 6,000 RPM. In one example embodiment, a rotational speed greater than 200 RPM may be used to preferentially form the modified layer 315 on the higher regions (e.g., the top and/or upper sides) of the features. However, the rotational speed needed to achieve preferential formation of the modified layer 315 on the higher regions may generally depend on the precursor gas chemistry used to form the modified layer.
  • FIG. 4 is a graph comparing a thickness (in angstroms) of an exemplary modified layer (e.g., aluminum oxide (Al2O3)) that may be formed on the top of the features over time (seconds) when a rotating platen of a spatial atomic layer processing system is spun at 30 RPM and 240 RPM. As shown in FIG. 4 , the thickness of the modified layer formed on the top of the features increases much faster when the rotating platen is spun at 240 RPM vs 30 RPM. It will be recognized that Al2O3 is exemplary and other modified layers may be formed.
  • FIGS. 5A-5E illustrate one embodiment of a process flow for planarizing a patterned substrate 400 using the selective ALE process described herein. As shown in FIG. 5A, the patterned substrate 400 may include one or more features 410 formed on a substrate 405. The features 410 shown in FIGS. 5A-5E may represent any features or structures on a substrate (e.g., a semiconductor wafer) for which planarization may be desirable. In some embodiments, the height of the one or more features 410 may be substantially equal, or may vary across the patterned substrate 400. As shown in FIGS. 5B-5E, the patterned substrate 400 may be planarized by performing one or more cycles of the selective ALE process described herein to gradually reduce the height of the one or more features 410.
  • In some embodiments, the selective ALE process described herein may begin by providing the patterned substrate 400 shown in FIG. 5A on a rotating platen of a spatial atomic layer processing system. In FIG. 5B, a modified layer 415 is formed on the patterned substrate 400 by exposing a surface of the patterned substrate 400 to a precursor gas while the rotating platen spins at a relatively high rotational speed. The precursor gas adsorbs onto and reacts with the surface of the patterned substrate 400 to form the modified layer 415. The rotational speed of the platen and the precursor gas chemistry may be selected, so that the modified layer 415 is formed primarily on the top 412 and/or on the upper sides 414 of the one or more features 410.
  • In FIG. 5C, the modified layer 415 is removed to reduce the height of the one or more features 410. In some embodiments, a surface of the patterned substrate 400 may be exposed to a plasma to remove the modified layer 415 via plasma atomic layer etching.
  • In FIG. 5D, another modified layer 425 is formed on the patterned substrate 400 by exposing a surface of the patterned substrate 400 to a precursor gas while the rotating platen spins at a relatively high rotational speed. The precursor gas adsorbs onto and reacts with the surface of the patterned substrate 400 to form the modified layer 425. As noted above, the rotational speed of the platen and the precursor gas chemistry may be selected, so that the modified layer 425 is formed primarily on the top 412 and/or on the upper sides 414 of the one or more features 410.
  • In some embodiments, the rotational speed of the platen may be the same when forming modified layer 415 and modified layer 425. In other embodiments, the rotational speed of the platen may be adjusted when forming one or more of the modified layers. For example, the rotational speed of the platen may be increased when forming modified layer 425 compared to the rotational speed used to form modified layer 415. By varying the rotational speed of the platen as additional modified layers are formed, the selective ALE process described herein may be used to vary the preferential formation of the modified layer on the top and/or on upper sides of the features.
  • In FIG. 5E, the modified layer 425 is again removed to further reduce the height of the one or more features 410. In some embodiments, a surface of the patterned substrate 400 may be exposed to a plasma to remove the modified layer 425 via plasma atomic layer etching.
  • The layer modification (FIGS. 5B and 5D) and removal (FIGS. 5C and 5E) steps may be repeated for a number of cycles until a desired planarization is achieved. In some embodiments, a layer modification step and a removal step may be performed for each rotation of the rotating platen. In other embodiments, the layer modification and removal steps may be separated, such that layer modification is performed during one rotation of the platen, while separate, additional rotation(s) are used to remove the modified layer. A configuration is also possible in which multiple modification layers and removal steps are processed for each rotation if multiple segments are designed in the chamber.
  • FIGS. 6A-6E illustrate another embodiment of a process flow for planarizing a patterned substrate 500 using the selective ALE process described herein. As shown in FIG. 6A, the patterned substrate 500 may include one or more features 510 formed on a substrate 505. The features 510 shown in FIGS. 6A-6E may represent any features or structures on a substrate (e.g., a semiconductor wafer) for which planarization may be desirable. In some embodiments, the one or more features 510 may have higher regions 512 and lower regions 514, and the patterned substrate 500 may be planarized by performing one or more cycles the selective ALE process described herein to gradually reduce a height differential between the higher regions 512 and the lower regions 514.
  • In some embodiments, the selective ALE process described herein may begin by providing the patterned substrate 500 on a rotating platen of a spatial atomic layer processing system. In FIG. 6B, a modified layer 515 is formed on the patterned substrate 500 by exposing a surface of the patterned substrate 500 to a precursor gas while the rotating platen spins at a relatively high rotational speed. The precursor gas adsorbs onto and reacts with the surface of the patterned substrate 500 to form the modified layer 515. The rotational speed of the platen and the precursor gas chemistry may be selected, so that the modified layer 515 is preferentially formed on the higher regions 512 of the one or more features 510 as compared to the lower regions 514 of the one or more features 510. As shown in FIG. 6B, for example, the modified layer 515 may be preferentially formed, such that a thickness of the modified layer 515 is greater on the higher regions 512 than on the lower regions 514 of the one or more features 510.
  • In FIG. 6C, the modified layer 515 is removed to reduce or lessen the height differential between the higher regions 512 and the lower regions 514 of the one or more features 510. In some embodiments, a surface of the patterned substrate 500 may be exposed to a plasma to remove the modified layer 515 via plasma atomic layer etching.
  • In FIG. 6D, another modified layer 525 is formed on the patterned substrate 500 by exposing a surface of the patterned substrate 500 to a precursor gas while the rotating platen spins at a relatively high rotational speed. The precursor gas adsorbs onto and reacts with the surface of the patterned substrate 500 to form the modified layer 525. As noted above, the rotational speed of the platen and the precursor gas chemistry may be selected, so that the modified layer 525 is preferentially formed on the higher regions 512 of the one or more features 510 as compared to the lower regions 514 of the one or more features 510. As shown in FIG. 6D, for example, the modified layer 525 may be preferentially formed, such that a thickness of the modified layer 525 is greater on the higher regions 512 than on the lower regions 514 of the one or more features 510.
  • In some embodiments, the rotational speed of the platen may be the same when forming modified layer 515 and modified layer 525. In other embodiments, the rotational speed of the platen may be adjusted when forming one or more of the modified layers. For example, the rotational speed of the platen may be increased when forming modified layer 525 compared to the rotational speed used to form modified layer 515. By gradually increasing the rotational speed of the platen as additional modified layers are formed, the selective ALE process described herein may be used to vary the preferential formation of the modified layer on the top and/or on upper sides of the features.
  • In FIG. 6E, the modified layer 525 is again removed to further reduce or lessen the height differential between the higher regions 512 and the lower regions 514 of the one or more features 510. In some embodiments, a surface of the patterned substrate 500 may be exposed to a plasma to remove the modified layer 525 via plasma atomic layer etching.
  • The layer modification (FIGS. 6B and 6D) and removal (FIGS. 6C and 6E) steps may be repeated for a number of cycles until a desired planarization is achieved. In some embodiments, a layer modification step and a removal step may be performed for each rotation of the rotating platen. In other embodiments, the layer modification and removal steps may be separated, such that layer modification is performed during one rotation of the platen, while separate, additional rotation(s) are used to remove the modified layer.
  • Thus, as shown in and described with reference to FIGS. 5A-5E and 6A-6E, a selective ALE process may be utilized to planarize a patterned substrate. The amount of planarization may vary in accordance with the ALE chemistries utilized and the number of cycles of the process. In one embodiment, the selective ALE process described herein may be used to gradually reduce a height of one or more features until a desired planarization of the one or more features is achieved. Thus, a planarization technique is provided in which a spatial atomic processing system is utilized to provide an ALE process which planarizes the substrate. In one embodiment, height differentials are decreased by 25%. In another embodiment, height differentials are decreased by 50% or more. In still other embodiments, height differentials may be nearly completely removed.
  • The techniques described herein may be utilized to improve the planarity in whole or in part a wide range of types of features. Thus, it will be recognized that the physical arrangement and geometries of the features 410 and features 510 discussed above are merely exemplary and other features may advantageously take advantage of use of the techniques described herein. Further, the features may be formed of any of a wide range of materials that are utilized in the substrate processing art. In one example, the features may be formed of oxide. In one particular example, the features may be formed of silicon oxide. Still in other examples, the features may be formed of silicon, silicon nitride, aluminum oxide, titanium oxide, and/or hafnium oxide. Other materials may also be utilized however. Further, the features may be formed by a combination of materials and or structures so the features need not be homogenous, but rather could be for example, formed by multiple layers of differing materials.
  • It will be recognized that, although the rotational speed of the rotating platen is disclosed above as a key variable, which can be used and/or controlled to improve the planarity of a patterned substrate, other variables or combinations of variables can also be used to improve planarity. As non-limiting examples, the techniques described herein may improve planarity of a patterned substrate by controlling one or more of the following variables or process conditions: the rotational speed (e.g., RPM) of the rotating platen, the chemistry of various gases used to implement the selective ALE process (e.g., precursor gases, etching gases or ratios of gases), the duration of the layer modification step and/or duration of the removal step, the number of cycles used to achieve the desired planarity, and other features of the spatial atomic layer processing system (such as, e.g., temperature, pressure, vacuum, etc.). In addition to those noted above, other variables and/or process conditions may be selected and/or controlled to improve the planarity of a patterned substrate. For example, various plasma parameters, such as the concentration of one or more gases supplied to the substrate surface, the gap or distance between the plasma source and the substrate surface, the source power and/or the bias power may be selected to achieve a desired planarization. Other processing parameters, such as ozone density, inert gas dilution, gas ratios and/or gas injector designs may also be chosen to achieve a desired planarization.
  • In some embodiments, the spatial atomic layer processing system 100 shown in FIG. 1 may be utilized to provide a desired planarization, or otherwise improve the planarity of, a patterned substrate. Although the spatial atomic layer processing system 100 shown in FIG. 1 is provided as an example processing system in which the techniques described herein may be utilized, the disclosed techniques are not limited to the system illustrated in FIG. 1 . In some embodiments, one or more components or features may be added or removed from the spatial atomic layer processing system 100 shown in FIG. 1 . In other embodiments, a more sophisticated processing system using automated recipe databases, input from other automated system, etc., may be used to implement the techniques described herein.
  • FIG. 7 illustrates another embodiment of a spatial atomic layer processing system 600 that may be used to planarize a patterned substrate. As shown in FIG. 7 , the spatial atomic layer processing system 600 may generally include a process chamber 605, one or more sensors 610 and a controller 615. In some embodiments, the process chamber 605 may be similar to the process chamber 105 shown in FIG. 1 , and may include a rotating platen and a number of processing sections (e.g., a precursor adsorption section 120, an optional processing section 124 and a plasma treatment section 128), purge sources and gas outlet pumping ports. However, the process chamber 605 is not restricted to the process chamber 105 shown and described in FIG. 1 and may be configured differently in other embodiments.
  • In the spatial atomic layer processing system 600 shown in FIG. 6 , sensor(s) 610 and controller 615 are provided for monitoring various parameters and automatically adjusting one or more variables and/or process conditions of the system or process described herein to achieve a planarity objective. In some embodiments, the planarity objective may be a desired planarization or a desired reduction in the height differential between the higher regions and the lower regions of the features on the patterned substrate. In some embodiments, the planarity objective may include a target throughput number of substrates per hour.
  • Sensor(s) 610 may be coupled to and/or located within process chamber 605 for monitoring various parameters of the substrate, the system 600 and/or the selective ALE process described herein. Sensor(s) 610 may include various types of sensors including, but not limited to, optical sensors (such as cameras, lasers, light, reflectometer, spectrometers, etc.), capacitive sensors, ultrasonic sensors, gas sensors, or other sensors that may monitor a condition of the substrate and/or the system 600. In one example embodiment, one or more optical sensors may be used to measure the height differential of the higher regions and the lower regions in-situ of the process chamber 105. In another example embodiment, a spectrometer may be used to measure a film thickness of one or more layers provided on the patterned substrate. In yet another embodiment, a residual gas analyzer (RGA) may be used to detect precursor breakdown for real-time chemical reaction completion detection.
  • Controller 615 is coupled for receiving data from sensor(s) 610 and configured for controlling one or more process parameters of the process chamber 605 based on the sensor data. In some embodiments, controller 615 may be configured to analyze the data collected by the sensor(s) 610 and provide feedback to control various process parameters of components of process chamber 605. In some embodiments, controller 615 may use or analyze the sensor data to determine when to end one or more steps of the selective ALE process described herein. For example, controller 615 may receive data from a residual gas analyzer to detect an endpoint of the layer modification step. In another example, controller 615 may utilize spectroscopic ellipsometry to detect an average film thickness on the substrate during rotation and provide an indication of film thickness change during the selective ALE process. In some embodiments, controller 615 may automatically end the selective ALE process when a planarity objective is achieved, such as when a desired planarization or a desired reduction in the height differential between the higher and lower regions of the features is achieved. In some embodiments, controller 615 may automatically adjust one or more parameters during the process to achieve the planarity objective. The sensor data and the controller may also be utilized to achieve a desired substrate throughput objective. Further, the sensor data and the controller may be utilized to achieve a desired planarity objective along with a desired substrate throughput objective or alternative balance the various objectives.
  • It is noted that the controller(s) 615 described herein can be implemented in a wide variety of manners. In one example, the controller may be a computer. In another example, controller 615 may include one or more programmable integrated circuits that are programmed to provide the functionality described herein. For example, one or more processors (e.g., microprocessor, microcontroller, central processing unit, etc.), programmable logic devices (e.g., complex programmable logic device (CPLD)), field programmable gate array (FPGA), etc.), and/or other programmable integrated circuits can be programmed with software or other programming instructions to implement the functionality described herein for controller 94. It is further noted that the software or other programming instructions can be stored in one or more non-transitory computer-readable mediums (e.g., memory storage devices, flash memory, dynamic random access memory (DRAM), reprogrammable storage devices, hard drives, floppy disks, DVDs, CD-ROMs, etc.), and the software or other programming instructions when executed by the programmable integrated circuits cause the programmable integrated circuits to perform the processes, functions, and/or capabilities described herein. Other variations could also be implemented.
  • FIGS. 8-9 illustrate embodiments of methods that utilize the techniques described herein. It will be recognized that the embodiments shown in FIGS. 8-9 are merely exemplary and additional methods may utilize the techniques described herein. Further, additional processing steps may be added to the methods shown in FIGS. 8-9 as the steps described are not intended to be exclusive. Moreover, the order of the steps is not limited to the order shown in the figures as different orders may occur and/or various steps may be performed in combination or at the same time.
  • FIG. 8 illustrates one embodiment of a method 700 for planarizing a patterned substrate in a spatial atomic layer processing system. In step 710, the method 700 provides at least a first layer as part of the patterned substrate. In some embodiments, the first layer may comprise at least a portion of one or more features formed on the patterned substrate. In some embodiments, the one or more features may have higher regions and lower regions, such that a height differential exists between the higher regions and the lower regions. Next, the method 700 may provide the patterned substrate on a rotating platen of the spatial atomic layer processing system (in step 720).
  • In step 730, the method 700 forms a modified layer on the first layer. At least one step of forming the modified layer on the first layer may include exposing a surface of the first layer to a first precursor gas, which adsorbs on and reacts with the surface of the first layer to produce the modified layer. Spinning the rotating platen at a higher rotational speeds aids in the modified layer being preferentially formed onto the higher regions of the one or more features, as compared to the lower regions of the one or more features.
  • In step 740, the method 700 removes the modified layer. By forming the modified layer on the first layer (in step 730) and subsequently removing the modified layer (in step 740), the method 700 preferentially etches the higher regions of the one or more features, as compared to the lower regions of the one or more features, so as to lessen the height differential between the higher regions of the one or more features and the lower regions of the one or more features (in step 750). In some embodiments, the method 700 may repeat the steps of forming the modified layer and removing the modified layer so as to further planarize the patterned structure in step 760.
  • FIG. 9 illustrates one embodiment of a method 800 for planarizing a patterned substrate in a spatial atomic layer processing system. In step 810, the method 800 provides the patterned substrate on a rotating platen of the spatial atomic layer processing system. As noted above, one or more features formed on the patterned substrate may have higher regions and lower regions. In step 820, the method 800 spins the rotating platen. In step 830, the method 8700 exposes a surface of the patterned substrate to a precursor gas to form a modified layer on the patterned substrate. In method 800, the rotational speed of the rotating platen causes the modified layer to be preferentially formed on the higher regions of the one or more features.
  • In step 840, the method 800 removes the modified layer so that the higher regions of the one or more features are preferentially etched as compared to the lower regions of the one or more features. In step 850, the method 800 repeats the steps of exposing a surface of the patterned substrate to a precursor gas to form a modified layer and removing the modified layer to gradually reduce a height of the one or more features until a desired planarization of the one or more features is achieved. In some embodiments, the desired planarization may reduce the height differential between the higher regions and the lower regions by at least 25%.
  • It is noted that reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention, but do not denote that they are present in every embodiment. Thus, the appearances of the phrases “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments. Various additional layers and/or structures may be included and/or described features may be omitted in other embodiments.
  • The term “substrate” as used herein means and includes a base material or construction upon which materials are formed. It will be appreciated that the substrate may include a single material, a plurality of layers of different materials, a layer or layers having regions of different materials or different structures in them, etc. These materials may include semiconductors, insulators, conductors, or combinations thereof. For example, the substrate may be a semiconductor substrate, a base semiconductor layer on a supporting structure, a metal electrode or a semiconductor substrate having one or more layers, structures or regions formed thereon. The substrate may be a conventional silicon substrate or other bulk substrate comprising a layer of semi-conductive material. As used herein, the term “bulk substrate” means and includes not only silicon wafers, but also silicon-on-insulator (“SOI”) substrates, such as silicon-on-sapphire (“SOS”) substrates and silicon-on-glass (“SOG”) substrates, epitaxial layers of silicon on a base semiconductor foundation, and other semiconductor or optoelectronic materials, such as silicon-germanium, germanium, gallium arsenide, gallium nitride, and indium phosphide. The substrate may be doped or undoped.
  • Systems and methods for processing a substrate are described in various embodiments. The substrate may include any material portion or structure of a device, particularly a semiconductor or other electronics device, and may, for example, be a base substrate structure, such as a semiconductor substrate or a layer on or overlying a base substrate structure such as a thin film. Thus, substrate is not intended to be limited to any particular base structure, underlying layer or overlying layer, patterned or unpatterned, but rather, is contemplated to include any such layer or base structure, and any combination of layers and/or base structures.
  • One skilled in the relevant art will recognize that the various embodiments may be practiced without one or more of the specific details, or with other replacement and/or additional methods, materials, or components. In other instances, well-known structures, materials, or operations are not shown or described in detail to avoid obscuring aspects of various embodiments of the invention. Similarly, for purposes of explanation, specific numbers, materials, and configurations are set forth in order to provide a thorough understanding of the invention. Nevertheless, the invention may be practiced without specific details. Furthermore, it is understood that the various embodiments shown in the figures are illustrative representations and are not necessarily drawn to scale.
  • Further modifications and alternative embodiments of the described systems and methods will be apparent to those skilled in the art in view of this description. It will be recognized, therefore, that the described systems and methods are not limited by these example arrangements. It is to be understood that the forms of the systems and methods herein shown and described are to be taken as example embodiments. Various changes may be made in the implementations. Thus, although the inventions are described herein with reference to specific embodiments, various modifications and changes can be made without departing from the scope of the present inventions. Accordingly, the specification and figures are to be regarded in an illustrative rather than a restrictive sense, and such modifications are intended to be included within the scope of the present inventions. Further, any benefits, advantages, or solutions to problems that are described herein with regard to specific embodiments are not intended to be construed as a critical, required, or essential feature or element of any or all the claims.

Claims (20)

What is claimed is:
1. An atomic layer processing system configured to planarize a patterned substrate, comprising:
a spatial atomic layer processing chamber comprising a rotating platen;
a sensor that provides sensor data related to a property of the patterned substrate and/or a reaction in the spatial atomic layer processing chamber; and
a controller, the controller coupled to the sensor to receive the sensor data, the controller configured to utilize the sensor data to adjust at least one operating parameter of the atomic layer processing system so as to achieve a desired amount of planarization of the patterned substrate.
2. The atomic layer processing system of claim 1, wherein the operating parameter is at least one of a rotational speed, a temperature, a gas flow, a gas ratio, a pressure, a vacuum level, or a number of cycles.
3. The atomic layer processing system of claim 1, wherein the chamber is configured to provide or receive a patterned substrate with a first layer having at least a first portion on an upper surface, a second portion on a lower surface and a sidewall between the upper and lower surface.
4. The atomic layer processing system of claim 3, wherein the chamber is configured to expose the first layer to a first precursor gas to form a modified layer on the first layer such that the modified layer is preferentially formed on the upper surface as compared to the lower surface.
5. The atomic layer processing system of claim 4, wherein the chamber is configured to at least partially remove the modified layer after it is formed of the first layer, wherein the forming the modified layer on the first layer and the at least partially removing the modified layer preferentially etches the upper surface as compared to the lower surface so as to lessen a height of the first portion on the upper surface to a greater extent than a height of the second portion on the lower surface.
6. The atomic layer processing system of claim 5, wherein the sensor data is indicative of a thickness of at least one of the first or second portion of the first layer.
7. The atomic layer processing system of claim 6, wherein system is configured cyclically perform the forming the modified layer and the at least partial removing the modified layer over a number of cycles, and wherein the operating parameter includes the number of cycles.
8. The atomic layer processing system of claim 7, wherein the system is configured such that a rotational speed of the rotating platen causes enhanced deposition of a first precursor of the first precursor gas on the upper surfaces as compared to the lower surfaces.
9. The atomic layer processing system of claim 8, wherein the rotational speed of the rotating platen is adjustable during one or more of the forming or the removing of the modified layer.
10. The atomic layer processing system of claim 5, wherein chamber is configured to generate a plasma to at least partially remove the modified layer.
11. The atomic layer processing system of claim 1, wherein chamber is configured to accommodate more than one substrate.
12. The atomic layer processing system of claim 1, wherein the system is configured to planarize multiple patterned substrates at a time.
13. The atomic layer processing system of claim 1, wherein the system includes a precursor adsorption section and a plasma treatment section.
14. The atomic layer processing system of claim 13, wherein the precursor adsorption section and plasma treatment section are separated from each other by inert gas areas.
15. The atomic layer processing system of claim 13, wherein the system includes a processing section between the precursor adsorption section and the plasma treatment section.
16. The atomic layer processing system of claim 15, wherein the precursor adsorption section, the processing section and the plasma treatment section are separated from each other by inert gas areas.
17. The atomic layer processing system of claim 16, further comprising purge sources extending radially relative to the rotating platen in the inert gas area.
18. The atomic layer processing system of claim 17, further comprising one or more gas outlet pumping ports at the periphery of the rotating platen.
19. The atomic layer processing system of claim 18, wherein the one or more gas outlet pumping ports are provided adjacent at least one of the precursor adsorption section or the plasma treatment section.
20. The atomic layer processing system of claim 13, wherein the precursor adsorption section includes a showerhead and a precursor gas injector.
US18/380,776 2020-07-31 2023-10-17 Systems and methods for improving planarity using selective atomic layer etching (ale) Pending US20240047218A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US18/380,776 US20240047218A1 (en) 2020-07-31 2023-10-17 Systems and methods for improving planarity using selective atomic layer etching (ale)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/944,563 US11823910B2 (en) 2020-07-31 2020-07-31 Systems and methods for improving planarity using selective atomic layer etching (ALE)
US18/380,776 US20240047218A1 (en) 2020-07-31 2023-10-17 Systems and methods for improving planarity using selective atomic layer etching (ale)

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/944,563 Division US11823910B2 (en) 2020-07-31 2020-07-31 Systems and methods for improving planarity using selective atomic layer etching (ALE)

Publications (1)

Publication Number Publication Date
US20240047218A1 true US20240047218A1 (en) 2024-02-08

Family

ID=80003499

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/944,563 Active 2040-10-10 US11823910B2 (en) 2020-07-31 2020-07-31 Systems and methods for improving planarity using selective atomic layer etching (ALE)
US18/380,776 Pending US20240047218A1 (en) 2020-07-31 2023-10-17 Systems and methods for improving planarity using selective atomic layer etching (ale)

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/944,563 Active 2040-10-10 US11823910B2 (en) 2020-07-31 2020-07-31 Systems and methods for improving planarity using selective atomic layer etching (ALE)

Country Status (3)

Country Link
US (2) US11823910B2 (en)
JP (1) JP2022027701A (en)
KR (1) KR20220016002A (en)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4680084A (en) * 1984-08-21 1987-07-14 American Telephone And Telegraph Company, At&T Bell Laboratories Interferometric methods and apparatus for device fabrication
US5975912A (en) * 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US7314835B2 (en) * 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US8207060B2 (en) * 2007-12-18 2012-06-26 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
US10832909B2 (en) * 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US11087959B2 (en) * 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)

Also Published As

Publication number Publication date
US20220037162A1 (en) 2022-02-03
KR20220016002A (en) 2022-02-08
US11823910B2 (en) 2023-11-21
JP2022027701A (en) 2022-02-14

Similar Documents

Publication Publication Date Title
US10096487B2 (en) Atomic layer etching of tungsten and other metals
US20230143580A1 (en) Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US10304725B2 (en) Manufacturing methods to protect ULK materials from damage during etch processing to obtain desired features
TW201826386A (en) Removal methods for high aspect ratio structures
US20170345626A1 (en) Localized Process Control Using A Plasma System
US10720334B2 (en) Selective cyclic dry etching process of dielectric materials using plasma modification
KR20150013086A (en) Void free tungsten fill in different sized features
US11195723B1 (en) Non-atomic layer deposition (ALD) method of forming sidewall passivation layer during high aspect ratio carbon layer etch
US20210287909A1 (en) Integrated atomic layer passivation in tcp etch chamber and in-situ etch-alp method
TW201740431A (en) Method and apparatus for multi-film deposition and etching in a batch processing system
US10151034B2 (en) Substrate processing method including supplying a fluorine-containing gas on a surface of a substrate
US20230298896A1 (en) Metal-based liner protection for high aspect ratio plasma etch
KR20170052505A (en) Substrate treatment apparatus, substrate treatment method and substrate retainer member
KR20180071980A (en) Particle removal method and substrate processing method
US11823910B2 (en) Systems and methods for improving planarity using selective atomic layer etching (ALE)
WO2019241060A1 (en) Efficient cleaning and etching of high aspect ratio structures
US20230274939A1 (en) Atomic layer etching of a semiconductor, a metal, or a metal oxide with selectivity to a dielectric
US20210313513A1 (en) In-situ Encapsulation of Metal-Insulator-Metal (MIM) stacks for Resistive Random Access Memory (RERAM) Cells
US20220181141A1 (en) Etch stop layer
TW201903885A (en) Selective formation of silicon-containing spacer
TW201903966A (en) Self-aligned via process flow
US20230083577A1 (en) Recessed metal etching methods
US20230343598A1 (en) Method For Improving Etch Rate And Critical Dimension Uniformity When Etching High Aspect Ratio Features Within A Hard Mask Layer
US20230307242A1 (en) Method for etching for semiconductor fabrication
TWI798215B (en) Selective sidewall spacers

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION