US20230405976A1 - Glass dielectric layer with patterning - Google Patents

Glass dielectric layer with patterning Download PDF

Info

Publication number
US20230405976A1
US20230405976A1 US18/241,067 US202318241067A US2023405976A1 US 20230405976 A1 US20230405976 A1 US 20230405976A1 US 202318241067 A US202318241067 A US 202318241067A US 2023405976 A1 US2023405976 A1 US 2023405976A1
Authority
US
United States
Prior art keywords
layer
glass layer
glass
trace
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US18/241,067
Inventor
Jieying KONG
Gang Duan
Srinivas PIETAMBARAM
Patrick QUACH
Dilan Seneviratne
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US18/241,067 priority Critical patent/US20230405976A1/en
Publication of US20230405976A1 publication Critical patent/US20230405976A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/15Ceramic or glass substrates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/10165Functional features of the laminated safety glass or glazing
    • B32B17/10174Coatings of a metallic or dielectric material on a constituent layer of glass or polymer
    • B32B17/10183Coatings of a metallic or dielectric material on a constituent layer of glass or polymer being not continuous, e.g. in edge regions
    • B32B17/10192Coatings of a metallic or dielectric material on a constituent layer of glass or polymer being not continuous, e.g. in edge regions patterned in the form of columns or grids
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B15/00Layered products comprising a layer of metal
    • B32B15/20Layered products comprising a layer of metal comprising aluminium or copper
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B7/00Layered products characterised by the relation between layers; Layered products characterised by the relative orientation of features between layers, or by the relative values of a measurable parameter between layers, i.e. products comprising layers having different physical, chemical or physicochemical properties; Layered products characterised by the interconnection of layers
    • B32B7/04Interconnection of layers
    • B32B7/12Interconnection of layers using interposed adhesives or interposed materials with bonding properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/10009Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing characterized by the number, the constitution or treatment of glass sheets
    • B32B17/10128Treatment of at least one glass sheet
    • B32B17/10155Edge treatment or chamfering
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B17/00Layered products essentially comprising sheet glass, or glass, slag, or like fibres
    • B32B17/06Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material
    • B32B17/10Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin
    • B32B17/10005Layered products essentially comprising sheet glass, or glass, slag, or like fibres comprising glass as the main or only constituent of a layer, next to another layer of a specific material of synthetic resin laminated safety glass or glazing
    • B32B17/10165Functional features of the laminated safety glass or glazing
    • B32B17/10174Coatings of a metallic or dielectric material on a constituent layer of glass or polymer
    • B32B17/1022Metallic coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02371Disposition of the redistribution layers connecting the bonding area on a surface of the semiconductor or solid-state body with another surface of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0239Material of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/024Material of the insulating layers therebetween
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/8119Arrangement of the bump connectors prior to mounting
    • H01L2224/81192Arrangement of the bump connectors prior to mounting wherein the bump connectors are disposed only on another item or body to be connected to the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1515Shape
    • H01L2924/15153Shape the die mounting substrate comprising a recess for hosting the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/156Material
    • H01L2924/15786Material with a principal constituent of the material being a non metallic, non metalloid inorganic material
    • H01L2924/15788Glasses, e.g. amorphous oxides, nitrides or fluorides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect

Definitions

  • Embodiments of the present disclosure generally relate to the field of package assemblies, and in particular package assemblies that include dielectric layers.
  • FIGS. 1 A- 1 E illustrate an example of a package assembly using a glass dielectric layer with patterning at various stages of a manufacturing process, in accordance with embodiments.
  • FIG. 2 illustrates an example of a top-down view of a glass layer of a package that includes patterning, in accordance with embodiments.
  • FIG. 3 illustrates an example three-dimensional view of a package with two glass dielectric layers with patterning, in accordance with embodiments.
  • FIG. 4 illustrates an example of a package having an embedded silicon bridge die in glass with a redistribution layer (RDL) at a mid-level interconnect (MLI) side, in accordance with embodiments.
  • RDL redistribution layer
  • MLI mid-level interconnect
  • FIG. 5 illustrates an example of a package having an embedded silicon bridge die in glass with a RDL at a first level interconnect (FLI) side, in accordance with embodiments.
  • FIG. 6 illustrates an example of a package having a RDL on a glass layer implemented as an organic substrate hybrid architecture, in accordance with embodiments.
  • FIG. 7 illustrates an example of a process to create a glass dielectric layer with patterning within a package, in accordance with embodiments.
  • FIG. 8 schematically illustrates a computing device, in accordance with embodiments.
  • Embodiments of the present disclosure may generally relate to systems, apparatus, and/or processes directed to a manufacturing process flow for packages that include one or more glass layers that include patterning features, such as electrically conductive traces, RDLs, and vias within the packages.
  • a package may include a glass layer with a first side and a second side opposite the first side, where the glass layer is a dielectric layer.
  • the package may include another layer coupled with the first side of the glass layer, and a pattern on the second side of the glass layer to receive a deposited material in at least a portion of the pattern.
  • BP flip chip interconnection bump pitch
  • TAB thermal-compression bonding
  • EMIB® Embedded Multi-die Interconnect Bridge
  • Glass is known to have flatness benefit and its thickness variation can be below 2 micron within the die area.
  • Traditionally glass may be used as a patch to connect base substrate and dies, for example using a through glass via (TGV) or TGV with embedded bridge dies.
  • TGVs or TGVs with embedded bridge dies may be limited in legacy implementations with layer counts and design rules due to their incapability of fabricating multiple RDLs.
  • glass may be used as a temporary carrier to allow low thickness variation build up layers laminated and patterned above. However, the benefit of low thickness variation may be reduced after glass carrier is released.
  • one or more permanent glass layers with RDL capability may be implemented in organic non EMIB or EMIB architectures.
  • Embodiments are not limited to two architectures described above. Embodiments may include any substrate and packaging application requiring thickness variation control.
  • Embodiments described herein may be directed to apparatus, systems, and processes to create patterns, for example traces and vias at designated glass layers within a package, in order to use the patterns as RDL layers and through-glass vias to provide patterning and fan-out capability. These embodiments enable components of a package to maintain flatness benefit of glass.
  • substrates and packages that include glass with RDL capability as a part of its structure provide architecture design advantages. These advantages may be achieved by allowing flexible design rules to address substrate and assembly process yield issues.
  • phrase “A and/or B” means (A), (B), or (A and B).
  • phrase “A, B, and/or C” means (A), (B), (C), (A and B), (A and C), (B and C), or (A, B and C).
  • Coupled may mean one or more of the following. “Coupled” may mean that two or more elements are in direct physical or electrical contact. However, “coupled” may also mean that two or more elements indirectly contact each other, but yet still cooperate or interact with each other, and may mean that one or more other elements are coupled or connected between the elements that are said to be coupled with each other.
  • directly coupled may mean that two or more elements are in direct contact.
  • module may refer to, be part of, or include an ASIC, an electronic circuit, a processor (shared, dedicated, or group) and/or memory (shared, dedicated, or group) that execute one or more software or firmware programs, a combinational logic circuit, and/or other suitable components that provide the described functionality.
  • FIG. 1 may depict one or more layers of one or more package assemblies.
  • the layers depicted herein are depicted as examples of relative positions of the layers of the different package assemblies.
  • the layers are depicted for the purposes of explanation, and are not drawn to scale. Therefore, comparative sizes of layers should not be assumed from the Figures, and sizes, thicknesses, or dimensions may be assumed for some embodiments only where specifically indicated or discussed.
  • FIGS. 1 A- 1 E illustrate an example of a package assembly using a glass dielectric layer with patterning at various stages of a manufacturing process, in accordance with embodiments.
  • FIG. 1 A shows the package 100 a at a substrate stage where a glass layer 104 is coupled to a base substrate 102 .
  • the glass layer 104 may be laminated with a dielectric adhesive 106 , which may be used to adhere or laminate the glass layer 104 to the base substrate 102 .
  • the glass layer 104 may be 40 ⁇ m in thickness.
  • a thickness of the glass layer 104 may be 35 ⁇ m.
  • the adhesive 106 may include an Ajinomoto Build-up Film (ABF) or similar film.
  • ABSF Ajinomoto Build-up Film
  • the adhesive 106 may adhere permanently after curing.
  • the adhesive 106 may be a dielectric adhesive.
  • the thickness of the adhesive may be 5 ⁇ m.
  • the base substrate 102 may a substrate, a partially finished substrate, a package core, or some other package component.
  • the substrate 102 may be a carrier substrate that is later stripped away.
  • FIG. 1 B shows the package 100 b at a substrate stage where the glass layer 104 may be patterned with through-holes 108 .
  • this patterning may be performed using laser etching, dry etching, wet etching, or some combination thereof.
  • the through-holes 108 may extend through the dielectric adhesive 106 to and/or into the base substrate 102 .
  • the adhesive 106 may also be drilled or etched through.
  • additional laser drilling may be used to further open the through-holes 108 to the substrate 102 .
  • a desmear technique may subsequently be used to remove debris and to clean the through-hole 108 .
  • FIG. 1 C shows the package 100 c at a substrate stage where glass surface patterning 110 is applied to the glass layer 104 .
  • the glass surface patterning 110 may also be referred to as a surface trench pattern formation.
  • a laser etching, dry etching or chemical etching process may be used in conjunction with lithography patterning.
  • surface trench patterning may etch up to 15 ⁇ m deep within the glass 104 surface to receive embedded copper traces as described further below.
  • the glass surface patterning 110 may couple or overlap with through through-holes 108 .
  • the glass surface patterning 110 may be in various depths within the glass layer 104 , and may be in a layout pattern that matches surface routing traces and/or RDL patterns. Note: a top-down example embodiment view is shown in diagram 200 of FIG. 2 .
  • FIG. 1 D shows the package 100 d at a substrate stage where the patterning, including glass through-holes 108 , are at least partially filled with material to create a via 113 , and the surface patterning 110 is at least partially filled with material to create an RDL 112 .
  • the material may be an electrically conductive material such as copper, a copper alloy, or aluminum alloy.
  • the material may be placed using a sputtered titanium/copper seeding process by using a seed layer deposition. After the seed layer is formed, an elytic plating process may be used, and a lithography patterning process may be used to fill the through-holes 108 and surface patterning 110 . In embodiments, a plating process may be used.
  • the through-holes 108 may include solid material to create a solid via 113 , or in embodiments the material may be deposited around the edges of the through holes 108 using a sputtering process to create a hollow via 113 that is still electrically conductive.
  • the top of the glass layer 104 a may be planarized subsequent to the material fill described above to obtain a flat surface. This planarization is important because a subsequent glass sheet may not be compatible with topological morphology.
  • FIG. 1 E shows the package 100 e at a substrate stage where a second layer 114 is placed on the glass layer 104 .
  • the second layer 114 may include a second glass layer 118 that is coupled with the glass layer 104 using an adhesive 116 .
  • the second glass layer 118 may include patterning that is used to create vias 121 and RDL 120 using the techniques as described above.
  • the vias 121 and RDL 120 may use patterns that cause them, when filled with material, to be electrically coupled with the RDL 112 and vias 113 in glass layer 104 . In this way, multiple RDL layers 112 , 120 may be formed within the package.
  • FIG. 2 illustrates an example of a top-down view of a glass layer of a package that includes patterning, in accordance with embodiments.
  • Diagram 200 shows a top-down view of glass layer 204 , which may be similar to glass layer 104 of FIG. 1 A .
  • Through-glass vias 213 which may be similar to vias 113 of FIG. 1 D
  • traces 212 that may be similar to traces 112 of FIG. 1 D , are created in the glass layer 204 as shown.
  • the traces 212 may include pads 212 a that may be used for connections to adjacent layers of the package.
  • FIG. 3 illustrates an example three-dimensional view of a package with two glass dielectric layers with patterning, in accordance with embodiments.
  • Diagram 300 shows a three-dimensional view of two RDL layers that use glass as a dielectric.
  • Substrate 302 which may be similar to substrate 102 of FIG. 1 A , may be coupled using an adhesive 306 to a first glass layer 304 , which may be similar to adhesive 106 and glass layer 104 of FIG. 1 A .
  • Within the first glass layer 304 there may be a via 313 , and RDL 312 , which may be similar to via 113 and traces 112 (RDL) of FIG. 1 D .
  • RDL via 113 and traces 112
  • a second glass layer 318 and an adhesive 316 may be coupled with the first glass layer 304 .
  • the RDL 312 of the first glass layer 304 may be electrically coupled with a via 321 and an RDL 320 within the second glass layer 318 , which may be similar to via 121 and RDL 120 of FIG. 1 E .
  • the package 300 may be manufactured using one or more techniques as described above.
  • FIG. 4 illustrates an example of a package having an embedded silicon bridge die in glass with a RDL at a MLI side, in accordance with embodiments.
  • Diagram 400 shows an example of a multi-layer glass RDL implementation incorporated with an EMIB architecture.
  • An EMIB die 425 is coupled to an organic dielectric layer 422 and is electrically coupled from pad 428 through via 430 to an RDL 424 on top of an organic dielectric layer 422 .
  • the EMIB die 425 may be at least partially surrounded by a dielectric layer 426 or by some other material.
  • the RDL 424 is further electrically coupled using a series of vias 421 , which may be similar to vias 321 , 313 of FIG.
  • the pad 428 , via 430 , RDL 424 , and vias 421 may include copper or a copper alloy.
  • glass layers 418 b , 418 c below the EMIB die 425 provide insulation and rigidity support.
  • FIG. 5 illustrates an example of a package having an embedded silicon bridge die in glass with a RDL at a FLI side, in accordance with embodiments.
  • Diagram 500 shows another example of a multi-layer glass RDL implementation incorporated with an EMIB architecture.
  • EMIB die 525 may be electrically coupled from pad 528 through a series of vias 530 and intermediate RDLs 523 to the FLI RDL 524 , which may be similar to RDL 424 of FIG. 4 .
  • the FLI RDL 524 may then be electrically coupled to the bottom of the package 519 through vias 521 through various glass layers 518 a , 518 b , 518 c .
  • the pad 528 , via 530 , intermediate RDLs 523 , FLI RDL 524 , and vias 521 may include copper or a copper alloy.
  • a glass RDL technique may also be applied in a through-glass via patch both with and without die embedding, that may be similar to diagram 400 of FIG. 4 and diagram 500 of FIG. 5 .
  • FIG. 6 illustrates an example of a package having a RDL on a glass layer implemented as an organic substrate hybrid architecture, in accordance with embodiments.
  • Diagram 600 shows how a glass RDL technique may be applied selectively in a non-EMIB monolithic substrate. For example, hybrid glass RDL layers with organic substrates may be manufactured and glass layers may be inserted in any layer of the substrate.
  • Diagram 600 shows an example of two glass RDLs at two upmost layers below solder resist of a substrate that may provide low substrate thickness variation characteristics.
  • An organic portion 634 of the package 600 may be electrically coupled to a first glass layer 618 b that includes a via 613 to electrically couple with the organic portion 634 of the package 600 .
  • the via 613 may couple with a RDL 612 in the first layer 618 b , and electrically couple with a via 613 in a second glass layer 618 a .
  • the glass layers 618 a , 618 b are coupled with an adhesive layer 606 , which may also be referred to as a dielectric adhesive.
  • the via 613 electrically couples with an RDL layer 612 in the second glass layer 618 a that is then electrically coupled to a solder 632 which is embedded in another organic layer 630 .
  • organic layer 630 may be a solder resist material.
  • FIG. 7 illustrates an example of a process to create a glass dielectric layer with patterning within a package, in accordance with embodiments.
  • Process 700 may be performed by one or more elements, techniques, or systems that may be found with respect to FIGS. 1 A- 6 .
  • the process may include coupling a first side of a glass layer that has a second side opposite the first side to another layer.
  • the glass layer may be similar to glass layer 104 of FIGS. 1 A- 1 E , glass layer 204 of FIG. 2 , glass layer 304 of FIG. 3 , glass layers 418 a , 418 b , 418 c of FIG. 4 , glass layers 518 a , 518 b , 518 c of FIG. 5 , or glass layers 618 a , 618 b of FIG. 6 .
  • the other layer may be similar to substrate 102 or adhesive layer 106 of FIGS. 1 A- 1 E .
  • the process may further include patterning the second side of the glass layer to form a patterned structure.
  • the patterning process may include drilling, laser drilling, dry etching, or wet etching as described above.
  • the pattern structure may be similar to through-holes 108 and glass surface patterning 110 of FIGS. 1 B- 1 C .
  • the process may further include plating the second side of the glass layer with a material, wherein the material is included within at least a portion of the patterned structure.
  • the material may be a conductive material, such as copper or a copper alloy as described above.
  • the material plated within the pattern structure may take a form that is similar to RDL 112 , 120 or via 113 , 121 of FIGS. 1 D- 1 E , traces 212 , pad 212 a , or via 213 of FIG. 2 , RDL 312 , 320 or via 313 , 321 of FIG. 3 , via 421 of FIG. 4 , RDL 524 , 523 or via 521 of FIG. 5 , or RDL 612 or via 613 of FIG. 6 .
  • FIG. 8 schematically illustrates a computing device, in accordance with embodiments.
  • the computer system 800 (also referred to as the electronic system 800 ) as depicted can embody a glass dielectric layer with patterning, according to any of the several disclosed embodiments and their equivalents as set forth in this disclosure.
  • the computer system 800 may be a mobile device such as a netbook computer.
  • the computer system 800 may be a mobile device such as a wireless smart phone.
  • the computer system 800 may be a desktop computer.
  • the computer system 800 may be a hand-held reader.
  • the computer system 800 may be a server system.
  • the computer system 800 may be a supercomputer or high-performance computing system.
  • the electronic system 800 is a computer system that includes a system bus 820 to electrically couple the various components of the electronic system 800 .
  • the system bus 820 is a single bus or any combination of busses according to various embodiments.
  • the electronic system 800 includes a voltage source 830 that provides power to the integrated circuit 810 . In some embodiments, the voltage source 830 supplies current to the integrated circuit 810 through the system bus 820 .
  • the integrated circuit 810 is electrically coupled to the system bus 820 and includes any circuit, or combination of circuits according to an embodiment.
  • the integrated circuit 810 includes a processor 812 that can be of any type.
  • the processor 812 may mean any type of circuit such as, but not limited to, a microprocessor, a microcontroller, a graphics processor, a digital signal processor, or another processor.
  • the processor 812 includes, or is coupled with, a glass dielectric layer with patterning, as disclosed herein.
  • SRAM embodiments are found in memory caches of the processor.
  • circuits that can be included in the integrated circuit 810 are a custom circuit or an application-specific integrated circuit (ASIC), such as a communications circuit 814 for use in wireless devices such as cellular telephones, smart phones, pagers, portable computers, two-way radios, and similar electronic systems, or a communications circuit for servers.
  • ASIC application-specific integrated circuit
  • the integrated circuit 810 includes on-die memory 816 such as static random-access memory (SRAM).
  • the integrated circuit 810 includes embedded on-die memory 816 such as embedded dynamic random-access memory (eDRAM).
  • the integrated circuit 810 is complemented with a subsequent integrated circuit 811 .
  • Useful embodiments include a dual processor 813 and a dual communications circuit 815 and dual on-die memory 817 such as SRAM.
  • the dual integrated circuit 810 includes embedded on-die memory 817 such as eDRAM.
  • the electronic system 800 also includes an external memory 840 that in turn may include one or more memory elements suitable to the particular application, such as a main memory 842 in the form of RAM, one or more hard drives 844 , and/or one or more drives that handle removable media 846 , such as diskettes, compact disks (CDs), digital variable disks (DVDs), flash memory drives, and other removable media known in the art.
  • the external memory 840 may also be embedded memory 848 such as the first die in a die stack, according to an embodiment.
  • the electronic system 800 also includes a display device 850 , an audio output 860 .
  • the electronic system 800 includes an input device such as a controller 870 that may be a keyboard, mouse, trackball, game controller, microphone, voice-recognition device, or any other input device that inputs information into the electronic system 800 .
  • an input device 870 is a camera.
  • an input device 870 is a digital sound recorder.
  • an input device 870 is a camera and a digital sound recorder.
  • the integrated circuit 810 can be implemented in a number of different embodiments, including a package substrate having a glass dielectric layer with patterning, according to any of the several disclosed embodiments and their equivalents, an electronic system, a computer system, one or more methods of fabricating an integrated circuit, and one or more methods of fabricating an electronic assembly that includes a package substrate having a glass dielectric layer with patterning, according to any of the several disclosed embodiments as set forth herein in the various embodiments and their art-recognized equivalents.
  • the elements, materials, geometries, dimensions, and sequence of operations can all be varied to suit particular I/O coupling requirements including array contact count, array contact configuration for a microelectronic die embedded in a processor mounting substrate according to any of the several disclosed package substrates having a glass dielectric layer with patterning embodiments and their equivalents.
  • a foundation substrate may be included, as represented by the dashed line of FIG. 8 .
  • Passive devices may also be included, as is also depicted in FIG. 8 .
  • Example 1 may be a package comprising: a glass layer with a first side and a second side opposite the first side, wherein the glass layer is a dielectric layer; another layer coupled with the first side of the glass layer; and a pattern on the second side of the glass layer to receive a deposited material in at least a portion of the pattern.
  • Example 2 may include the package of example 1, wherein the pattern includes a trace etched in glass or a through-glass via.
  • Example 3 may include the package of example 2, wherein the trace etched in glass is to provide a redistribution layer (RDL).
  • RDL redistribution layer
  • Example 4 may include the package of example 3, wherein the RDL includes a fan out.
  • Example 5 may include the package of example 1, wherein the deposited material includes copper or a copper alloy, or wherein the deposited material includes a seed layer.
  • Example 6 may include the package of example 1 wherein the another layer is a selected one of a substrate, an adhesive layer, or another glass layer.
  • Example 7 may include the package of any one of examples 1-6, wherein the glass layer is a first glass layer, and the deposited material is a first deposited material; and further comprising: a second glass layer with a first side and a second side opposite the first side, wherein the first side of the second glass layer is coupled with the second side of the first glass layer; and a pattern on the second side of the glass layer to receive the second deposited material in at least a portion of the pattern.
  • Example 8 may include the package of example 7, wherein the another layer is a first another layer; and further comprising a second another layer between the first side of the second glass layer and the second side of the first glass layer.
  • Example 9 may include the package of example 7, further comprising the first deposited material and the second deposited material, wherein the first deposited material and the second deposited material are electrically conductive or are electrically coupled.
  • Example 10 may include the package of example 9, wherein the first deposited material and the second deposited material are different materials.
  • Example 11 may be a method comprising: coupling a first side of a glass layer that has a second side opposite the first side to another layer; patterning the second side of the glass layer to form a patterned structure; and plating the second side of the glass layer with a material, wherein the material is included within at least a portion of the patterned structure.
  • Example 12 may include the method of example 11, wherein patterning the second side of the glass layer further includes etching a trace in the second side of the glass layer or drilling a via through the glass layer.
  • Example 13 may include the method of example 12, wherein the etched trace is to provide a RDL or the via is to provide an electrical connection between the first side of the glass layer and the second side of the glass layer.
  • Example 14 may include the method of example 11, wherein the material includes copper or a copper alloy.
  • Example 15 may include the method of any one of examples 11-14, wherein the glass layer is a first glass layer, the deposited material is a first deposited material, and the patterned structure is a first patterned structure; and further comprising: coupling a first side of a second glass layer having the first side and a second side opposite the first side to a second side of the first glass layer; patterning the second side of the second glass layer to create a second patterned structure; and plating the second side of the second glass layer with a second deposited material, wherein the second deposited material is included within at least a portion of the second patterned structure.
  • Example 16 may include the method of example 15, wherein the another layer is a first dielectric layer; and wherein coupling a first side of a second glass layer having the first side and a second side opposite the first side to a second side of the first glass layer further comprises: coupling the first side of the second glass layer to a second dielectric layer; and coupling the second side of the first glass layer to the second dielectric layer.
  • Example 17 may include the method of example 11, further comprising, before coupling a first side of a glass layer to the dielectric layer, coupling the dielectric layer to a substrate.
  • Example 18 may be a system comprising: a circuit board; a package coupled with the circuit board, the package comprising: a glass layer with a first side and a second side opposite the first side; a dielectric layer coupled with the first side of the glass layer; a pattern on the second side of the glass layer; and deposited material in at least a portion of the pattern.
  • Example 19 may include the system of example 18, wherein the pattern includes a trace etched in glass to provide a RDL, a fan out, or a through glass via.
  • Example 20 may include the system of any one of examples 18-19, wherein the dielectric layer is coupled with a substrate.
  • Various embodiments may include any suitable combination of the above-described embodiments including alternative (or) embodiments of embodiments that are described in conjunctive form (and) above (e.g., the “and” may be “and/or”). Furthermore, some embodiments may include one or more articles of manufacture (e.g., non-transitory computer-readable media) having instructions, stored thereon, that when executed result in actions of any of the above-described embodiments. Moreover, some embodiments may include apparatuses or systems having any suitable means for carrying out the various operations of the above-described embodiments.

Abstract

Embodiments of the present disclosure may generally relate to systems, apparatus, and/or processes directed to a manufacturing process flow for packages that include one or more glass layers that include patterning features, such as electrically conductive traces, RDLs, and vias within the packages. In embodiments, a package may include a glass layer with a first side and a second side opposite the first side, where the glass layer is a dielectric layer. The package may include another layer coupled with the first side of the glass layer, and a pattern on the second side of the glass layer to receive a deposited material in at least a portion of the pattern.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 16/574,252, filed on Sep. 18, 2019, the entire contents of which is hereby incorporated by reference herein.
  • FIELD
  • Embodiments of the present disclosure generally relate to the field of package assemblies, and in particular package assemblies that include dielectric layers.
  • BACKGROUND
  • Continued reduction in end product size of mobile electronic devices such as smart phones and ultrabooks is a driving force for the development of reduced size system in package components.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1E illustrate an example of a package assembly using a glass dielectric layer with patterning at various stages of a manufacturing process, in accordance with embodiments.
  • FIG. 2 illustrates an example of a top-down view of a glass layer of a package that includes patterning, in accordance with embodiments.
  • FIG. 3 illustrates an example three-dimensional view of a package with two glass dielectric layers with patterning, in accordance with embodiments.
  • FIG. 4 illustrates an example of a package having an embedded silicon bridge die in glass with a redistribution layer (RDL) at a mid-level interconnect (MLI) side, in accordance with embodiments.
  • FIG. 5 illustrates an example of a package having an embedded silicon bridge die in glass with a RDL at a first level interconnect (FLI) side, in accordance with embodiments.
  • FIG. 6 illustrates an example of a package having a RDL on a glass layer implemented as an organic substrate hybrid architecture, in accordance with embodiments.
  • FIG. 7 illustrates an example of a process to create a glass dielectric layer with patterning within a package, in accordance with embodiments.
  • FIG. 8 schematically illustrates a computing device, in accordance with embodiments.
  • DETAILED DESCRIPTION
  • Embodiments of the present disclosure may generally relate to systems, apparatus, and/or processes directed to a manufacturing process flow for packages that include one or more glass layers that include patterning features, such as electrically conductive traces, RDLs, and vias within the packages. In embodiments, a package may include a glass layer with a first side and a second side opposite the first side, where the glass layer is a dielectric layer. The package may include another layer coupled with the first side of the glass layer, and a pattern on the second side of the glass layer to receive a deposited material in at least a portion of the pattern.
  • Requirements for higher speed and bandwidth for portable and high-performance applications have been driving flip chip interconnection bump pitch (BP) scaling down to sub 30 μm level. As a consequence, the thermal-compression bonding (TCB) process requires a tighter solder bump height variation target and substrate thickness variation target within the die area. The substrate thickness variation target at the die area may be 2 μm or less.
  • In addition, increased substrate functionality such as enabling die to die connection through Embedded Multi-die Interconnect Bridge (EMIB®) architecture may result in more challenges on meeting the substrate thickness variation target. Compared to traditional monolithic organic substrate process, encapsulating dielectric material on silicon bridge dies is known to have increased risk of flatness control—as a result, adding more challenge to substrate thickness variation control.
  • Glass is known to have flatness benefit and its thickness variation can be below 2 micron within the die area. Traditionally glass may be used as a patch to connect base substrate and dies, for example using a through glass via (TGV) or TGV with embedded bridge dies. However, TGVs or TGVs with embedded bridge dies may be limited in legacy implementations with layer counts and design rules due to their incapability of fabricating multiple RDLs. Or glass may be used as a temporary carrier to allow low thickness variation build up layers laminated and patterned above. However, the benefit of low thickness variation may be reduced after glass carrier is released.
  • To maximize glass benefit in substrate and packaging application, one or more permanent glass layers with RDL capability may be implemented in organic non EMIB or EMIB architectures. Embodiments are not limited to two architectures described above. Embodiments may include any substrate and packaging application requiring thickness variation control.
  • Embodiments described herein may be directed to apparatus, systems, and processes to create patterns, for example traces and vias at designated glass layers within a package, in order to use the patterns as RDL layers and through-glass vias to provide patterning and fan-out capability. These embodiments enable components of a package to maintain flatness benefit of glass. In addition, substrates and packages that include glass with RDL capability as a part of its structure provide architecture design advantages. These advantages may be achieved by allowing flexible design rules to address substrate and assembly process yield issues.
  • In the following detailed description, reference is made to the accompanying drawings which form a part hereof, wherein like numerals designate like parts throughout, and in which is shown by way of illustration embodiments in which the subject matter of the present disclosure may be practiced. It is to be understood that other embodiments may be utilized and structural or logical changes may be made without departing from the scope of the present disclosure. Therefore, the following detailed description is not to be taken in a limiting sense, and the scope of embodiments is defined by the appended claims and their equivalents.
  • For the purposes of the present disclosure, the phrase “A and/or B” means (A), (B), or (A and B). For the purposes of the present disclosure, the phrase “A, B, and/or C” means (A), (B), (C), (A and B), (A and C), (B and C), or (A, B and C).
  • The description may use perspective-based descriptions such as top/bottom, in/out, over/under, and the like. Such descriptions are merely used to facilitate the discussion and are not intended to restrict the application of embodiments described herein to any particular orientation.
  • The description may use the phrases “in an embodiment,” or “in embodiments,” which may each refer to one or more of the same or different embodiments. Furthermore, the terms “comprising,” “including,” “having,” and the like, as used with respect to embodiments of the present disclosure, are synonymous.
  • The term “coupled with,” along with its derivatives, may be used herein. “Coupled” may mean one or more of the following. “Coupled” may mean that two or more elements are in direct physical or electrical contact. However, “coupled” may also mean that two or more elements indirectly contact each other, but yet still cooperate or interact with each other, and may mean that one or more other elements are coupled or connected between the elements that are said to be coupled with each other. The term “directly coupled” may mean that two or more elements are in direct contact.
  • Various operations may be described as multiple discrete operations in turn, in a manner that is most helpful in understanding the claimed subject matter. However, the order of description should not be construed as to imply that these operations are necessarily order dependent.
  • As used herein, the term “module” may refer to, be part of, or include an ASIC, an electronic circuit, a processor (shared, dedicated, or group) and/or memory (shared, dedicated, or group) that execute one or more software or firmware programs, a combinational logic circuit, and/or other suitable components that provide the described functionality.
  • Various Figures herein may depict one or more layers of one or more package assemblies. The layers depicted herein are depicted as examples of relative positions of the layers of the different package assemblies. The layers are depicted for the purposes of explanation, and are not drawn to scale. Therefore, comparative sizes of layers should not be assumed from the Figures, and sizes, thicknesses, or dimensions may be assumed for some embodiments only where specifically indicated or discussed.
  • FIGS. 1A-1E illustrate an example of a package assembly using a glass dielectric layer with patterning at various stages of a manufacturing process, in accordance with embodiments. FIG. 1A shows the package 100 a at a substrate stage where a glass layer 104 is coupled to a base substrate 102. In embodiments, the glass layer 104 may be laminated with a dielectric adhesive 106, which may be used to adhere or laminate the glass layer 104 to the base substrate 102. In embodiments, the glass layer 104 may be 40 μm in thickness. In embodiments, a thickness of the glass layer 104 may be 35 μm. The adhesive 106 may include an Ajinomoto Build-up Film (ABF) or similar film. In embodiments, the adhesive 106 may adhere permanently after curing. In embodiments, the adhesive 106 may be a dielectric adhesive. In embodiments, the thickness of the adhesive may be 5 μm. The base substrate 102 may a substrate, a partially finished substrate, a package core, or some other package component. In embodiments, the substrate 102 may be a carrier substrate that is later stripped away.
  • FIG. 1B shows the package 100 b at a substrate stage where the glass layer 104 may be patterned with through-holes 108. In embodiments, this patterning may be performed using laser etching, dry etching, wet etching, or some combination thereof. In embodiments, the through-holes 108 may extend through the dielectric adhesive 106 to and/or into the base substrate 102. In embodiments, if a laser etching or dry etching technique is used, the adhesive 106 may also be drilled or etched through. In embodiments, if a wet etching technique is used, depending on chemical resistance of the dielectric, additional laser drilling may be used to further open the through-holes 108 to the substrate 102. A desmear technique may subsequently be used to remove debris and to clean the through-hole 108.
  • FIG. 1C shows the package 100 c at a substrate stage where glass surface patterning 110 is applied to the glass layer 104. In embodiments, the glass surface patterning 110 may also be referred to as a surface trench pattern formation. A laser etching, dry etching or chemical etching process may be used in conjunction with lithography patterning. In embodiments, surface trench patterning may etch up to 15 μm deep within the glass 104 surface to receive embedded copper traces as described further below. In embodiments, the glass surface patterning 110 may couple or overlap with through through-holes 108. In embodiments, the glass surface patterning 110 may be in various depths within the glass layer 104, and may be in a layout pattern that matches surface routing traces and/or RDL patterns. Note: a top-down example embodiment view is shown in diagram 200 of FIG. 2 .
  • FIG. 1D shows the package 100 d at a substrate stage where the patterning, including glass through-holes 108, are at least partially filled with material to create a via 113, and the surface patterning 110 is at least partially filled with material to create an RDL 112. In embodiments, the material may be an electrically conductive material such as copper, a copper alloy, or aluminum alloy. In embodiments, the material may be placed using a sputtered titanium/copper seeding process by using a seed layer deposition. After the seed layer is formed, an elytic plating process may be used, and a lithography patterning process may be used to fill the through-holes 108 and surface patterning 110. In embodiments, a plating process may be used. In embodiments, the through-holes 108 may include solid material to create a solid via 113, or in embodiments the material may be deposited around the edges of the through holes 108 using a sputtering process to create a hollow via 113 that is still electrically conductive. In embodiments, the top of the glass layer 104 a may be planarized subsequent to the material fill described above to obtain a flat surface. This planarization is important because a subsequent glass sheet may not be compatible with topological morphology.
  • FIG. 1E shows the package 100 e at a substrate stage where a second layer 114 is placed on the glass layer 104. In embodiments, the second layer 114 may include a second glass layer 118 that is coupled with the glass layer 104 using an adhesive 116. In embodiments, the second glass layer 118 may include patterning that is used to create vias 121 and RDL 120 using the techniques as described above. In addition, the vias 121 and RDL 120 may use patterns that cause them, when filled with material, to be electrically coupled with the RDL 112 and vias 113 in glass layer 104. In this way, multiple RDL layers 112, 120 may be formed within the package.
  • FIG. 2 illustrates an example of a top-down view of a glass layer of a package that includes patterning, in accordance with embodiments. Diagram 200 shows a top-down view of glass layer 204, which may be similar to glass layer 104 of FIG. 1A. Through-glass vias 213, which may be similar to vias 113 of FIG. 1D, and traces 212, that may be similar to traces 112 of FIG. 1D, are created in the glass layer 204 as shown. In embodiments, the traces 212 may include pads 212 a that may be used for connections to adjacent layers of the package.
  • FIG. 3 illustrates an example three-dimensional view of a package with two glass dielectric layers with patterning, in accordance with embodiments. Diagram 300 shows a three-dimensional view of two RDL layers that use glass as a dielectric. Substrate 302, which may be similar to substrate 102 of FIG. 1A, may be coupled using an adhesive 306 to a first glass layer 304, which may be similar to adhesive 106 and glass layer 104 of FIG. 1A. Within the first glass layer 304, there may be a via 313, and RDL 312, which may be similar to via 113 and traces 112 (RDL) of FIG. 1D.
  • A second glass layer 318 and an adhesive 316, which may be similar to second glass layer 118 and adhesive 116 of FIG. 1E, may be coupled with the first glass layer 304. The RDL 312 of the first glass layer 304 may be electrically coupled with a via 321 and an RDL 320 within the second glass layer 318, which may be similar to via 121 and RDL 120 of FIG. 1E. In embodiments, the package 300 may be manufactured using one or more techniques as described above.
  • FIG. 4 illustrates an example of a package having an embedded silicon bridge die in glass with a RDL at a MLI side, in accordance with embodiments. Diagram 400 shows an example of a multi-layer glass RDL implementation incorporated with an EMIB architecture. An EMIB die 425 is coupled to an organic dielectric layer 422 and is electrically coupled from pad 428 through via 430 to an RDL 424 on top of an organic dielectric layer 422. In embodiments, the EMIB die 425 may be at least partially surrounded by a dielectric layer 426 or by some other material. The RDL 424 is further electrically coupled using a series of vias 421, which may be similar to vias 321, 313 of FIG. 3 , that extend through multiple glass layers 418 a, 418 b, 418 c through to a bottom side of the package 419. This may correspond to the MLI side of the package. In embodiments, the pad 428, via 430, RDL 424, and vias 421 may include copper or a copper alloy. In embodiments, glass layers 418 b, 418 c below the EMIB die 425 provide insulation and rigidity support.
  • FIG. 5 illustrates an example of a package having an embedded silicon bridge die in glass with a RDL at a FLI side, in accordance with embodiments. Diagram 500 shows another example of a multi-layer glass RDL implementation incorporated with an EMIB architecture. EMIB die 525 may be electrically coupled from pad 528 through a series of vias 530 and intermediate RDLs 523 to the FLI RDL 524, which may be similar to RDL 424 of FIG. 4 . The FLI RDL 524 may then be electrically coupled to the bottom of the package 519 through vias 521 through various glass layers 518 a, 518 b, 518 c. In embodiments, the pad 528, via 530, intermediate RDLs 523, FLI RDL 524, and vias 521 may include copper or a copper alloy. In embodiments, a glass RDL technique may also be applied in a through-glass via patch both with and without die embedding, that may be similar to diagram 400 of FIG. 4 and diagram 500 of FIG. 5 .
  • FIG. 6 illustrates an example of a package having a RDL on a glass layer implemented as an organic substrate hybrid architecture, in accordance with embodiments. Diagram 600 shows how a glass RDL technique may be applied selectively in a non-EMIB monolithic substrate. For example, hybrid glass RDL layers with organic substrates may be manufactured and glass layers may be inserted in any layer of the substrate. Diagram 600 shows an example of two glass RDLs at two upmost layers below solder resist of a substrate that may provide low substrate thickness variation characteristics.
  • An organic portion 634 of the package 600 may be electrically coupled to a first glass layer 618 b that includes a via 613 to electrically couple with the organic portion 634 of the package 600. The via 613 may couple with a RDL 612 in the first layer 618 b, and electrically couple with a via 613 in a second glass layer 618 a. The glass layers 618 a, 618 b are coupled with an adhesive layer 606, which may also be referred to as a dielectric adhesive. The via 613 electrically couples with an RDL layer 612 in the second glass layer 618 a that is then electrically coupled to a solder 632 which is embedded in another organic layer 630. In embodiments, organic layer 630 may be a solder resist material.
  • FIG. 7 illustrates an example of a process to create a glass dielectric layer with patterning within a package, in accordance with embodiments. Process 700 may be performed by one or more elements, techniques, or systems that may be found with respect to FIGS. 1A-6 .
  • At block 702, the process may include coupling a first side of a glass layer that has a second side opposite the first side to another layer. The glass layer may be similar to glass layer 104 of FIGS. 1A-1E, glass layer 204 of FIG. 2 , glass layer 304 of FIG. 3 , glass layers 418 a, 418 b, 418 c of FIG. 4 , glass layers 518 a, 518 b, 518 c of FIG. 5 , or glass layers 618 a, 618 b of FIG. 6 . The other layer may be similar to substrate 102 or adhesive layer 106 of FIGS. 1A-1E.
  • At block 704, the process may further include patterning the second side of the glass layer to form a patterned structure. The patterning process may include drilling, laser drilling, dry etching, or wet etching as described above. The pattern structure may be similar to through-holes 108 and glass surface patterning 110 of FIGS. 1B-1C.
  • At block 706, the process may further include plating the second side of the glass layer with a material, wherein the material is included within at least a portion of the patterned structure. The material may be a conductive material, such as copper or a copper alloy as described above. The material plated within the pattern structure may take a form that is similar to RDL 112, 120 or via 113, 121 of FIGS. 1D-1E, traces 212, pad 212 a, or via 213 of FIG. 2 , RDL 312, 320 or via 313, 321 of FIG. 3 , via 421 of FIG. 4 , RDL 524, 523 or via 521 of FIG. 5 , or RDL 612 or via 613 of FIG. 6 .
  • FIG. 8 schematically illustrates a computing device, in accordance with embodiments. The computer system 800 (also referred to as the electronic system 800) as depicted can embody a glass dielectric layer with patterning, according to any of the several disclosed embodiments and their equivalents as set forth in this disclosure. The computer system 800 may be a mobile device such as a netbook computer. The computer system 800 may be a mobile device such as a wireless smart phone. The computer system 800 may be a desktop computer. The computer system 800 may be a hand-held reader. The computer system 800 may be a server system. The computer system 800 may be a supercomputer or high-performance computing system.
  • In an embodiment, the electronic system 800 is a computer system that includes a system bus 820 to electrically couple the various components of the electronic system 800. The system bus 820 is a single bus or any combination of busses according to various embodiments. The electronic system 800 includes a voltage source 830 that provides power to the integrated circuit 810. In some embodiments, the voltage source 830 supplies current to the integrated circuit 810 through the system bus 820.
  • The integrated circuit 810 is electrically coupled to the system bus 820 and includes any circuit, or combination of circuits according to an embodiment. In an embodiment, the integrated circuit 810 includes a processor 812 that can be of any type. As used herein, the processor 812 may mean any type of circuit such as, but not limited to, a microprocessor, a microcontroller, a graphics processor, a digital signal processor, or another processor. In an embodiment, the processor 812 includes, or is coupled with, a glass dielectric layer with patterning, as disclosed herein. In an embodiment, SRAM embodiments are found in memory caches of the processor. Other types of circuits that can be included in the integrated circuit 810 are a custom circuit or an application-specific integrated circuit (ASIC), such as a communications circuit 814 for use in wireless devices such as cellular telephones, smart phones, pagers, portable computers, two-way radios, and similar electronic systems, or a communications circuit for servers. In an embodiment, the integrated circuit 810 includes on-die memory 816 such as static random-access memory (SRAM). In an embodiment, the integrated circuit 810 includes embedded on-die memory 816 such as embedded dynamic random-access memory (eDRAM).
  • In an embodiment, the integrated circuit 810 is complemented with a subsequent integrated circuit 811. Useful embodiments include a dual processor 813 and a dual communications circuit 815 and dual on-die memory 817 such as SRAM. In an embodiment, the dual integrated circuit 810 includes embedded on-die memory 817 such as eDRAM.
  • In an embodiment, the electronic system 800 also includes an external memory 840 that in turn may include one or more memory elements suitable to the particular application, such as a main memory 842 in the form of RAM, one or more hard drives 844, and/or one or more drives that handle removable media 846, such as diskettes, compact disks (CDs), digital variable disks (DVDs), flash memory drives, and other removable media known in the art. The external memory 840 may also be embedded memory 848 such as the first die in a die stack, according to an embodiment.
  • In an embodiment, the electronic system 800 also includes a display device 850, an audio output 860. In an embodiment, the electronic system 800 includes an input device such as a controller 870 that may be a keyboard, mouse, trackball, game controller, microphone, voice-recognition device, or any other input device that inputs information into the electronic system 800. In an embodiment, an input device 870 is a camera. In an embodiment, an input device 870 is a digital sound recorder. In an embodiment, an input device 870 is a camera and a digital sound recorder.
  • As shown herein, the integrated circuit 810 can be implemented in a number of different embodiments, including a package substrate having a glass dielectric layer with patterning, according to any of the several disclosed embodiments and their equivalents, an electronic system, a computer system, one or more methods of fabricating an integrated circuit, and one or more methods of fabricating an electronic assembly that includes a package substrate having a glass dielectric layer with patterning, according to any of the several disclosed embodiments as set forth herein in the various embodiments and their art-recognized equivalents. The elements, materials, geometries, dimensions, and sequence of operations can all be varied to suit particular I/O coupling requirements including array contact count, array contact configuration for a microelectronic die embedded in a processor mounting substrate according to any of the several disclosed package substrates having a glass dielectric layer with patterning embodiments and their equivalents. A foundation substrate may be included, as represented by the dashed line of FIG. 8 . Passive devices may also be included, as is also depicted in FIG. 8 .
  • EXAMPLES
  • The following paragraphs describe examples of various embodiments.
  • Example 1 may be a package comprising: a glass layer with a first side and a second side opposite the first side, wherein the glass layer is a dielectric layer; another layer coupled with the first side of the glass layer; and a pattern on the second side of the glass layer to receive a deposited material in at least a portion of the pattern.
  • Example 2 may include the package of example 1, wherein the pattern includes a trace etched in glass or a through-glass via.
  • Example 3 may include the package of example 2, wherein the trace etched in glass is to provide a redistribution layer (RDL).
  • Example 4 may include the package of example 3, wherein the RDL includes a fan out.
  • Example 5 may include the package of example 1, wherein the deposited material includes copper or a copper alloy, or wherein the deposited material includes a seed layer.
  • Example 6 may include the package of example 1 wherein the another layer is a selected one of a substrate, an adhesive layer, or another glass layer.
  • Example 7 may include the package of any one of examples 1-6, wherein the glass layer is a first glass layer, and the deposited material is a first deposited material; and further comprising: a second glass layer with a first side and a second side opposite the first side, wherein the first side of the second glass layer is coupled with the second side of the first glass layer; and a pattern on the second side of the glass layer to receive the second deposited material in at least a portion of the pattern.
  • Example 8 may include the package of example 7, wherein the another layer is a first another layer; and further comprising a second another layer between the first side of the second glass layer and the second side of the first glass layer.
  • Example 9 may include the package of example 7, further comprising the first deposited material and the second deposited material, wherein the first deposited material and the second deposited material are electrically conductive or are electrically coupled.
  • Example 10 may include the package of example 9, wherein the first deposited material and the second deposited material are different materials.
  • Example 11 may be a method comprising: coupling a first side of a glass layer that has a second side opposite the first side to another layer; patterning the second side of the glass layer to form a patterned structure; and plating the second side of the glass layer with a material, wherein the material is included within at least a portion of the patterned structure.
  • Example 12 may include the method of example 11, wherein patterning the second side of the glass layer further includes etching a trace in the second side of the glass layer or drilling a via through the glass layer.
  • Example 13 may include the method of example 12, wherein the etched trace is to provide a RDL or the via is to provide an electrical connection between the first side of the glass layer and the second side of the glass layer.
  • Example 14 may include the method of example 11, wherein the material includes copper or a copper alloy.
  • Example 15 may include the method of any one of examples 11-14, wherein the glass layer is a first glass layer, the deposited material is a first deposited material, and the patterned structure is a first patterned structure; and further comprising: coupling a first side of a second glass layer having the first side and a second side opposite the first side to a second side of the first glass layer; patterning the second side of the second glass layer to create a second patterned structure; and plating the second side of the second glass layer with a second deposited material, wherein the second deposited material is included within at least a portion of the second patterned structure.
  • Example 16 may include the method of example 15, wherein the another layer is a first dielectric layer; and wherein coupling a first side of a second glass layer having the first side and a second side opposite the first side to a second side of the first glass layer further comprises: coupling the first side of the second glass layer to a second dielectric layer; and coupling the second side of the first glass layer to the second dielectric layer.
  • Example 17 may include the method of example 11, further comprising, before coupling a first side of a glass layer to the dielectric layer, coupling the dielectric layer to a substrate.
  • Example 18 may be a system comprising: a circuit board; a package coupled with the circuit board, the package comprising: a glass layer with a first side and a second side opposite the first side; a dielectric layer coupled with the first side of the glass layer; a pattern on the second side of the glass layer; and deposited material in at least a portion of the pattern.
  • Example 19 may include the system of example 18, wherein the pattern includes a trace etched in glass to provide a RDL, a fan out, or a through glass via.
  • Example 20 may include the system of any one of examples 18-19, wherein the dielectric layer is coupled with a substrate.
  • Various embodiments may include any suitable combination of the above-described embodiments including alternative (or) embodiments of embodiments that are described in conjunctive form (and) above (e.g., the “and” may be “and/or”). Furthermore, some embodiments may include one or more articles of manufacture (e.g., non-transitory computer-readable media) having instructions, stored thereon, that when executed result in actions of any of the above-described embodiments. Moreover, some embodiments may include apparatuses or systems having any suitable means for carrying out the various operations of the above-described embodiments.
  • The above description of illustrated embodiments, including what is described in the Abstract, is not intended to be exhaustive or to limit embodiments to the precise forms disclosed. While specific embodiments are described herein for illustrative purposes, various equivalent modifications are possible within the scope of the embodiments, as those skilled in the relevant art will recognize.
  • These modifications may be made to the embodiments in light of the above detailed description. The terms used in the following claims should not be construed to limit the embodiments to the specific implementations disclosed in the specification and the claims. Rather, the scope of the invention is to be determined entirely by the following claims, which are to be construed in accordance with established doctrines of claim interpretation.

Claims (20)

What is claimed is:
1. An apparatus, comprising:
a glass layer having a first side and a second side opposite the first side; and
another layer coupled with the first side of the glass layer, wherein the glass layer includes a conductive trace at the second side, wherein the glass layer includes a conductive via extending from the trace towards the first side, and wherein the trace is conductively coupled to the via.
2. The apparatus of claim 1, further comprising a bridge die in or on the glass layer.
3. The apparatus of claim 1, wherein the trace and the via comprise a redistribution layer.
4. The apparatus of claim 3, wherein the redistribution layer comprises a fan out redistribution layer.
5. The apparatus of claim 1, wherein the another layer is a selected one of an organic substrate, an adhesive layer, or another glass layer.
6. The apparatus of claim 1, further comprising
a second glass layer with a first side and a second side opposite the first side, wherein the first side of the second glass layer is coupled with the second side of the first glass layer; and
wherein the second glass layer includes a second conductive trace at the second side of the second glass layer, wherein the second glass layer includes a second conductive via extending from the second trace towards the first side of the second glass layer, and wherein the second trace is conductively coupled to the second via.
7. The apparatus of claim 6, wherein the trace comprises a first trace, wherein the via comprises a first via, wherein the first trace and the first via comprise a first redistribution layer, wherein the second trace and the second via comprise a second redistribution layer, and wherein the first redistribution layer and the second redistribution layer are conductively coupled.
8. The apparatus of claim 6, further comprising a bridge die in or on the second glass layer.
9. The apparatus of claim 1, wherein the another layer comprises an organic substrate, wherein the trace comprises a first trace, wherein the via comprises a first via, wherein the first trace and the first via comprise a first redistribution layer, the apparatus further comprising a second trace and a second via in the organic substrate, wherein the second trace and the second via comprise a second redistribution layer, and wherein the first redistribution layer and the second redistribution layer are conductively coupled.
10. The apparatus of claim 9, further comprising an adhesive layer between the glass layer and the organic substrate.
11. The apparatus of claim 9, wherein the glass layer is at least partially embedded within the organic substrate.
12. An apparatus, comprising:
a substrate comprising organic material, the substrate including a conductive interconnect;
a first layer on the substrate, the first layer comprising glass, the first layer including a first metal trace conductively coupled to the conductive interconnect; and
a second layer on the first layer, the second layer comprising glass, the second layer including a second metal trace conductively coupled to the first metal trace.
13. The apparatus of claim 12, further comprising adhesive material between the first layer and the second layer.
14. The apparatus of claim 12, further comprising a layer of organic material on the second layer.
15. The apparatus of claim 12, further comprising a bridge die at least partially embedded in one of the first layer or the second layer.
16. The apparatus of claim 12, wherein at least one of the first metal trace and the second metal trace comprise a redistribution layer.
17. An apparatus, comprising:
a first glass layer having a first surface and an opposing second surface;
a bridge die in the first glass layer;
at least one first metal via in the first glass layer and at the first surface;
a second glass layer over the first surface of the first glass layer, the second glass layer having a third surface and an opposing fourth surface, the fourth surface of the second glass layer facing the first surface of the first glass layer;
at least one second metal via in the second glass layer and at the fourth surface, the second metal via conductively coupled to the first metal via; and
at least one third metal via in the second glass layer and at the fourth surface, the third metal via conductively coupled to the bridge die.
18. The apparatus of claim 17, further comprising:
a third glass layer over the third surface of the second glass layer, the third glass layer having a fifth surface and an opposing sixth surface, the sixth surface of the third glass layer facing the third surface of the second glass layer;
a fourth metal via in the third glass layer and at the sixth surface;
a fifth metal via in the third glass layer and at the sixth surface; and
a metal trace in the third glass layer, the metal trace conductively coupling the fourth metal via to the fifth metal via;
wherein the fourth metal via is conductively coupled to third metal via, and wherein the fifth metal via is conductively coupled to the second metal via.
19. The apparatus of claim 18, wherein the metal trace comprises a first metal trace, the apparatus further comprising:
a second metal trace in the second glass layer, the second metal trace conductively coupling the fourth metal via to the third metal via; and
a third metal trace in the second glass layer, the third metal trace conductively coupling the fifth metal via to the second metal via.
20. The apparatus of claim 17, further comprising adhesive material between the first glass layer and the second glass layer, and between the second glass layer and the third glass layer.
US18/241,067 2019-09-18 2023-08-31 Glass dielectric layer with patterning Pending US20230405976A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US18/241,067 US20230405976A1 (en) 2019-09-18 2023-08-31 Glass dielectric layer with patterning

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/574,252 US11780210B2 (en) 2019-09-18 2019-09-18 Glass dielectric layer with patterning
US18/241,067 US20230405976A1 (en) 2019-09-18 2023-08-31 Glass dielectric layer with patterning

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/574,252 Continuation US11780210B2 (en) 2019-09-18 2019-09-18 Glass dielectric layer with patterning

Publications (1)

Publication Number Publication Date
US20230405976A1 true US20230405976A1 (en) 2023-12-21

Family

ID=74869279

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/574,252 Active 2042-02-08 US11780210B2 (en) 2019-09-18 2019-09-18 Glass dielectric layer with patterning
US18/241,067 Pending US20230405976A1 (en) 2019-09-18 2023-08-31 Glass dielectric layer with patterning

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/574,252 Active 2042-02-08 US11780210B2 (en) 2019-09-18 2019-09-18 Glass dielectric layer with patterning

Country Status (7)

Country Link
US (2) US11780210B2 (en)
EP (1) EP4032122A4 (en)
JP (1) JP2022548474A (en)
KR (1) KR20220062495A (en)
CN (1) CN114270507A (en)
BR (1) BR112022003175A2 (en)
WO (1) WO2021055030A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11780210B2 (en) * 2019-09-18 2023-10-10 Intel Corporation Glass dielectric layer with patterning
CN113314474A (en) * 2021-05-27 2021-08-27 广东工业大学 Embedded fan-out type packaging structure and processing method thereof
US20230085411A1 (en) * 2021-09-16 2023-03-16 Intel Corporation Glass core with cavity structure for heterogeneous packaging architecture
US20230207406A1 (en) * 2021-12-24 2023-06-29 Intel Corporation Ultra low loss and high-density routing between cores

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3998984B2 (en) * 2002-01-18 2007-10-31 富士通株式会社 Circuit board and manufacturing method thereof
US8411459B2 (en) 2010-06-10 2013-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Interposer-on-glass package structures
KR101784005B1 (en) 2010-12-28 2017-11-07 동우 화인켐 주식회사 Preparing method for Glass Substrate comprising Transparent Electrode Pattern
WO2014038326A1 (en) 2012-09-07 2014-03-13 旭硝子株式会社 Process for producing intermediate for interposer, and intermediate for interposer
US20140127857A1 (en) * 2012-11-07 2014-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Carrier Wafers, Methods of Manufacture Thereof, and Packaging Methods
JP2015211162A (en) * 2014-04-28 2015-11-24 旭硝子株式会社 Method for manufacturing glass member, glass member, and glass interposer
US20160111380A1 (en) * 2014-10-21 2016-04-21 Georgia Tech Research Corporation New structure of microelectronic packages with edge protection by coating
US20160315024A1 (en) 2015-04-21 2016-10-27 Qualcomm Incorporated Mechanical handling support for thin cores using photo-patternable material
EP3437127A4 (en) * 2016-03-31 2019-11-27 Electro Scientific Industries, Inc. Laser-seeding for electro-conductive plating
WO2018094168A1 (en) * 2016-11-18 2018-05-24 Samtec Inc. Filling materials and methods of filling through holes of a substrate
US11531174B2 (en) * 2017-09-28 2022-12-20 Intel Corporation Co-packaging with silicon photonics hybrid planar lightwave circuit
US11355438B2 (en) * 2018-06-29 2022-06-07 Intel Corporation Hybrid fan-out architecture with EMIB and glass core for heterogeneous die integration applications
US11164818B2 (en) * 2019-03-25 2021-11-02 Intel Corporation Inorganic-based embedded-die layers for modular semiconductive devices
US11508575B2 (en) * 2019-06-30 2022-11-22 Corning Incorporated Low warp fan-out processing method and production of substrates therefor
US11387191B2 (en) * 2019-07-18 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US20210028080A1 (en) * 2019-07-25 2021-01-28 Intel Corporation Glass core patch with in situ fabricated fan-out layer to enable die tiling applications
US11780210B2 (en) * 2019-09-18 2023-10-10 Intel Corporation Glass dielectric layer with patterning
US20220310518A1 (en) * 2021-03-25 2022-09-29 Intel Corporation Embedded bridge architecture with thinned surface
US20220375865A1 (en) * 2021-05-18 2022-11-24 Intel Corporation Microelectronic assemblies with glass substrates and magnetic core inductors
CN113314474A (en) * 2021-05-27 2021-08-27 广东工业大学 Embedded fan-out type packaging structure and processing method thereof
US20220406725A1 (en) * 2021-06-17 2022-12-22 Intel Corporation Glass package core with planar structures
US20220406721A1 (en) * 2021-06-17 2022-12-22 Intel Corporation Die coupling using a substrate with a glass core

Also Published As

Publication number Publication date
WO2021055030A1 (en) 2021-03-25
EP4032122A4 (en) 2023-10-25
CN114270507A (en) 2022-04-01
JP2022548474A (en) 2022-11-21
KR20220062495A (en) 2022-05-17
US20210078296A1 (en) 2021-03-18
US11780210B2 (en) 2023-10-10
BR112022003175A2 (en) 2022-05-17
EP4032122A1 (en) 2022-07-27

Similar Documents

Publication Publication Date Title
US20230405976A1 (en) Glass dielectric layer with patterning
US8809124B2 (en) Bumpless build-up layer and laminated core hybrid structures and methods of assembling same
US20220384365A1 (en) Innovative fan-out panel level package (foplp) warpage control
US20130313121A1 (en) Method of Forming Interconnects for Three Dimensional Integrated Circuit
US20230092242A1 (en) Dielectric layer separating a metal pad of a through glass via from a surface of the glass
CN116264204A (en) Interposer with glass core including openings and glass-passing vias
CN116314103A (en) Multilayer glass substrate
TW202301576A (en) Glass package core with planar structures
CN115497906A (en) Die coupling using substrate with glass core
US11848292B2 (en) Pad design for thermal fatigue resistance and interconnect joint reliability
US10026691B2 (en) Package substrate having noncircular interconnects
US11640934B2 (en) Lithographically defined vertical interconnect access (VIA) in dielectric pockets in a package substrate
US20210090981A1 (en) Surface finish surrounding a pad
US20230089096A1 (en) Multiple dies coupled with a glass core substrate
US11651902B2 (en) Patterning of thin film capacitors in organic substrate packages
US20230197351A1 (en) Film capacitor on a glass substrate
US20230317621A1 (en) Glass substrate package with hybrid bonded die
US20230420353A1 (en) Asymmetrical dielectric-to-metal adhesion architecture for electronic packages
US20230197767A1 (en) Hybrid bonded capacitors
US20230086356A1 (en) Glass core substrate including buildups with different numbers of layers
US20240006297A1 (en) Silicide and silicon nitride layers between a dielectric and copper
US20230420322A1 (en) Organic adhesion promotor for dielectric adhesion to a copper trace
US20230091666A1 (en) Capacitors in through glass vias

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION