US20230090612A1 - Static random access memory and method for fabricating the same - Google Patents

Static random access memory and method for fabricating the same Download PDF

Info

Publication number
US20230090612A1
US20230090612A1 US17/991,765 US202217991765A US2023090612A1 US 20230090612 A1 US20230090612 A1 US 20230090612A1 US 202217991765 A US202217991765 A US 202217991765A US 2023090612 A1 US2023090612 A1 US 2023090612A1
Authority
US
United States
Prior art keywords
layer
forming
barrier layer
gate structure
contact plug
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/991,765
Inventor
Ching-Wen Hung
Chun-Hsien Lin
Chien-Hung Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US17/991,765 priority Critical patent/US20230090612A1/en
Publication of US20230090612A1 publication Critical patent/US20230090612A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • H01L27/1104
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Definitions

  • the invention relates to a static random access memory (SRAM), and more particularly to a SRAM having contact plug with gradient concentration barrier layer.
  • SRAM static random access memory
  • SRAM embedded static random access memory
  • DRAM dynamic random access memory
  • a method for fabricating a static random access memory includes the steps of: forming a gate structure on a substrate; forming an epitaxial layer adjacent to the gate structure; forming a first interlayer dielectric (ILD) layer around the gate structure; transforming the gate structure into a metal gate; forming a contact hole exposing the epitaxial layer, forming a barrier layer in the contact hole, forming a metal layer on the barrier layer, and then planarizing the metal layer and the barrier layer to form a contact plug.
  • a bottom portion of the barrier layer includes a titanium rich portion and a top portion of the barrier layer includes a nitrogen rich portion.
  • a static random access memory includes: a gate structure on a substrate; an epitaxial layer adjacent to the gate structure; a first contact plug on the epitaxial layer; a second contact plug on the gate structure; a first interlayer dielectric (ILD) layer around the first contact plug, the second contact plug, and the gate structure; a third contact plug on the first contact plug; a fourth contact plug on the second contact plug; and a second ILD layer around the third contact plug and the fourth contact plug.
  • ILD interlayer dielectric
  • FIG. 1 illustrates a layout diagram of a static random access memory (SRAM) according to an embodiment of the present invention.
  • SRAM static random access memory
  • FIG. 2 illustrates a circuit diagram of a six-transistor SRAM (6T-SRAM) cell of a SRAM of the present invention.
  • FIGS. 3 - 6 illustrate a method for fabricating a semiconductor device according to an embodiment of the present invention.
  • FIG. 1 illustrates a layout diagram of a static random access memory (SRAM) according to an embodiment of the present invention
  • FIG. 2 illustrates a circuit diagram of a six-transistor SRAM (6T-SRAM) cell of a SRAM of the present invention.
  • the SRAM device of the present invention preferably includes at least one SRAM cell, each SRAM cell including a six-transistor SRAM (6T-SRAM) cell 10 .
  • each 6T-SRAM cell 10 is composed of a first pull-up transistor PL1, a second pull-up transistor PL2, a first pull-down transistor PD1, a second pull-down transistor PD2, a first access transistor PG1 and a second access transistor PG2.
  • These six transistors constitute a set of flip-flops.
  • the first and the second pull-up transistors PL1 and PL2, and the first and the second pull-down transistors PD1 and PD2 constitute a latch that stores data in the storage nodes 24 and 26 . Since the first and the second pull-up transistors PL1 and PL2 act as power load devices, they can be replaced by resistors.
  • the static random access memory becomes a four-transistor SRAM (4T-SRAM).
  • the first and the second pull-up transistors PL1 and PL2 preferably share a source/drain region and electrically connect to a voltage source Vcc
  • the first and the second pull-down transistors PD1 and PD2 share a source/drain region and electrically connect to a voltage source Vss.
  • the first and the second pull-up transistors PL1 and PL2 of the 6T-SRAM cell 10 are composed of p-type metal oxide semiconductor (PMOS) transistors; the first and the second pull-down transistors PD1 and PD2, and first and the second access transistors PG1 and PG2 are composed of n-type metal oxide semiconductor (NMOS) transistors.
  • the first pull-up transistor PL1 and the first pull-down transistor PD1 constitute an inverter, which further form a series circuit 28 .
  • One end of the series circuit 28 is connected to a voltage source Vcc and the other end of the series circuit 28 is connected to a voltage source Vss.
  • the second pull-up transistor PL2 and the second pull-down transistor PD2 constitute another inverter and a series circuit 30 .
  • One end of the series circuit 30 is connected to the voltage source Vcc and the other end of the series circuit 30 is connected to the voltage source Vss.
  • the storage node 24 is connected to the respective gates of the second pull-down transistor PD2 and the second pull-up transistor PL2.
  • the storage node 24 is also connected to the drains of the first pull-down transistor PD1, the first pull-up transistor PL1, and the first access transistor PG1.
  • the storage node 26 is connected to the respective gates of the first pull-down transistor PD1 and first the pull-up transistor PL1.
  • the storage node 26 is also connected to the drains of the second pull-down transistor PD2, the second pull-up transistor PL2, and the second access transistor PG2.
  • the gates of the first and the second access transistors PG1 and PG2 are respectively coupled to a word line (WL), and the sources are coupled to a relative data line (BL).
  • FIGS. 3 - 6 illustrate a method for fabricating a semiconductor device according to an embodiment of the present invention.
  • a substrate 52 such as a silicon substrate or silicon-on-insulator (SOI) substrate is provided, at least a fin-shaped structure 54 is formed on the substrate 52 , and the bottom of the fin-shaped structure 54 is surrounded by an insulating layer made of silicon oxide to form a shallow trench isolation (STI) 56 .
  • STI shallow trench isolation
  • the fin-shaped structure 54 of this embodiment is preferably obtained by a sidewall image transfer (SIT) process.
  • a layout pattern is first input into a computer system and is modified through suitable calculation.
  • the modified layout is then defined in a mask and further transferred to a layer of sacrificial layer on a substrate through a photolithographic and an etching process.
  • a deposition process and an etching process are carried out such that spacers are formed on the sidewalls of the patterned sacrificial layers.
  • sacrificial layers can be removed completely by performing an etching process.
  • the pattern defined by the spacers can be transferred into the substrate underneath, and through additional fin cut processes, desirable pattern structures, such as stripe patterned fin-shaped structures could be obtained.
  • the fin-shaped structure 54 of this embodiment could also be obtained by first forming a patterned mask (not shown) on the substrate, 52 , and through an etching process, the pattern of the patterned mask is transferred to the substrate 52 to form the fin-shaped structure 54 .
  • the formation of the fin-shaped structure 54 could also be accomplished by first forming a patterned hard mask (not shown) on the substrate 52 , and a semiconductor layer composed of silicon germanium is grown from the substrate 52 through exposed patterned hard mask via selective epitaxial growth process to form the corresponding fin-shaped structure 54 .
  • gate structures 58 , 60 or dummy gates are formed on the substrate 52 .
  • the formation of the gate structures 58 , 60 could be accomplished by sequentially depositing a gate dielectric layer 62 , a gate material layer 64 , and a selective hard mask 66 on the substrate 52 , conducting a pattern transfer process by using a patterned resist (not shown) as mask to remove part of the hard mask 66 , part of the gate material layer 64 , and part of the gate dielectric layer 62 , and then stripping the patterned resist to form dummy gates or gate structures 58 , 60 on the fin-shaped structure 54 .
  • a patterned resist not shown
  • Each of the gate structures 58 , 60 preferably includes a patterned gate dielectric layer 62 , a patterned material layer 64 , and a patterned hard mask 66 , in which the gate dielectric layer 62 includes silicon oxide and the gate material layer 64 includes polysilicon, but not limited thereto.
  • the spacer 68 could be a single spacer or a composite spacer.
  • the spacer 68 could further include an offset spacer (not shown) and a main spacer (not shown), and the spacer 68 could be selected from the group consisting of SiO 2 , SiN, SiON, and SiCN.
  • the source/drain region 70 and epitaxial layers 72 could include different dopants or different materials depending on the type of transistor being fabricated.
  • the source/drain region 70 could include p-type or n-type dopants and the epitaxial layers 72 could include silicon germanium (SiGe), silicon carbide (SiC), or silicon phosphide (SiP).
  • a contact etch stop layer (CESL) 74 composed of silicon nitride could be selectively formed on the substrate 52 to cover the gate structures 58 , 60 , and an interlayer dielectric (ILD) layer 76 is formed on the CESL 74 .
  • a planarizing process such as chemical mechanical polishing (CMP) process is conducted to remove part of the ILD layer 76 and part of the CESL 74 to expose the hard masks 66 so that the top surfaces of the hard masks 66 and the ILD layer 76 are coplanar.
  • CMP chemical mechanical polishing
  • a replacement metal gate (RMG) process is conducted to transform the gate structures 58 , 60 into metal gates.
  • a selective dry etching or wet etching process could be conducted by using etchant including ammonium hydroxide (NH 4 OH) or tetramethylammonium hydroxide (TMAH) to remove the hard mask 66 , the gate material layer 64 , and gate dielectric layer 62 in the gate structures 58 , 60 for forming recesses (not shown) in the ILD layer 76 .
  • etchant including ammonium hydroxide (NH 4 OH) or tetramethylammonium hydroxide (TMAH)
  • an interfacial layer 78 , a high-k dielectric layer 80 , a selective bottom barrier metal (BBM) layer, a work function metal layer 82 , and a low resistance metal layer 84 are sequentially formed in the recesses, and a planarizing process such as CMP is conducted to remove part of the low resistance metal layer 84 , part of the work function metal layer 82 , and part of the high-k dielectric layer 80 to form metal gates 86 .
  • BBM selective bottom barrier metal
  • the high-k dielectric layer 80 is preferably selected from dielectric materials having dielectric constant (k value) larger than 4.
  • the high-k dielectric layer 80 may be selected from hafnium oxide (HfO 2 ), hafnium silicon oxide (HfSiO 4 ), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al 2 O 3 ), lanthanum oxide (La 2 O 3 ), tantalum oxide (Ta 2 O 5 ), yttrium oxide (Y 2 O 3 ), zirconium oxide (ZrO 2 ), strontium titanate oxide (SrTiO 3 ), zirconium silicon oxide (ZrSiO 4 ), hafnium zirconium oxide (HfZrO 4 ), strontium bismuth tantalate (SrBi 2 Ta 2 O 9 , SBT), lead zirconate titanate (PbZr x Ti 1-x O 3 , PZT), barium strontium titanate (
  • the work function metal layer 82 is formed for tuning the work function of the later formed metal gates to be appropriate in an NMOS or a PMOS.
  • the work function metal layer 82 having a work function ranging between 3.9 eV and 4.3 eV may include titanium aluminide (TiAl), zirconium aluminide (ZrAl), tungsten aluminide (WAl), tantalum aluminide (TaAl), hafnium aluminide (HfAl), or titanium aluminum carbide (TiAlC), but it is not limited thereto.
  • the work function metal layer 82 having a work function ranging between 4.8 eV and 5.2 eV may include titanium nitride (TiN), tantalum nitride (TaN), tantalum carbide (TaC), but it is not limited thereto.
  • An optional barrier layer (not shown) could be formed between the work function metal layer 82 and the low resistance metal layer 84 , in which the material of the barrier layer may include titanium (Ti), titanium nitride (TiN), tantalum (Ta) or tantalum nitride (TaN).
  • the material of the low-resistance metal layer 84 may include copper (Cu), aluminum (Al), titanium aluminum (TiAl), cobalt tungsten phosphide (CoWP) or any combination thereof.
  • part of the high-k dielectric layer 80 , part of the BBM layer, part of the work function metal layer 82 , and part of the low resistance metal layer 84 could be removed to form recesses (not shown), and a hard mask 88 could be formed in each of the recesses so that the top surfaces of the hard masks 88 and ILD layer 76 are coplanar.
  • the hard masks 88 could be selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, and silicon carbon nitride.
  • a contact plug formation could be conducted to form contact plugs 90 electrically connected to the source/drain regions 70 and the gate structure 60 .
  • the formation of contact plugs 90 could be accomplished by first removing part of the ILD layer 76 and part of the CESL 74 adjacent to two sides of the gate structures 60 and part or even all of the hard mask 88 directly on top of the gate structure 60 to form contact holes (not shown), in which the contact holes adjacent to two sides of the gate structure 60 preferably expose the epitaxial layers 72 while the contact hole directly on top of the gate structure 60 exposes the metal gate 86 .
  • first barrier layer 92 and a second barrier layer 94 are deposited in sequence in each of the contact holes, in which the first barrier layer 92 and the second barrier layer 94 are formed conformally on the surface of the epitaxial layers 72 and inner sidewalls of the contact holes.
  • first barrier layer 92 is selected from the group consisting of Ti, Co, Ni, and Pt, and most preferably Ti
  • the second barrier layer 94 is selected from the group consisting of TiN and TaN.
  • the first thermal treatment process includes a soak anneal process, in which the temperature of the first thermal treatment process is preferably between 500° C. to 600° C., and most preferably at 550° C., and the duration of the first thermal treatment process is preferably between 10 seconds to 60 seconds, and most preferably at 30 seconds.
  • the second thermal treatment process includes a spike anneal process, in which the temperature of the second thermal treatment process is preferably between 600° C. to 950° C., and most preferably at 600° C., and the duration of the second thermal treatment process is preferably between 100 milliseconds to 5 seconds, and most preferably at 5 seconds.
  • a metal layer 98 is deposited to fully fill the contact holes.
  • the metal layer 98 is preferably composed of tungsten, but not limited thereto.
  • a planarizing process such as a CMP process is conducted to remove part of the metal layer 98 , part of the second barrier layer 94 , and part of the first barrier layer 92 , and depending on the demand of the process also removing part of the ILD layer 76 for forming contact plugs 90 electrically connected to or directly contacting the epitaxial layers 72 and the gate structure 60 .
  • the second barrier layer 94 in this embodiment preferably includes titanium nitride (TiN) and the formation of the second barrier layer 94 is accomplished by conducting a deposition process and a treatment process alternately and repeatedly to form a second barrier layer 94 having gradient concentration of TiN.
  • FIG. 5 illustrates a method for forming the second barrier layer 94 according to an embodiment of the present invention. As shown in FIG.
  • the formation of the second barrier layer 94 includes the steps of first performing a first deposition process to form a first layer 100 in each of the contact holes, performing a first treatment process on the first layer 100 , performing a second deposition process to form a second layer 102 on the first layer 100 , and then performing a second treatment process on the second layer 102 , in which the first deposition process and the second deposition process both involves deposition of TiN, the first treatment process and the second treatment process both include the injection of hydrogen gas and/or nitrogen gas, and the duration of the second treatment process for injecting reacting gas such as nitrogen gas is preferably greater than the duration of the first treatment process for injecting nitrogen gas.
  • the present invention preferably controls the nitrogen concentration in the second barrier layer 94 such that the nitrogen concentration in the first layer 100 is lower than the nitrogen concentration in the second layer 102 while the titanium concentration in the second layer 102 is lower than the titanium concentration in the first layer 100 .
  • the second barrier layer 94 closer to the substrate 52 preferably includes higher titanium concentration and lower nitrogen concentration while the second barrier layer 94 farther from the substrate 52 preferably includes lower titanium concentration and higher nitrogen concentration, in which the portion of the second barrier layer 94 closer to the substrate 52 having higher titanium concentration could be used to facilitate the formation of silicide 96 while the portion farther from the substrate 52 or closer to the metal layer 98 could be serving as metal barrier.
  • the present invention preferably employs the aforementioned approach to adjust concentration of nitrogen atoms in the second barrier layer 94 for resolving this issue. It should be further noted that even though only two deposition processes and two treatment processes were conducted in this embodiment, the number of deposition process and treatment process as well as the number of TiN layers constituting the second barrier layer 94 could all be adjusted depending on the demand of product.
  • a stop layer 104 and another ILD layer 106 are formed on the ILD layer 76 , and one or more photo-etching process is conducted to remove part of the ILD layer 106 , part of the stop layer 104 , and the hard mask 88 directly on the gate structure 58 to form contact holes (not shown).
  • conductive materials are deposited into each of the contact holes and a planarizing process such as CMP is conducted to form metal interconnections or contact plugs 108 , 110 directly connecting the contact plugs 90 underneath, in which the contact plug 108 is connected to or directly contacting the gate structure 58 and the contact plug 90 between gate structures 58 , 60 while the contact plug 110 is connected to or directly contacting the lower level contact plug 90 that is directly on top of the gate structure 60 .
  • a planarizing process such as CMP is conducted to form metal interconnections or contact plugs 108 , 110 directly connecting the contact plugs 90 underneath, in which the contact plug 108 is connected to or directly contacting the gate structure 58 and the contact plug 90 between gate structures 58 , 60 while the contact plug 110 is connected to or directly contacting the lower level contact plug 90 that is directly on top of the gate structure 60 .
  • an inter-metal dielectric (IMD) layer 112 is formed on the ILD layer 106 , one or more photo-etching process is conducted to remove part of the IMD layer 112 , part of the ILD layer 106 , and part of the stop layer 104 to form a contact hole, conductive materials are deposited into the contact hole, and a planarizing process such as CMP is conducted to form a metal interconnection 114 or contact plug directly contacting the contact plug 90 adjacent to the right side of the gate structure 60 .
  • IMD inter-metal dielectric
  • the stop layer 104 could include nitrogen doped carbide (NDC), silicon nitride, silicon carbon nitride (SiCN), or combination thereof. Similar to the contact plugs 90 formed previously, each of the metal interconnection 114 or contact plugs 108 , 110 could all be formed in the ILD layer 106 and IMD layer 112 through single damascene or dual damascene process.
  • NDC nitrogen doped carbide
  • SiCN silicon carbon nitride
  • each of the contact plugs 108 , 110 and the metal interconnection 114 could further include a barrier layer and a metal layer, in which the barrier layer could be selected from the group consisting of titanium (Ti), titanium nitride (TiN), tantalum (Ta), and tantalum nitride (TaN) and the metal layer could be selected from the group consisting of tungsten (W), copper (Cu), aluminum (Al), titanium aluminide (TiAl), and cobalt tungsten phosphide (CoWP). Since single damascene process and dual damascene process are well known to those skilled in the art, the details of which are not explained herein for the sake of brevity. This completes the fabrication of a semiconductor device according to an embodiment of the present invention.
  • the barrier layer could be selected from the group consisting of titanium (Ti), titanium nitride (TiN), tantalum (Ta), and tantalum nitride (TaN)
  • the metal layer
  • the present invention preferably conducts multiple deposition and treatment processes alternately to form a TiN barrier layer with gradient concentration during fabrication of SRAM device, in which the bottom portion of the barrier layer preferably includes a titanium rich portion while the top portion of the barrier layer preferably includes a nitrogen rich portion.
  • the bottom portion or portion closer to the substrate having higher titanium concentration could be used to facilitate the formation of silicide 96 while the top portion or portion farther from the substrate 52 and closer to the metal layer 98 could be serving as metal barrier.
  • the present invention preferably employs the aforementioned approach to adjust concentration of nitrogen atoms in the second barrier layer 94 for resolving this issue.
  • another embodiment of the present invention preferably divides the contact plug (typically referred to as M0PY contact plug) penetrating through two ILD layers 76 , 106 and connecting the gate structure 60 into two portions, in which the top surface of the contact plug 90 within the lower level ILD layer 76 and directly on top of the gate structure 60 is even with the top surface of the contact plug 90 connecting to the source/drain region 70 and epitaxial layers 72 on adjacent two sides while the top surface of the contact plug 110 within the higher level ILD layer 106 and directly on the gate structure 60 is even with the top surface of the contact plug 108 connecting the lower level contact plug 90 and the gate structure 58 at the same time.
  • M0PY contact plug By dividing the M0PY contact plug into upper and lower portions, it would be desirable to lower overall height of the contact plug and significantly reduce the occurrence of short circuit between adjacent devices as fabrication pitch and exposure distance decrease.

Abstract

A method for fabricating a static random access memory (SRAM) includes the steps of: forming a gate structure on a substrate; forming an epitaxial layer adjacent to the gate structure; forming a first interlayer dielectric (ILD) layer around the gate structure; transforming the gate structure into a metal gate; forming a contact hole exposing the epitaxial layer, forming a barrier layer in the contact hole, forming a metal layer on the barrier layer, and then planarizing the metal layer and the barrier layer to form a contact plug. Preferably, a bottom portion of the barrier layer includes a titanium rich portion and a top portion of the barrier layer includes a nitrogen rich portion.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a division of U.S. Application No. 16/923,117, filed on July 8th, 2020. The content of the application is incorporated herein by reference.
  • BACKGROUND OF THE INVENTION 1. Field of the Invention
  • The invention relates to a static random access memory (SRAM), and more particularly to a SRAM having contact plug with gradient concentration barrier layer.
  • 2. Description of the Prior Art
  • An embedded static random access memory (SRAM) comprises a logic circuit and a static random access memory connected to the logic circuit. SRAM is a kind of volatile memory cell, which means it preserves data only while power is continuously applied. SRAM is built of cross-coupled inverters that store data during the time that power remains applied, unlike dynamic random access memory (DRAM) that needs to be periodically refreshed. Because of its high access speed, SRAM is also used in computer system as a cache memory.
  • However, as pitch of the exposure process decreases, it has been difficult for current SRAM architecture to produce desirable patterns. Hence, how to enhance the current SRAM architecture for improving exposure quality has become an important task in this field.
  • SUMMARY OF THE INVENTION
  • According to an embodiment of the present invention, a method for fabricating a static random access memory (SRAM) includes the steps of: forming a gate structure on a substrate; forming an epitaxial layer adjacent to the gate structure; forming a first interlayer dielectric (ILD) layer around the gate structure; transforming the gate structure into a metal gate; forming a contact hole exposing the epitaxial layer, forming a barrier layer in the contact hole, forming a metal layer on the barrier layer, and then planarizing the metal layer and the barrier layer to form a contact plug. Preferably, a bottom portion of the barrier layer includes a titanium rich portion and a top portion of the barrier layer includes a nitrogen rich portion.
  • According to another aspect of the present invention, a static random access memory (SRAM) includes: a gate structure on a substrate; an epitaxial layer adjacent to the gate structure; a first contact plug on the epitaxial layer; a second contact plug on the gate structure; a first interlayer dielectric (ILD) layer around the first contact plug, the second contact plug, and the gate structure; a third contact plug on the first contact plug; a fourth contact plug on the second contact plug; and a second ILD layer around the third contact plug and the fourth contact plug.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates a layout diagram of a static random access memory (SRAM) according to an embodiment of the present invention.
  • FIG. 2 illustrates a circuit diagram of a six-transistor SRAM (6T-SRAM) cell of a SRAM of the present invention.
  • FIGS. 3-6 illustrate a method for fabricating a semiconductor device according to an embodiment of the present invention.
  • DETAILED DESCRIPTION
  • To provide a better understanding of the present invention to users skilled in the technology of the present invention, preferred embodiments are detailed as follows. The preferred embodiments of the present invention are illustrated in the accompanying drawings with numbered elements to clarify the contents and the effects to be achieved.
  • Please note that the figures are only for illustration and the figures may not be to scale. The scale may be further modified according to different design considerations. When referring to the words “up” or “down” that describe the relationship between components in the text, it is well known in the art and should be clearly understood that these words refer to relative positions that can be inverted to obtain a similar structure, and these structures should therefore not be precluded from the scope of the claims in the present invention.
  • Referring to FIGS. 1-2 , FIG. 1 illustrates a layout diagram of a static random access memory (SRAM) according to an embodiment of the present invention and FIG. 2 illustrates a circuit diagram of a six-transistor SRAM (6T-SRAM) cell of a SRAM of the present invention. As shown in FIGS. 1-2 , the SRAM device of the present invention preferably includes at least one SRAM cell, each SRAM cell including a six-transistor SRAM (6T-SRAM) cell 10.
  • In this embodiment, each 6T-SRAM cell 10 is composed of a first pull-up transistor PL1, a second pull-up transistor PL2, a first pull-down transistor PD1, a second pull-down transistor PD2, a first access transistor PG1 and a second access transistor PG2. These six transistors constitute a set of flip-flops. The first and the second pull-up transistors PL1 and PL2, and the first and the second pull-down transistors PD1 and PD2 constitute a latch that stores data in the storage nodes 24 and 26. Since the first and the second pull-up transistors PL1 and PL2 act as power load devices, they can be replaced by resistors. Under this circumstance, the static random access memory becomes a four-transistor SRAM (4T-SRAM). In this embodiment, the first and the second pull-up transistors PL1 and PL2 preferably share a source/drain region and electrically connect to a voltage source Vcc, the first and the second pull-down transistors PD1 and PD2 share a source/drain region and electrically connect to a voltage source Vss.
  • Preferably, the first and the second pull-up transistors PL1 and PL2 of the 6T-SRAM cell 10 are composed of p-type metal oxide semiconductor (PMOS) transistors; the first and the second pull-down transistors PD1 and PD2, and first and the second access transistors PG1 and PG2 are composed of n-type metal oxide semiconductor (NMOS) transistors. The first pull-up transistor PL1 and the first pull-down transistor PD1 constitute an inverter, which further form a series circuit 28. One end of the series circuit 28 is connected to a voltage source Vcc and the other end of the series circuit 28 is connected to a voltage source Vss. Similarly, the second pull-up transistor PL2 and the second pull-down transistor PD2 constitute another inverter and a series circuit 30. One end of the series circuit 30 is connected to the voltage source Vcc and the other end of the series circuit 30 is connected to the voltage source Vss.
  • The storage node 24 is connected to the respective gates of the second pull-down transistor PD2 and the second pull-up transistor PL2. The storage node 24 is also connected to the drains of the first pull-down transistor PD1, the first pull-up transistor PL1, and the first access transistor PG1. Similarly, the storage node 26 is connected to the respective gates of the first pull-down transistor PD1 and first the pull-up transistor PL1. The storage node 26 is also connected to the drains of the second pull-down transistor PD2, the second pull-up transistor PL2, and the second access transistor PG2. The gates of the first and the second access transistors PG1 and PG2 are respectively coupled to a word line (WL), and the sources are coupled to a relative data line (BL).
  • Referring to FIGS. 3-6 , FIGS. 3-6 illustrate a method for fabricating a semiconductor device according to an embodiment of the present invention. As shown in FIG. 1 , a substrate 52, such as a silicon substrate or silicon-on-insulator (SOI) substrate is provided, at least a fin-shaped structure 54 is formed on the substrate 52, and the bottom of the fin-shaped structure 54 is surrounded by an insulating layer made of silicon oxide to form a shallow trench isolation (STI) 56. It should be noted that even though this embodiment pertains to a FinFET process, it would also be desirable to apply the process of this embodiment to a non-planar MOS transistor, which is also within the scope of the present invention.
  • The fin-shaped structure 54 of this embodiment is preferably obtained by a sidewall image transfer (SIT) process. For instance, a layout pattern is first input into a computer system and is modified through suitable calculation. The modified layout is then defined in a mask and further transferred to a layer of sacrificial layer on a substrate through a photolithographic and an etching process. In this way, several sacrificial layers distributed with a same spacing and of a same width are formed on a substrate. Each of the sacrificial layers may be stripe-shaped. Subsequently, a deposition process and an etching process are carried out such that spacers are formed on the sidewalls of the patterned sacrificial layers. In a next step, sacrificial layers can be removed completely by performing an etching process. Through the etching process, the pattern defined by the spacers can be transferred into the substrate underneath, and through additional fin cut processes, desirable pattern structures, such as stripe patterned fin-shaped structures could be obtained.
  • Alternatively, the fin-shaped structure 54 of this embodiment could also be obtained by first forming a patterned mask (not shown) on the substrate, 52, and through an etching process, the pattern of the patterned mask is transferred to the substrate 52 to form the fin-shaped structure 54. Moreover, the formation of the fin-shaped structure 54 could also be accomplished by first forming a patterned hard mask (not shown) on the substrate 52, and a semiconductor layer composed of silicon germanium is grown from the substrate 52 through exposed patterned hard mask via selective epitaxial growth process to form the corresponding fin-shaped structure 54. These approaches for forming fin-shaped structure 54 are all within the scope of the present invention.
  • Next, gate structures 58, 60 or dummy gates are formed on the substrate 52. In this embodiment, the formation of the gate structures 58, 60 could be accomplished by sequentially depositing a gate dielectric layer 62, a gate material layer 64, and a selective hard mask 66 on the substrate 52, conducting a pattern transfer process by using a patterned resist (not shown) as mask to remove part of the hard mask 66, part of the gate material layer 64, and part of the gate dielectric layer 62, and then stripping the patterned resist to form dummy gates or gate structures 58, 60 on the fin-shaped structure 54. Each of the gate structures 58, 60 preferably includes a patterned gate dielectric layer 62, a patterned material layer 64, and a patterned hard mask 66, in which the gate dielectric layer 62 includes silicon oxide and the gate material layer 64 includes polysilicon, but not limited thereto.
  • Next, at least a spacer 68 is formed on sidewalls of each of the gate structures 58, 60, a source/drain region 70 and epitaxial layers 72 are formed in the fin-shaped structure 54 and/or substrate 52 adjacent to two sides of the spacer 68. In this embodiment, the spacer 68 could be a single spacer or a composite spacer. For instance, the spacer 68 could further include an offset spacer (not shown) and a main spacer (not shown), and the spacer 68 could be selected from the group consisting of SiO2, SiN, SiON, and SiCN. The source/drain region 70 and epitaxial layers 72 could include different dopants or different materials depending on the type of transistor being fabricated. For instance, the source/drain region 70 could include p-type or n-type dopants and the epitaxial layers 72 could include silicon germanium (SiGe), silicon carbide (SiC), or silicon phosphide (SiP).
  • Next, a contact etch stop layer (CESL) 74 composed of silicon nitride could be selectively formed on the substrate 52 to cover the gate structures 58, 60, and an interlayer dielectric (ILD) layer 76 is formed on the CESL 74. Next, a planarizing process, such as chemical mechanical polishing (CMP) process is conducted to remove part of the ILD layer 76 and part of the CESL 74 to expose the hard masks 66 so that the top surfaces of the hard masks 66 and the ILD layer 76 are coplanar.
  • Next, a replacement metal gate (RMG) process is conducted to transform the gate structures 58, 60 into metal gates. For instance, a selective dry etching or wet etching process could be conducted by using etchant including ammonium hydroxide (NH4OH) or tetramethylammonium hydroxide (TMAH) to remove the hard mask 66, the gate material layer 64, and gate dielectric layer 62 in the gate structures 58, 60 for forming recesses (not shown) in the ILD layer 76.
  • Next, an interfacial layer 78, a high-k dielectric layer 80, a selective bottom barrier metal (BBM) layer, a work function metal layer 82, and a low resistance metal layer 84 are sequentially formed in the recesses, and a planarizing process such as CMP is conducted to remove part of the low resistance metal layer 84, part of the work function metal layer 82, and part of the high-k dielectric layer 80 to form metal gates 86.
  • In this embodiment, the high-k dielectric layer 80 is preferably selected from dielectric materials having dielectric constant (k value) larger than 4. For instance, the high-k dielectric layer 80 may be selected from hafnium oxide (HfO2), hafnium silicon oxide (HfSiO4), hafnium silicon oxynitride (HfSiON), aluminum oxide (Al2O3), lanthanum oxide (La2O3), tantalum oxide (Ta2O5), yttrium oxide (Y2O3), zirconium oxide (ZrO2), strontium titanate oxide (SrTiO3), zirconium silicon oxide (ZrSiO4), hafnium zirconium oxide (HfZrO4), strontium bismuth tantalate (SrBi2Ta2O9, SBT), lead zirconate titanate (PbZrxTi1-xO3, PZT), barium strontium titanate (BaxSr1-xTiO3, BST) or a combination thereof. Preferably, the BBM layer could be selected from the group consisting of TiN and TaN, but not limited thereto.
  • In this embodiment, the work function metal layer 82 is formed for tuning the work function of the later formed metal gates to be appropriate in an NMOS or a PMOS. For an NMOS transistor, the work function metal layer 82 having a work function ranging between 3.9 eV and 4.3 eV may include titanium aluminide (TiAl), zirconium aluminide (ZrAl), tungsten aluminide (WAl), tantalum aluminide (TaAl), hafnium aluminide (HfAl), or titanium aluminum carbide (TiAlC), but it is not limited thereto. For a PMOS transistor, the work function metal layer 82 having a work function ranging between 4.8 eV and 5.2 eV may include titanium nitride (TiN), tantalum nitride (TaN), tantalum carbide (TaC), but it is not limited thereto. An optional barrier layer (not shown) could be formed between the work function metal layer 82 and the low resistance metal layer 84, in which the material of the barrier layer may include titanium (Ti), titanium nitride (TiN), tantalum (Ta) or tantalum nitride (TaN). Furthermore, the material of the low-resistance metal layer 84 may include copper (Cu), aluminum (Al), titanium aluminum (TiAl), cobalt tungsten phosphide (CoWP) or any combination thereof.
  • Next, part of the high-k dielectric layer 80, part of the BBM layer, part of the work function metal layer 82, and part of the low resistance metal layer 84 could be removed to form recesses (not shown), and a hard mask 88 could be formed in each of the recesses so that the top surfaces of the hard masks 88 and ILD layer 76 are coplanar. The hard masks 88 could be selected from the group consisting of silicon oxide, silicon nitride, silicon oxynitride, and silicon carbon nitride.
  • Next, a contact plug formation could be conducted to form contact plugs 90 electrically connected to the source/drain regions 70 and the gate structure 60. In this embodiment, the formation of contact plugs 90 could be accomplished by first removing part of the ILD layer 76 and part of the CESL 74 adjacent to two sides of the gate structures 60 and part or even all of the hard mask 88 directly on top of the gate structure 60 to form contact holes (not shown), in which the contact holes adjacent to two sides of the gate structure 60 preferably expose the epitaxial layers 72 while the contact hole directly on top of the gate structure 60 exposes the metal gate 86.
  • Next, a first barrier layer 92 and a second barrier layer 94 are deposited in sequence in each of the contact holes, in which the first barrier layer 92 and the second barrier layer 94 are formed conformally on the surface of the epitaxial layers 72 and inner sidewalls of the contact holes. In this embodiment, the first barrier layer 92 is selected from the group consisting of Ti, Co, Ni, and Pt, and most preferably Ti, and the second barrier layer 94 is selected from the group consisting of TiN and TaN.
  • After depositing the first barrier layer 92 and second barrier layer 94, a first thermal treatment process and a second thermal treatment process are conducted sequentially to form a silicide 96 on the surface of the epitaxial layers 72. In this embodiment, the first thermal treatment process includes a soak anneal process, in which the temperature of the first thermal treatment process is preferably between 500° C. to 600° C., and most preferably at 550° C., and the duration of the first thermal treatment process is preferably between 10 seconds to 60 seconds, and most preferably at 30 seconds. The second thermal treatment process includes a spike anneal process, in which the temperature of the second thermal treatment process is preferably between 600° C. to 950° C., and most preferably at 600° C., and the duration of the second thermal treatment process is preferably between 100 milliseconds to 5 seconds, and most preferably at 5 seconds.
  • After the two thermal treatment processes are conducted, a metal layer 98 is deposited to fully fill the contact holes. In this embodiment, the metal layer 98 is preferably composed of tungsten, but not limited thereto. Next, a planarizing process such as a CMP process is conducted to remove part of the metal layer 98, part of the second barrier layer 94, and part of the first barrier layer 92, and depending on the demand of the process also removing part of the ILD layer 76 for forming contact plugs 90 electrically connected to or directly contacting the epitaxial layers 72 and the gate structure 60.
  • It should be noted that the second barrier layer 94 in this embodiment preferably includes titanium nitride (TiN) and the formation of the second barrier layer 94 is accomplished by conducting a deposition process and a treatment process alternately and repeatedly to form a second barrier layer 94 having gradient concentration of TiN. Referring to FIG. 5 , FIG. 5 illustrates a method for forming the second barrier layer 94 according to an embodiment of the present invention. As shown in FIG. 5 , the formation of the second barrier layer 94 includes the steps of first performing a first deposition process to form a first layer 100 in each of the contact holes, performing a first treatment process on the first layer 100, performing a second deposition process to form a second layer 102 on the first layer 100, and then performing a second treatment process on the second layer 102, in which the first deposition process and the second deposition process both involves deposition of TiN, the first treatment process and the second treatment process both include the injection of hydrogen gas and/or nitrogen gas, and the duration of the second treatment process for injecting reacting gas such as nitrogen gas is preferably greater than the duration of the first treatment process for injecting nitrogen gas.
  • In other words, by extending the time for injecting nitrogen gas during the second treatment process, the present invention preferably controls the nitrogen concentration in the second barrier layer 94 such that the nitrogen concentration in the first layer 100 is lower than the nitrogen concentration in the second layer 102 while the titanium concentration in the second layer 102 is lower than the titanium concentration in the first layer 100. Viewing from another perspective, the second barrier layer 94 closer to the substrate 52 preferably includes higher titanium concentration and lower nitrogen concentration while the second barrier layer 94 farther from the substrate 52 preferably includes lower titanium concentration and higher nitrogen concentration, in which the portion of the second barrier layer 94 closer to the substrate 52 having higher titanium concentration could be used to facilitate the formation of silicide 96 while the portion farther from the substrate 52 or closer to the metal layer 98 could be serving as metal barrier. Moreover, since higher nitrogen concentration closer to the substrate 52 could induce poor adhesion of the barrier layer and metal layer 98 onto the CESL thereby resulting metal loss and poor performance, the present invention preferably employs the aforementioned approach to adjust concentration of nitrogen atoms in the second barrier layer 94 for resolving this issue. It should be further noted that even though only two deposition processes and two treatment processes were conducted in this embodiment, the number of deposition process and treatment process as well as the number of TiN layers constituting the second barrier layer 94 could all be adjusted depending on the demand of product.
  • Next, as shown in FIG. 6 , a stop layer 104 and another ILD layer 106 are formed on the ILD layer 76, and one or more photo-etching process is conducted to remove part of the ILD layer 106, part of the stop layer 104, and the hard mask 88 directly on the gate structure 58 to form contact holes (not shown). Next, conductive materials are deposited into each of the contact holes and a planarizing process such as CMP is conducted to form metal interconnections or contact plugs 108, 110 directly connecting the contact plugs 90 underneath, in which the contact plug 108 is connected to or directly contacting the gate structure 58 and the contact plug 90 between gate structures 58, 60 while the contact plug 110 is connected to or directly contacting the lower level contact plug 90 that is directly on top of the gate structure 60. Next, an inter-metal dielectric (IMD) layer 112 is formed on the ILD layer 106, one or more photo-etching process is conducted to remove part of the IMD layer 112, part of the ILD layer 106, and part of the stop layer 104 to form a contact hole, conductive materials are deposited into the contact hole, and a planarizing process such as CMP is conducted to form a metal interconnection 114 or contact plug directly contacting the contact plug 90 adjacent to the right side of the gate structure 60.
  • In this embodiment, the stop layer 104 could include nitrogen doped carbide (NDC), silicon nitride, silicon carbon nitride (SiCN), or combination thereof. Similar to the contact plugs 90 formed previously, each of the metal interconnection 114 or contact plugs 108, 110 could all be formed in the ILD layer 106 and IMD layer 112 through single damascene or dual damascene process. For instance, each of the contact plugs 108, 110 and the metal interconnection 114 could further include a barrier layer and a metal layer, in which the barrier layer could be selected from the group consisting of titanium (Ti), titanium nitride (TiN), tantalum (Ta), and tantalum nitride (TaN) and the metal layer could be selected from the group consisting of tungsten (W), copper (Cu), aluminum (Al), titanium aluminide (TiAl), and cobalt tungsten phosphide (CoWP). Since single damascene process and dual damascene process are well known to those skilled in the art, the details of which are not explained herein for the sake of brevity. This completes the fabrication of a semiconductor device according to an embodiment of the present invention.
  • Overall, the present invention preferably conducts multiple deposition and treatment processes alternately to form a TiN barrier layer with gradient concentration during fabrication of SRAM device, in which the bottom portion of the barrier layer preferably includes a titanium rich portion while the top portion of the barrier layer preferably includes a nitrogen rich portion. According to the aforementioned embodiment, the bottom portion or portion closer to the substrate having higher titanium concentration could be used to facilitate the formation of silicide 96 while the top portion or portion farther from the substrate 52 and closer to the metal layer 98 could be serving as metal barrier. Since higher nitrogen concentration closer to the substrate 52 could induce poor adhesion of the barrier layer and metal layer 98 onto the CESL thereby resulting metal loss and poor performance, the present invention preferably employs the aforementioned approach to adjust concentration of nitrogen atoms in the second barrier layer 94 for resolving this issue.
  • Moreover, another embodiment of the present invention preferably divides the contact plug (typically referred to as M0PY contact plug) penetrating through two ILD layers 76, 106 and connecting the gate structure 60 into two portions, in which the top surface of the contact plug 90 within the lower level ILD layer 76 and directly on top of the gate structure 60 is even with the top surface of the contact plug 90 connecting to the source/drain region 70 and epitaxial layers 72 on adjacent two sides while the top surface of the contact plug 110 within the higher level ILD layer 106 and directly on the gate structure 60 is even with the top surface of the contact plug 108 connecting the lower level contact plug 90 and the gate structure 58 at the same time. By dividing the M0PY contact plug into upper and lower portions, it would be desirable to lower overall height of the contact plug and significantly reduce the occurrence of short circuit between adjacent devices as fabrication pitch and exposure distance decrease.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (11)

What is claimed is:
1. A method for fabricating a static random access memory (SRAM), comprising:
forming a gate structure on a substrate;
forming an epitaxial layer adjacent to the gate structure;
forming a first interlayer dielectric (ILD) layer around the gate structure;
transforming the gate structure into a metal gate;
forming a first contact hole exposing the epitaxial layer; and
forming a first barrier layer in the first contact hole, wherein a bottom portion of the first barrier layer comprises a titanium rich portion and a top portion of the first barrier layer comprises a nitrogen rich portion.
2. The method of claim 1, further comprising:
forming the first contact hole exposing the epitaxial layer and a second contact hole exposing the metal gate;
forming the first barrier layer in the first contact hole and the second contact hole;
forming a metal layer on the first barrier layer;
planarizing the metal layer and the first barrier layer to form a first contact plug and a second contact plug;
forming a second ILD layer on the first ILD layer; and
forming a third contact plug on the first contact plug and a fourth contact plug on the second contact plug.
3. The method of claim 1, further comprising performing an anneal process after forming the metal layer to form a silicide.
4. The method of claim 1, wherein the step of forming the first barrier layer comprises:
performing a first deposition process to form a first layer in the first contact hole;
performing a first treatment process on the first layer;
performing a second deposition process to form a second layer on the first layer; and
performing a second treatment process on the second layer.
5. The method of claim 4, wherein a nitrogen concentration of the first layer is lower than a nitrogen concentration of the second layer.
6. The method of claim 4, wherein a titanium concentration of the second layer is lower than a titanium concentration of the first layer.
7. The method of claim 4, wherein the first treatment process comprises hydrogen gas and nitrogen gas.
8. The method of claim 4, wherein the second treatment process comprises hydrogen gas and nitrogen gas.
9. The method of claim 4, wherein a duration of the second treatment process is greater than a duration of the first treatment process.
10. The method of claim 1, further comprising forming a second barrier layer in the first contact hole before forming the first barrier layer.
11. The method of claim 10, wherein the first barrier layer comprises titanium nitride (TiN) and the second barrier layer comprises titanium (Ti).
US17/991,765 2020-06-11 2022-11-21 Static random access memory and method for fabricating the same Pending US20230090612A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/991,765 US20230090612A1 (en) 2020-06-11 2022-11-21 Static random access memory and method for fabricating the same

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
CN202010529866.7A CN113809083A (en) 2020-06-11 2020-06-11 Static random access memory and manufacturing method thereof
CN202010529866.7 2020-06-11
US16/923,117 US11538813B2 (en) 2020-06-11 2020-07-08 Static random access memory and method for fabricating the same
US17/991,765 US20230090612A1 (en) 2020-06-11 2022-11-21 Static random access memory and method for fabricating the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US16/923,117 Division US11538813B2 (en) 2020-06-11 2020-07-08 Static random access memory and method for fabricating the same

Publications (1)

Publication Number Publication Date
US20230090612A1 true US20230090612A1 (en) 2023-03-23

Family

ID=78826598

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/923,117 Active US11538813B2 (en) 2020-06-11 2020-07-08 Static random access memory and method for fabricating the same
US17/991,765 Pending US20230090612A1 (en) 2020-06-11 2022-11-21 Static random access memory and method for fabricating the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/923,117 Active US11538813B2 (en) 2020-06-11 2020-07-08 Static random access memory and method for fabricating the same

Country Status (2)

Country Link
US (2) US11538813B2 (en)
CN (1) CN113809083A (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114242688A (en) * 2020-09-09 2022-03-25 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5240880A (en) * 1992-05-05 1993-08-31 Zilog, Inc. Ti/TiN/Ti contact metallization
CN1275313C (en) * 2002-05-15 2006-09-13 旺宏电子股份有限公司 Method for producing contact plug
KR20040069665A (en) 2003-01-30 2004-08-06 주식회사 하이닉스반도체 SRAM cell and method of manufacturing the same
JP4723975B2 (en) * 2005-10-25 2011-07-13 株式会社東芝 Semiconductor device and manufacturing method thereof
DE102005052000B3 (en) * 2005-10-31 2007-07-05 Advanced Micro Devices, Inc., Sunnyvale Semiconductor device having a contact structure based on copper and tungsten
JP4575400B2 (en) * 2007-05-08 2010-11-04 株式会社東芝 Manufacturing method of semiconductor device
JP2008311457A (en) * 2007-06-15 2008-12-25 Renesas Technology Corp Manufacturing method of semiconductor device
US8258572B2 (en) 2009-12-07 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with FinFETs having multiple fins
JP2012049227A (en) * 2010-08-25 2012-03-08 Renesas Electronics Corp Semiconductor integrated circuit device and semiconductor integrated circuit manufacturing method
US9384984B2 (en) * 2013-09-03 2016-07-05 United Microelectronics Corp. Semiconductor structure and method of forming the same
US9472448B2 (en) * 2014-03-14 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plug without seam hole and methods of forming the same
CN106409889B (en) * 2015-08-03 2021-06-22 联华电子股份有限公司 Semiconductor device with a plurality of semiconductor chips
US10141225B2 (en) * 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10297602B2 (en) * 2017-05-18 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Implantations for forming source/drain regions of different transistors
CN109728078B (en) * 2017-10-30 2022-09-20 中芯国际集成电路制造(上海)有限公司 Semiconductor device and manufacturing method thereof
US10998421B2 (en) * 2018-07-16 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing pattern loading in the etch-back of metal gate

Also Published As

Publication number Publication date
CN113809083A (en) 2021-12-17
US20210391339A1 (en) 2021-12-16
US11538813B2 (en) 2022-12-27

Similar Documents

Publication Publication Date Title
US10236355B2 (en) Fabrication of a vertical fin field effect transistor with a reduced contact resistance
US9929168B2 (en) Embedded memory and methods of forming the same
US10629695B2 (en) Semiconductor device and method for fabricating the same
US20190341309A1 (en) Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
US11832431B2 (en) Method for manufacturing static random access memory device
US10141263B2 (en) Method for fabricating semiconductor device
US11004850B2 (en) Vertical fin field effect transistor devices with a replacement metal gate
US10396171B2 (en) Semiconductor structure and manufacturing method thereof
US7868461B2 (en) Embedded interconnects, and methods for forming same
US11508735B2 (en) Cell manufacturing
US20220130971A1 (en) Semiconductor device having embedded conductive line and method of fabricating thereof
US20230090612A1 (en) Static random access memory and method for fabricating the same
US10522552B2 (en) Method of fabricating vertical transistor device
US10347645B2 (en) Method for fabricating static random access memory having insulating layer with different thicknesses
TWI737308B (en) Integrated circuit and method of manufacturing integrated circuit including mfmis-fet for capacitance matching
US20220278093A1 (en) Capacitor in nanosheet
US20230380148A1 (en) Semiconductor device and method for fabricating the same
US20220392905A1 (en) One-time programmable memory device and method for fabricating the same
US20230397405A1 (en) Semiconductor device including pad pattern

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION