US20220365446A1 - Computational metrology based correction and control - Google Patents

Computational metrology based correction and control Download PDF

Info

Publication number
US20220365446A1
US20220365446A1 US17/874,582 US202217874582A US2022365446A1 US 20220365446 A1 US20220365446 A1 US 20220365446A1 US 202217874582 A US202217874582 A US 202217874582A US 2022365446 A1 US2022365446 A1 US 2022365446A1
Authority
US
United States
Prior art keywords
substrate
parameter
contribution
metrology
map
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/874,582
Inventor
Manouk RIJPSTRA
Cornelis Johannes Henricus LAMBREGTS
Wim Tjibbo Tel
Sarathi ROY
Cédric Désiré GROUWSTRA
Chi-Fei NIEN
Weitian KOU
Chang-Wei Chen
Pieter Gerardus Jacobus SMORENBERG
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Priority to US17/874,582 priority Critical patent/US20220365446A1/en
Publication of US20220365446A1 publication Critical patent/US20220365446A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70533Controlling abnormal operating mode, e.g. taking account of waiting time, decision to rework or rework flow
    • G06T5/80
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/60Analysis of geometric attributes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Definitions

  • the present disclosure relates to techniques of improving the performance of a device manufacturing process.
  • the techniques may be used in connection with a lithographic apparatus or a metrology apparatus.
  • a lithography apparatus is a machine that applies a desired pattern onto a target portion of a substrate.
  • Lithography apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern corresponding to an individual layer of the IC, and this pattern can be imaged onto a target portion (e.g. comprising part of, one or several dies) on a substrate (e.g. a silicon wafer) that has a layer of radiation-sensitive material (resist).
  • a single substrate will contain a network of adjacent target portions that are successively exposed.
  • lithography apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion in one go, and so-called scanners, in which each target portion is irradiated by scanning the pattern through the beam in a given direction (the “scanning”-direction) while synchronously scanning the substrate parallel or anti parallel to this direction.
  • the substrate Prior to transferring the circuit pattern from the patterning device to the substrate, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures, such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred circuit pattern. This array of procedures is used as a basis to make an individual layer of a device, e.g., an IC.
  • the substrate may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off the individual layer of the device. If several layers are required in the device, then the whole procedure, or a variant thereof, is repeated for each layer. Eventually, a device will be present in each target portion on the substrate. These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc.
  • manufacturing devices typically involves processing a substrate (e.g., a semiconductor wafer) using a number of fabrication processes to form various features and multiple layers of the devices.
  • a substrate e.g., a semiconductor wafer
  • Such layers and features are typically manufactured and processed using, e.g., deposition, lithography, etch, chemical-mechanical polishing, and ion implantation.
  • Multiple devices may be fabricated on a plurality of dies on a substrate and then separated into individual devices. This device manufacturing process may be considered a patterning process.
  • a patterning process involves a patterning step, such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
  • a patterning step such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
  • a method for determining correction to a patterning process includes steps for obtaining a plurality of qualities of the patterning process, selecting, by a hardware computer system, a representative quality from the plurality of qualities, and determining, by the hardware computer system, a correction to the patterning process based on the representative quality.
  • the plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process.
  • the representative quality is a representative parameter map selected from the plurality of parameter maps.
  • the plurality of qualities is one or more corrections corresponding to a plurality of parameter maps of the patterning process for a lot of substrates processed during the patterning process, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process.
  • the representative quality is a representative correction selected from one or more corrections to the patterning process.
  • the selecting of the representative quality is based on weighted averaging of the plurality of the qualities.
  • the selecting of the representative quality is based on a correction potential of the apparatus of the patterning process.
  • the correction includes correction to the apparatus for substrates of a subsequent lot of substrate, a subsequent apparatus for substrates within said lot of substrates to be processed at the subsequent apparatus, and/or correction to other apparatus of the patterning process.
  • the parameter of the patterning process is an overlay, a critical dimension, a focus, and/or an edge placement error.
  • the apparatus is a scanner.
  • the data related to the scanner include levelling data, alignment data, aberration data associated with a projection system, and/or reticle phase.
  • the plurality of parameter maps includes parameter maps for one or more parameters including an overlay, a critical dimension, focus, and/or an edge placement error.
  • Each parameter map of the plurality of parameter maps is a high-density map of a parameter, the high-density map is generated, by modelling and/or simulation of a contribution of one or more processing variables of the patterning process to the parameter.
  • the processing variable is a focus and/or a dose.
  • a method for applying correction to a patterning process includes obtaining (i) a representative quality selected from a plurality of qualities of the patterning process, and (ii) a correction based on the representative quality, and applying, by a hardware computer system, the correction to the patterning process for substrates within the lot of substrate, and/or for substrates of a subsequent lot of substrate.
  • the plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process.
  • the representative quality is a representative parameter map selected from the plurality of parameter maps.
  • the plurality of qualities is one or more corrections corresponding to a plurality of parameter maps of the patterning process for a lot of substrates processed during the patterning process, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process.
  • the representative quality is a representative correction selected from one or more corrections to the patterning process
  • the selecting of the representative quality is based on weighted averaging of the plurality of the qualities.
  • the selecting of the representative quality is based on a correction potential of the apparatus of the patterning process.
  • a method for determining correction to a patterning process including obtaining (i) a plurality of qualities of the patterning process for a previously processed lot of substrates, (ii) measurements of a current substrate, and (iii) a current quality based on the measurements of the current substrate, identifying, by a hardware computer system, a matching quality from the plurality of qualities based on a comparison between the current quality and the plurality of qualities, and determining, by the hardware computer system, a correction to the patterning process based on the matching quality.
  • the plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process.
  • the current quality is a current substrate map generated from the measurements of the current substrate.
  • the matching quality is a matching parameter map identified from the plurality of parameter maps based on a comparison between the current substrate map and the plurality of parameter maps.
  • the plurality of qualities is one or more corrections corresponding to a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process.
  • the current quality is a current correction based on a current substrate map generated from the measurements of the current substrate.
  • the matching quality is a matching correction map identified from the one or more corrections based on a comparison between the current correction and the one or more corrections.
  • the method further includes steps for obtaining a modelled parameter map, by modelling and/or simulation, based on the measurements of the current substrate and data of the apparatus of the patterning process, and identifying, by the hardware computer system, the matching parameter map from the plurality of parameter maps based on a comparison between the modelled parameter map and the plurality of parameter maps, and determining, by the hardware computer system, the correction to the patterning process based on the matching parameter map.
  • the method further includes steps for obtaining one or more categories of substrates based on the plurality of the parameter maps, and/or one or more corrections corresponding to the one more categories of substrates, identifying, by the hardware computer system, a substrate category from the one or more categories of substrates based on the measurements of the current substrate, and selecting, by the hardware computer system, the correction to the patterning process corresponding to the identified category of the substrate.
  • the selecting of the matching quality is based on weighted averaging of the plurality of the qualities.
  • the selecting of the matching quality is based on a correction potential of the apparatus of the patterning process.
  • the correction comprises correction to a subsequent apparatus for substrates within said lot of substrate, correction to the apparatus for substrates of a subsequent lot of substrate, and/or correction to other apparatus of the patterning process.
  • the method includes steps for obtaining (i) a matching quality from a plurality of qualities of the patterning process for a previously processed substrate, the matching quality identified based on a comparison between a current quality and the plurality of qualities, the current quality is based on measurements of the current substrate, and (ii) a correction based on the matching quality, and applying, by a hardware computer system, the correction to the patterning process for the current substrate.
  • the plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process.
  • the current quality is a current substrate map generated from the measurements of the current substrate.
  • the matching quality is a matching parameter map identified from the plurality of parameter maps based on a comparison between the current substrate map and the plurality of parameter maps.
  • the plurality of qualities is one or more corrections corresponding to a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process.
  • the current quality is a current correction based on a current substrate map generated from the measurements of the current substrate.
  • the matching quality is a matching correction map identified from the one or more corrections based on a comparison between the current correction and the one or more corrections.
  • the method further includes steps for obtaining a modelled parameter map, by modelling and/or simulation, based on the measurements of the current substrate and data of apparatus of the patterning process, and identifying, by the hardware computer system, the matching parameter map from the plurality of parameter maps based on a comparison between the modelled parameter map and the plurality of parameter maps, and determining, by the hardware computer system, the correction to the patterning process based on the matching parameter map.
  • the method further includes steps for obtaining one or more categories of substrates based on the plurality of the parameter maps, and/or one or more corrections corresponding to the one more categories of substrates, and identifying, by the hardware computer system, a substrate category from the one or more categories of substrates based on the measurements of the current substrate, and selecting, by the hardware computer system, the correction corresponding to the identified category of the substrate.
  • the selecting of the matching quality is based on weighted averaging of the plurality of the qualities.
  • the selecting of the matching quality is based on a correction potential of the apparatus of the patterning process.
  • the correction comprises correction to a subsequent apparatus for substrates within said lot of substrate, correction to the apparatus for substrates of a subsequent lot of substrate, and/or correction to other apparatus of the patterning process.
  • FIG. 1 schematically depicts a lithography apparatus according to an embodiment.
  • FIG. 2 schematically depicts an embodiment of a lithographic cell or cluster
  • FIG. 3 schematically depicts an example inspection apparatus and metrology technique.
  • FIG. 4 schematically depicts an example inspection apparatus.
  • FIG. 5 illustrates the relationship between an illumination spot of an inspection apparatus and a metrology target.
  • FIG. 6 schematically depicts a process of deriving a plurality of variables of interest based on measurement data.
  • FIG. 7 shows example categories of processing variables.
  • FIG. 8 schematically shows that changes of processing variables may have contributions to metrology data.
  • FIG. 9 schematically shows an example of deriving a combined contribution to a processing variable.
  • FIG. 10 schematically shows an example of deriving a contribution to a processing variable from metrology data.
  • FIG. 11 schematically shows a flow for a method, according to an embodiment.
  • FIG. 12 schematically shows a flow for a method, according to an embodiment.
  • FIG. 13 schematically shows a flow for a method, according to an embodiment.
  • FIG. 14 schematically shows a flow for a method, according to an embodiment.
  • FIG. 15 schematically shows a flow for a method, according to an embodiment.
  • FIG. 16 schematically shows a flow for a method, according to an embodiment.
  • FIG. 17A schematically shows a flow for a method, according to an embodiment.
  • FIG. 17B illustrate example categories of high density maps, according to an embodiment.
  • FIG. 18 schematically shows a flow for a method, according to an embodiment.
  • FIG. 19 schematically shows a flow for a method, according to an embodiment.
  • FIG. 20 schematically shows a flow for a (a) set-up method and (b) computational metrology method, according to an embodiment.
  • FIG. 21 is a block diagram of an example computer system.
  • FIG. 22 schematically shows a flow for a method, according to an embodiment.
  • FIG. 1 schematically depicts an embodiment of a lithographic apparatus LA.
  • the apparatus comprises:
  • an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. UV radiation or DUV radiation);
  • a radiation beam B e.g. UV radiation or DUV radiation
  • a support structure e.g. a mask table
  • MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters;
  • a substrate table e.g. a wafer table
  • WT e.g., WTa, WTb or both
  • a substrate e.g. a resist-coated wafer
  • a projection system e.g. a refractive projection lens system PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies and often referred to as fields) of the substrate W, the projection system supported on a reference frame (RF).
  • a target portion C e.g. comprising one or more dies and often referred to as fields
  • the apparatus is of a transmissive type (e.g. employing a transmissive mask).
  • the apparatus may be of a reflective type (e.g. employing a programmable mirror array of a type as referred to above, or employing a reflective mask).
  • the illuminator IL receives a beam of radiation from a radiation source SO.
  • the source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD comprising for example suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the apparatus, for example when the source is a mercury lamp.
  • the source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
  • the illuminator IL may alter the intensity distribution of the beam.
  • the illuminator may be arranged to limit the radial extent of the radiation beam such that the intensity distribution is non-zero within an annular region in a pupil plane of the illuminator IL. Additionally or alternatively, the illuminator IL may be operable to limit the distribution of the beam in the pupil plane such that the intensity distribution is non-zero in a plurality of equally spaced sectors in the pupil plane.
  • the intensity distribution of the radiation beam in a pupil plane of the illuminator IL may be referred to as an illumination mode.
  • the illuminator IL may comprise adjuster AM configured to adjust the (angular/spatial) intensity distribution of the beam.
  • adjuster AM configured to adjust the (angular/spatial) intensity distribution of the beam.
  • at least the outer and/or inner radial extent (commonly referred to as ⁇ -outer and ⁇ -inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted.
  • the illuminator IL may be operable to vary the angular distribution of the beam.
  • the illuminator may be operable to alter the number, and angular extent, of sectors in the pupil plane wherein the intensity distribution is non-zero.
  • the intensity distribution may have a multi-pole distribution such as, for example, a dipole, quadrupole or hexapole distribution.
  • a desired illumination mode may be obtained, e.g., by inserting an optic which provides that illumination mode into the illuminator IL or using a spatial light modulator.
  • the illuminator IL may be operable alter the polarization of the beam and may be operable to adjust the polarization using adjuster AM.
  • the polarization state of the radiation beam across a pupil plane of the illuminator IL may be referred to as a polarization mode.
  • the use of different polarization modes may allow greater contrast to be achieved in the image formed on the substrate W.
  • the radiation beam may be unpolarized.
  • the illuminator may be arranged to linearly polarize the radiation beam.
  • the polarization direction of the radiation beam may vary across a pupil plane of the illuminator IL.
  • the polarization direction of radiation may be different in different regions in the pupil plane of the illuminator IL.
  • the polarization state of the radiation may be chosen in dependence on the illumination mode.
  • the polarization of each pole of the radiation beam may be generally perpendicular to the position vector of that pole in the pupil plane of the illuminator IL.
  • the radiation may be linearly polarized in a direction that is substantially perpendicular to a line that bisects the two opposing sectors of the dipole.
  • the radiation beam may be polarized in one of two different orthogonal directions, which may be referred to as X-polarized and Y-polarized states.
  • the radiation in the sector of each pole may be linearly polarized in a direction that is substantially perpendicular to a line that bisects that sector.
  • This polarization mode may be referred to as XY polarization.
  • the radiation in the sector of each pole may be linearly polarized in a direction that is substantially perpendicular to a line that bisects that sector.
  • This polarization mode may be referred to as TE polarization.
  • the illuminator IL generally comprises various other components, such as an integrator IN and a condenser CO.
  • the illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • the illuminator provides a conditioned beam of radiation B, having a desired uniformity and intensity distribution in its cross section.
  • the support structure MT supports the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment.
  • the support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device.
  • the support structure may be a frame or a table, for example, which may be fixed or movable as required.
  • the support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.”
  • a patterning device used herein should be broadly interpreted as referring to any device that can be used to impart a pattern in a target portion of the substrate.
  • a patterning device is any device that can be used to impart a radiation beam with a pattern in its cross-section so as to create a pattern in a target portion of the substrate.
  • the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features.
  • the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
  • a patterning device may be transmissive or reflective.
  • Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels.
  • Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types.
  • An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam, which is reflected by the mirror matrix.
  • projection system used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”.
  • the projection system PS has an optical transfer function which may be non-uniform, which can affect the pattern imaged on the substrate W.
  • optical transfer function which may be non-uniform, which can affect the pattern imaged on the substrate W.
  • two scalar maps which describe the transmission (apodization) and relative phase (aberration) of radiation exiting the projection system PS as a function of position in a pupil plane thereof.
  • These scalar maps which may be referred to as the transmission map and the relative phase map, may be expressed as a linear combination of a complete set of basis functions.
  • a particularly convenient set is the Zernike polynomials, which form a set of orthogonal polynomials defined on a unit circle.
  • a determination of each scalar map may involve determining the coefficients in such an expansion.
  • the Zernike coefficients may be determined by calculating the inner product of a measured scalar map with each Zernike polynomial in turn and dividing this by the square of the norm of that Zernike polynomial.
  • the transmission map and the relative phase map are field and system dependent. That is, in general, each projection system PS will have a different Zernike expansion for each field point (i.e. for each spatial location in its image plane).
  • the relative phase of the projection system PS in its pupil plane may be determined by projecting radiation, for example from a point-like source in an object plane of the projection system PS (i.e. the plane of the patterning device MA), through the projection system PS and using a shearing interferometer to measure a wavefront (i.e. a locus of points with the same phase).
  • a shearing interferometer is a common path interferometer and therefore, advantageously, no secondary reference beam is required to measure the wavefront.
  • the shearing interferometer may comprise a diffraction grating, for example a two dimensional grid, in an image plane of the projection system (i.e. the substrate table WT) and a detector arranged to detect an interference pattern in a plane that is conjugate to a pupil plane of the projection system PS.
  • the interference pattern is related to the derivative of the phase of the radiation with respect to a coordinate in the pupil plane in the shearing direction.
  • the detector may comprise an array of sensing elements such as, for example, charge coupled devices (CCDs).
  • the projection system PS of a lithography apparatus may not produce visible fringes and therefore the accuracy of the determination of the wavefront can be enhanced using phase stepping techniques such as, for example, moving the diffraction grating.
  • Stepping may be performed in the plane of the diffraction grating and in a direction perpendicular to the scanning direction of the measurement.
  • the stepping range may be one grating period, and at least three (uniformly distributed) phase steps may be used.
  • three scanning measurements may be performed in the y-direction, each scanning measurement being performed for a different position in the x-direction.
  • This stepping of the diffraction grating effectively transforms phase variations into intensity variations, allowing phase information to be determined.
  • the grating may be stepped in a direction perpendicular to the diffraction grating (z direction) to calibrate the detector.
  • the diffraction grating may be sequentially scanned in two perpendicular directions, which may coincide with axes of a co-ordinate system of the projection system PS (x and y) or may be at an angle such as 45 degrees to these axes. Scanning may be performed over an integer number of grating periods, for example one grating period. The scanning averages out phase variation in one direction, allowing phase variation in the other direction to be reconstructed. This allows the wavefront to be determined as a function of both directions.
  • the transmission (apodization) of the projection system PS in its pupil plane may be determined by projecting radiation, for example from a point-like source in an object plane of the projection system PS (i.e. the plane of the patterning device MA), through the projection system PS and measuring the intensity of radiation in a plane that is conjugate to a pupil plane of the projection system PS, using a detector.
  • a detector as is used to measure the wavefront to determine aberrations may be used.
  • the projection system PS may comprise a plurality of optical (e.g., lens) elements and may further comprise an adjustment mechanism AM configured to adjust one or more of the optical elements so as to correct for aberrations (phase variations across the pupil plane throughout the field).
  • the adjustment mechanism may be operable to manipulate one or more optical (e.g., lens) elements within the projection system PS in one or more different ways.
  • the projection system may have a co-ordinate system wherein its optical axis extends in the z direction.
  • the adjustment mechanism may be operable to do any combination of the following: displace one or more optical elements; tilt one or more optical elements; and/or deform one or more optical elements. Displacement of an optical element may be in any direction (x, y, z or a combination thereof).
  • Tilting of an optical element is typically out of a plane perpendicular to the optical axis, by rotating about an axis in the x and/or y directions although a rotation about the z axis may be used for a non-rotationally symmetric aspherical optical element.
  • Deformation of an optical element may include a low frequency shape (e.g. astigmatic) and/or a high frequency shape (e.g. free form aspheres). Deformation of an optical element may be performed for example by using one or more actuators to exert force on one or more sides of the optical element and/or by using one or more heating elements to heat one or more selected regions of the optical element.
  • the transmission map of a projection system PS may be used when designing a patterning device (e.g., mask) MA for the lithography apparatus LA.
  • the patterning device MA may be designed to at least partially correct for apodization.
  • the lithographic apparatus may be of a type having two (dual stage) or more tables (e.g., two or more substrate tables WTa, WTb, two or more patterning device tables, a substrate table WTa and a table WTb below the projection system without a substrate that is dedicated to, for example, facilitating measurement, and/or cleaning, etc.).
  • the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure. For example, alignment measurements using an alignment sensor AS and/or level (height, tilt, etc.) measurements using a level sensor LS may be made.
  • the lithographic apparatus may also be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the projection system and the substrate.
  • a liquid having a relatively high refractive index e.g. water
  • An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the patterning device and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems.
  • immersion as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure.
  • a radiation beam is conditioned and provided by the illumination system IL.
  • the radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device.
  • the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W.
  • the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B.
  • the first positioner PM and another position sensor can be used to accurately position the patterning device MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan.
  • movement of the support structure MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM.
  • movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW.
  • the support structure MT may be connected to a short-stroke actuator only, or may be fixed.
  • Patterning device MA and substrate W may be aligned using patterning device alignment marks M 1 , M 2 and substrate alignment marks P 1 , P 2 .
  • the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks).
  • the patterning device alignment marks may be located between the dies.
  • the depicted apparatus could be used in at least one of the following modes:
  • step mode the support structure MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure).
  • the substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
  • step mode the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.
  • the support structure MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure).
  • the velocity and direction of the substrate table WT relative to the support structure MT may be determined by the (de-) magnification and image reversal characteristics of the projection system PS.
  • the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion.
  • the support structure MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C.
  • a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan.
  • This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • lithography apparatus may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid-crystal displays (LCDs), thin film magnetic heads, etc.
  • LCDs liquid-crystal displays
  • any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion”, respectively.
  • the substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist) or a metrology or inspection tool.
  • the disclosure herein may be applied to such and other substrate processing tools.
  • the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
  • UV radiation e.g. having a wavelength of 365, 248, 193, 157 or 126 nm
  • EUV extreme ultra-violet
  • particle beams such as ion beams or electron beams.
  • Various patterns on or provided by a patterning device may have different process windows. i.e., a space of processing variables under which a pattern will be produced within specification. Examples of pattern specifications that relate to potential systematic defects include checks for necking, line pull back, line thinning, CD, edge placement, overlapping, resist top loss, resist undercut and/or bridging.
  • the process window of all the patterns on a patterning device or an area thereof may be obtained by merging (e.g., overlapping) process windows of each individual pattern.
  • the boundary of the process window of all the patterns contains boundaries of process windows of some of the individual patterns. In other words, these individual patterns limit the process window of all the patterns.
  • hot spots or “process window limiting patterns (PWLPs),” which are used interchangeably herein.
  • PWLPs process window limiting patterns
  • the lithographic apparatus LA may form part of a lithographic cell LC, also sometimes referred to a lithocell or cluster, which also includes apparatuses to perform pre- and post-exposure processes on a substrate.
  • a lithographic cell LC also sometimes referred to a lithocell or cluster
  • these include one or more spin coaters SC to deposit one or more resist layers, one or more developers DE to develop exposed resist, one or more chill plates CH and/or one or more bake plates BK.
  • a substrate handler, or robot, RO picks up one or more substrates from input/output port I/O 1 , I/O 2 , moves them between the different process apparatuses and delivers them to the loading bay LB of the lithographic apparatus.
  • a substrate that is exposed by the lithographic apparatus is exposed correctly and consistently and/or in order to monitor a part of the patterning process (e.g., a device manufacturing process) that includes at least one pattern transfer step (e.g., an optical lithography step)
  • a pattern transfer step e.g., an optical lithography step
  • a manufacturing facility in which lithocell LC is located also typically includes a metrology system MET which measures some or all of the substrates W that have been processed in the lithocell or other objects in the lithocell.
  • the metrology system MET may be part of the lithocell LC, for example it may be part of the lithographic apparatus LA (such as alignment sensor AS).
  • the one or more measured parameters may include, for example, overlay between successive layers formed in or on the patterned substrate, critical dimension (CD) (e.g., critical linewidth) of, for example, features formed in or on the patterned substrate, focus or focus error of an optical lithography step, dose or dose error of an optical lithography step, optical aberrations of an optical lithography step, etc.
  • CD critical dimension
  • This measurement may be performed on a target of the product substrate itself and/or on a dedicated metrology target provided on the substrate. The measurement can be performed after-development of a resist but before etching or can be performed after-etch.
  • a fast and non-invasive form of specialized metrology tool is one in which a beam of radiation is directed onto a target on the surface of the substrate and properties of the scattered (diffracted/reflected) beam are measured. By evaluating one or more properties of the radiation scattered by the substrate, one or more properties of the substrate can be determined. This may be termed diffraction-based metrology.
  • diffraction-based metrology One such application of this diffraction-based metrology is in the measurement of feature asymmetry within a target. This can be used as a measure of overlay, for example, but other applications are also known.
  • asymmetry can be measured by comparing opposite parts of the diffraction spectrum (for example, comparing the ⁇ 1st and +1st orders in the diffraction spectrum of a periodic grating). This can be done as described above and as described, for example, in U.S. patent application publication US 2006-066855, which is incorporated herein in its entirety by reference.
  • Another application of diffraction-based metrology is in the measurement of feature width (CD) within a target. Such techniques can use the apparatus and methods described hereafter.
  • a substrate or other objects may be subjected to various types of measurement during or after the process.
  • the measurement may determine whether a particular substrate is defective, may establish adjustments to the process and apparatuses used in the process (e.g., aligning two layers on the substrate or aligning the patterning device to the substrate), may measure the performance of the process and the apparatuses, or may be for other purposes.
  • measurement examples include optical imaging (e.g., optical microscope), non-imaging optical measurement (e.g., measurement based on diffraction such as ASML YieldStar metrology tool, ASML SMASH metrology system), mechanical measurement (e.g., profiling using a stylus, atomic force microscopy (AFM)), and/or non-optical imaging (e.g., scanning electron microscopy (SEM)).
  • optical imaging e.g., optical microscope
  • non-imaging optical measurement e.g., measurement based on diffraction such as ASML YieldStar metrology tool, ASML SMASH metrology system
  • mechanical measurement e.g., profiling using a stylus, atomic force microscopy (AFM)
  • non-optical imaging e.g., scanning electron microscopy (SEM)
  • SEM scanning electron microscopy
  • 6,961,116 which is incorporated by reference herein in its entirety, employs a self-referencing interferometer that produces two overlapping and relatively rotated images of an alignment marker, detects intensities in a pupil plane where Fourier transforms of the images are caused to interfere, and extracts the positional information from the phase difference between diffraction orders of the two images which manifests as intensity variations in the interfered orders.
  • Metrology results may be provided directly or indirectly to the supervisory control system SCS. If an error is detected, an adjustment may be made to exposure of a subsequent substrate (especially if the inspection can be done soon and fast enough that one or more other substrates of the batch are still to be exposed) and/or to subsequent exposure of the exposed substrate. Also, an already exposed substrate may be stripped and reworked to improve yield, or discarded, thereby avoiding performing further processing on a substrate known to be faulty. In a case where only some target portions of a substrate are faulty, further exposures may be performed only on those target portions which are good.
  • a metrology apparatus is used to determine one or more properties of the substrate, and in particular, how one or more properties of different substrates vary or different layers of the same substrate vary from layer to layer.
  • the metrology apparatus may be integrated into the lithographic apparatus LA or the lithocell LC or may be a stand-alone device.
  • the target is specially designed and may comprise a periodic structure.
  • the target is a part of a device pattern, e.g., a periodic structure of the device pattern.
  • the device pattern is a periodic structure of a memory device (e.g., a Bipolar Transistor (BPT), a Bit Line Contact (BLC), etc. structure).
  • BPT Bipolar Transistor
  • BLC Bit Line Contact
  • the target on a substrate may comprise one or more 1-D periodic structures (e.g., gratings), which are printed such that after development, the periodic structural features are formed of solid resist lines.
  • the target may comprise one or more 2-D periodic structures (e.g., gratings), which are printed such that after development, the one or more periodic structures are formed of solid resist pillars or vias in the resist.
  • the bars, pillars or vias may alternatively be etched into the substrate (e.g., into one or more layers on the substrate).
  • one of the parameters of interest of a patterning process is overlay.
  • Overlay can be measured using dark field scatterometry in which the zeroth order of diffraction (corresponding to a specular reflection) is blocked, and only higher orders processed. Examples of dark field metrology can be found in PCT patent application publication nos. WO 2009/078708 and WO 2009/106279, which are hereby incorporated in their entirety by reference. Further developments of the technique have been described in U.S. patent application publications US2011-0027704, US2011-0043791 and US2012-0242970, which are hereby incorporated in their entirety by reference. Diffraction-based overlay using dark-field detection of the diffraction orders enables overlay measurements on smaller targets. These targets can be smaller than the illumination spot and may be surrounded by device product structures on a substrate. In an embodiment, multiple targets can be measured in one radiation capture.
  • FIG. 3 depicts an example inspection apparatus (e.g., a scatterometer). It comprises a broadband (white light) radiation projector 2 which projects radiation onto a substrate W. The redirected radiation is passed to a spectrometer detector 4 , which measures a spectrum 10 (intensity as a function of wavelength) of the specular reflected radiation, as shown, e.g., in the graph in the lower left. From this data, the structure or profile giving rise to the detected spectrum may be reconstructed by processor PU, e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra as shown at the bottom right of FIG. 3 .
  • processor PU e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra as shown at the bottom right of FIG. 3 .
  • Such an inspection apparatus may be configured as a normal-incidence inspection apparatus or an oblique-incidence inspection apparatus.
  • FIG. 4 Another inspection apparatus that may be used is shown in FIG. 4 .
  • the radiation emitted by radiation source 2 is collimated using lens system 12 and transmitted through interference filter 13 and polarizer 17 , reflected by partially reflecting surface 16 and is focused into a spot S on substrate W via an objective lens 15 , which has a high numerical aperture (NA), desirably at least 0.9 or at least 0.95.
  • NA numerical aperture
  • An immersion inspection apparatus using a relatively high refractive index fluid such as water
  • one or more substrate tables may be provided to hold the substrate W during measurement operations.
  • the substrate tables may be similar or identical in form to the substrate table WT of FIG. 1 .
  • Coarse and fine positioners may be provided to a second positioner PW configured to accurately position the substrate in relation to a measurement optical system.
  • Various sensors and actuators are provided for example to acquire the position of a target of interest, and to bring it into position under the objective lens 15 . Typically many measurements will be made on targets at different locations across the substrate W.
  • the substrate support can be moved in X and Y directions to acquire different targets, and in the Z direction to obtain a desired location of the target relative to the focus of the optical system. It is convenient to think and describe operations as if the objective lens is being brought to different locations relative to the substrate, when, for example, in practice the optical system may remain substantially stationary (typically in the X and Y directions, but perhaps also in the Z direction) and only the substrate moves.
  • the relative position of the substrate and the optical system is correct, it does not matter in principle which one of those is moving in the real world, or if both are moving, or a combination of a part of the optical system is moving (e.g., in the Z and/or tilt direction) with the remainder of the optical system being stationary and the substrate is moving (e.g., in the X and Y directions, but also optionally in the Z and/or tilt direction).
  • the radiation redirected by the substrate W then passes through partially reflecting surface 16 into a detector 18 in order to have the spectrum detected.
  • the detector 18 may be located at a back-projected focal plane 11 (i.e., at the focal length of the lens system 15 ) or the plane 11 may be re-imaged with auxiliary optics (not shown) onto the detector 18 .
  • the detector may be a two-dimensional detector so that a two-dimensional angular scatter spectrum of a substrate target 30 can be measured.
  • the detector 18 may be, for example, an array of CCD or CMOS sensors, and may use an integration time of, for example, 40 milliseconds per frame.
  • a reference beam may be used, for example, to measure the intensity of the incident radiation. To do this, when the radiation beam is incident on the partially reflecting surface 16 part of it is transmitted through the partially reflecting surface 16 as a reference beam towards a reference mirror 14 . The reference beam is then projected onto a different part of the same detector 18 or alternatively on to a different detector (not shown).
  • One or more interference filters 13 are available to select a wavelength of interest in the range of, say, 405-790 nm or even lower, such as 200-300 nm.
  • the interference filter may be tunable rather than comprising a set of different filters.
  • a grating could be used instead of an interference filter.
  • An aperture stop or spatial light modulator (not shown) may be provided in the illumination path to control the range of angle of incidence of radiation on the target.
  • the detector 18 may measure the intensity of redirected radiation at a single wavelength (or narrow wavelength range), the intensity separately at multiple wavelengths or integrated over a wavelength range. Furthermore, the detector may separately measure the intensity of transverse magnetic- and transverse electric-polarized radiation and/or the phase difference between the transverse magnetic- and transverse electric-polarized radiation.
  • the target 30 on substrate W may be a 1-D grating, which is printed such that after development, the bars are formed of solid resist lines.
  • the target 30 may be a 2-D grating, which is printed such that after development, the grating is formed of solid resist pillars or vias in the resist.
  • the bars, pillars or vias may be etched into or on the substrate (e.g., into one or more layers on the substrate).
  • the pattern e.g., of bars, pillars or vias
  • the pattern is sensitive to change in processing in the patterning process (e.g., optical aberration in the lithographic projection apparatus (particularly the projection system PS), focus change, dose change, etc.) and will manifest in a variation in the printed grating.
  • the measured data of the printed grating is used to reconstruct the grating.
  • One or more parameters of the 1-D grating, such as line width and/or shape, or one or more parameters of the 2-D grating, such as pillar or via width or length or shape, may be input to the reconstruction process, performed by processor PU, from knowledge of the printing step and/or other inspection processes.
  • angle resolved scatterometry is useful in the measurement of asymmetry of features in product and/or resist patterns.
  • a particular application of asymmetry measurement is for the measurement of overlay, where the target 30 comprises one set of periodic features superimposed on another.
  • the concepts of asymmetry measurement using the instrument of FIG. 3 or FIG. 4 are described, for example, in U.S. patent application publication US2006-066855, which is incorporated herein in its entirety. Simply stated, while the positions of the diffraction orders in the diffraction spectrum of the target are determined only by the periodicity of the target, asymmetry in the diffraction spectrum is indicative of asymmetry in the individual features which make up the target. In the instrument of FIG.
  • detector 18 may be an image sensor, such asymmetry in the diffraction orders appears directly as asymmetry in the pupil image recorded by detector 18 .
  • This asymmetry can be measured by digital image processing in unit PU, and calibrated against known values of overlay.
  • FIG. 5 illustrates a plan view of a typical target 30 , and the extent of illumination spot S in the apparatus of FIG. 4 .
  • the target 30 in an embodiment, is a periodic structure (e.g., grating) larger than the width (e.g., diameter) of the illumination spot S.
  • the width of spot S may be smaller than the width and length of the target.
  • the target in other words is ‘underfilled’ by the illumination, and the diffraction signal is essentially free from any signals from product features and the like outside the target itself.
  • the illumination arrangement 2 , 12 , 13 , 17 may be configured to provide illumination of a uniform intensity across a back focal plane of objective 15 . Alternatively, by, e.g., including an aperture in the illumination path, illumination may be restricted to on axis or off axis directions.
  • FIG. 6 schematically depicts an example process of the determination of the value of one or more variables of interest of a target pattern 30 ′ based on measurement data obtained using metrology. Radiation detected by the detector 18 provides a measured radiation distribution 108 for target 30 ′.
  • a radiation distribution 208 can be computed/simulated from a parameterized model 206 using, for example, a numerical Maxwell solver 210 .
  • the parameterized model 206 shows example layers of various materials making up, and associated with, the target.
  • the parameterized model 206 may include one or more of variables for the features and layers of the portion of the target under consideration, which may be varied and derived. As shown in FIG. 6 , the one or more of the variables may include the thickness t of one or more layers, a width w (e.g., CD) of one or more features, a height h of one or more features, and/or a sidewall angle a of one or more features.
  • a width w e.g., CD
  • the one or more of the variables may further include, but is not limited to, the refractive index (e.g., a real or complex refractive index, refractive index tensor, etc.) of one or more of the layers, the extinction coefficient of one or more layers, the absorption of one or more layers, resist loss during development, a footing of one or more features, and/or line edge roughness of one or more features.
  • the initial values of the variables may be those expected for the target being measured.
  • the measured radiation distribution 108 is then compared at 212 to the computed radiation distribution 208 to determine the difference between the two.
  • the values of one or more of the variables of the parameterized model 206 may be varied, a new computed radiation distribution 208 calculated and compared against the measured radiation distribution 108 until there is sufficient match between the measured radiation distribution 108 and the computed radiation distribution 208 . At that point, the values of the variables of the parameterized model 206 provide a good or best match of the geometry of the actual target 30 ′. In an embodiment, there is sufficient match when a difference between the measured radiation distribution 108 and the computed radiation distribution 208 is within a tolerance threshold.
  • the patterning process may include processes upstream and downstream to the actual transfer of the pattern in a lithography apparatus.
  • FIG. 7 shows example categories of the processing variables 370 .
  • the first category may be variables 310 of the lithography apparatus or any other apparatuses used in the lithography process. Examples of this category include variables of the illumination, projection system, substrate stage, etc. of a lithography apparatus.
  • the second category may be variables 320 of one or more procedures performed in the patterning process. Examples of this category include focus control or focus measurement, dose control or dose measurement, bandwidth, exposure duration, development temperature, chemical composition used in development, etc.
  • the third category may be variables 330 of the design layout and its implementation in, or using, a patterning device.
  • the fourth category may be variables 340 of the substrate. Examples include characteristics of structures under a resist layer, chemical composition and/or physical dimension of the resist layer, etc.
  • the fifth category may be characteristics 350 of temporal variation of one or more variables of the patterning process. Examples of this category include a characteristic of high frequency stage movement (e.g., frequency, amplitude, etc.), high frequency laser bandwidth change (e.g., frequency, amplitude, etc.) and/or high frequency laser wavelength change. These high frequency changes or movements are those above the response time of mechanisms to adjust the underlying variables (e.g., stage position, laser intensity).
  • the sixth category may be characteristics 360 of processes upstream of, or downstream to, pattern transfer in a lithographic apparatus, such as spin coating, post-exposure bake (PEB), development, etching, deposition, doping and/or packaging.
  • PEB post-exposure bake
  • parameters of the patterning process may include critical dimension (CD), critical dimension uniformity (CDU), focus, overlay, edge position or placement, sidewall angle, pattern shift, etc.
  • CD critical dimension
  • CDU critical dimension uniformity
  • focus overlay
  • overlay edge position or placement
  • sidewall angle pattern shift
  • these parameters express an error from a nominal value (e.g., a design value, an average value, etc.).
  • the parameter values may be the values of a characteristic of individual patterns or a statistic (e.g., average, variance, etc.) of the characteristic of a group of patterns.
  • the values of some or all of the processing variables, or a parameter related thereto, may be determined by a suitable method.
  • the values may be determined from data obtained with various metrology tools (e.g., a substrate metrology tool).
  • the values may be obtained from various sensors or systems of an apparatus in the patterning process (e.g., a sensor, such as a leveling sensor or alignment sensor, of a lithography apparatus, a control system (e.g., a substrate or patterning device table control system) of a lithography apparatus, a sensor in a track tool, etc.).
  • the values may be from an operator of the patterning process.
  • defect determination and/or guided defect inspection involves substantially denser focus, overlay, and/or CD metrology than before.
  • on-device CD overlay, and/or focus performance.
  • This drives manufacturing equipment specifications of, e.g., exposure tools and processing tools (e.g., deposition, etch, track, chemical mechanical polishing (CMP), etc.), from one node to the next. So, this drives tighter control and monitoring of performance which in turn drives the demand for ever increasing amounts of metrology for control and monitoring.
  • exposure tools and processing tools e.g., deposition, etch, track, chemical mechanical polishing (CMP), etc.
  • a higher order correction is essentially a corrective action which is selective on a small spatial scale across all or part of the substrate (e.g. correction with a high spatial resolution).
  • Higher order correction involves a denser sampling per substrate for metrology, thereby increasing the metrology burden perhaps beyond practical limits of metrology equipment productivity.
  • substrate to substrate variation may require further individual substrate level control (compared to, e.g., lot level control) and associated monitoring in order to achieve the desired CD, focus, and/or overlay performance. This may lead to measuring more substrates per lot and thus drive an increase in the amount of measurements used, perhaps beyond practical limits of metrology equipment productivity.
  • metrology efficiency is desirable. This is to obtain, e.g., higher density of data per substrate and data for a higher number of substrates per lot.
  • a method to, e.g., help improve metrology efficiency In the method, metrology data from multiple sources is combined and manipulated in order to derive accurate estimated values of one or more patterning process parameters (e.g., CD, focus, overlay, edge placement, etc.) for each of a plurality of locations across a substrate.
  • patterning process parameters e.g., CD, focus, overlay, edge placement, etc.
  • dense metrology data e.g., for each square mm
  • the result of the method is a “virtual” or emulated distribution of values of one or more patterning process parameters across the substrate, which is achieved through such combination of various metrology data.
  • This method can offer then an efficient method for hybrid metrology for one or more patterning process parameters (e.g., focus, CD, overlay, etc.).
  • patterning process parameters e.g., focus, CD, overlay, etc.
  • embodiments herein will consider primarily particular examples of patterning process parameters namely focus, CD and overlay, it will appreciated that one or more other or additional patterning process parameters can be the subject of the techniques herein.
  • the method involves combination of metrology and other data from various sources.
  • the method involves combining measurements of a patterning process parameter of interest with data from one or more devices in the patterning process, such as data from one or more sensors of the lithographic apparatus.
  • This combination of data will then be used to predict on-product performance in the form of, e.g., a patterning process parameter, such as CD, overlay, focus, pattern shift, edge placement (e.g., edge placement error), etc. and/or a parameter derived therefrom, such as yield, defects (e.g., risk of defect, defect counts, etc.), etc.
  • a principle underlying the method is to isolate and estimate individual root-causes of the change of a patterning process parameter of interest which are then combined to compute the on-product performance.
  • the goal is to use as much of the available information as possible to avoid ever increasing amounts of off-line (and/or on-line) metrology to determine a patterning process parameter of interest using tools such as described in respect of FIGS. 3-6 .
  • a patterning process parameter of interest such as CD error, focus error, overlay, etc.
  • Those contributions can then be used in various combinations to achieve a desired estimate of the on-product performance.
  • those contributions will be an error and/or a residual.
  • a device may produce an error in making a correction, which can then realize in a change of the patterning process parameter of interest.
  • a sensor system may measure an error, which error is or contributes to a change of the patterning process parameter.
  • a device or a mathematical model used to determine a setting of the device may not be able to realize a certain desired or best physical effect (e.g., a difference between a desired physical correction and the physical correction that can be achieved by a device, a difference between a desired physical effect such as dose, focus, etc. and the physical effect than can be achieved by a device, a difference between a desired physical correction or effect and the correction or effect capable of being determined by a mathematical model, etc.) and thus realize a residual that is or contributes to a change of the patterning process parameter.
  • a contribution can be determined experimentally or empirically.
  • Each of these contributions to a patterning process parameter of interest as spatially distributed across a die, a field or a substrate can then be characterized as a fingerprint. And, similarly, the combined contributions across the die, the field or the substrate can be characterized as a fingerprint.
  • a focus fingerprint on a product substrate can be, for instance, a composite of focus contributions due to unflatness of that substrate, a focus error associated with the projection system of the lithographic apparatus during the substrate's exposure, a height error made by the substrate position control loop during exposure, and a residual fingerprint of the focus setup of the lithographic apparatus. An example of this is described below in respect of FIG. 9 .
  • a derived or measured fingerprint can have one or more fingerprints removed therefrom to yield a (further) derived fingerprint.
  • a measured focus fingerprint of a substrate can have removed therefrom the focus contributions of unflatness of that substrate, a focus error associated with the projection system of the lithographic apparatus during the substrate's exposure, a height error made by the substrate position control loop during exposure, and a residual fingerprint of the focus setup of the lithographic apparatus. This can yield a residual focus fingerprint due to errors not captured by the removed fingerprints. An example of this is described below in respect of FIG. 10 .
  • the method can, for example, break down a fingerprint into individual contribution fingerprints and/or derive a fingerprint by combining fingerprints.
  • a change of a processing variable 311 may have a contribution 312 to a patterning process parameter 352 (e.g., in the context of focus, focus) for a pattern on a substrate and that a change of a processing variable 321 (e.g. in the focus context, a residual of the focus setup) may have a contribution 322 to the patterning process parameter 352 .
  • the patterning process parameter 352 may have combined contributions of changes of one or more processing variables.
  • contributions 312 and 322 may be simply additive (which may include weighted or linear addition) or be combined by other functions (e.g., using (de)convolution, using a neural network, RMS addition, scaling, etc.).
  • the patterning process parameter 352 may have contributions of changes in other variables. So, with this realization as discussed further hereafter, various contributions can be determined or can be used to derive estimates of a patterning process parameter.
  • the contribution 312 and/or 322 may be determined by modeling the one or more applicable processing variables.
  • the contribution 312 and/or 322 may be expressed as a function of the one or more applicable processing variables.
  • the function may be linear or non-linear.
  • FIG. 9 schematically shows an example of obtaining a derived contribution 470 to a patterning process parameter of a substrate, the derived contribution being a combination of contributions (one or more of which may be a derived contribution) of multiple processing variables.
  • the derived contribution 470 is (de)focus.
  • the derived contribution 470 can be obtained using contributions of multiple processing variables such as focus error (FE) associated with the projection system of the lithographic apparatus during the substrate's exposure 400 , a height error (e.g., moving standard deviation (MSD z )) made by the substrate position control loop during exposure 410 , and unflatness (P) of that substrate 420 .
  • focus error FE
  • MSD z moving standard deviation
  • P unflatness
  • this data can be obtained from the lithographic apparatus and can be a by-product of producing a substrate as part of a patterning process. None of these identified contributions necessarily requires a measurement of a feature patterned on the substrate using, for example, tools such as described in respect of FIGS. 3-6 .
  • an example of the contribution to focus of processing variable 400 is shown as contribution 430
  • an example of the contribution to focus of processing variable 410 is shown as contribution 440
  • an example of the contribution to focus of processing variable 420 is shown as contribution 440 .
  • Each of these contributions is then combined together 460 to realize derived contribution 470 .
  • element 460 (and other elements in the Figures) shows a plus sign
  • the operation at 460 need not be addition, e.g., it can be a multiplication, convolution, through use of a neural network etc.
  • the operation may be different for one or more contributions than another one or more contributions (e.g., an addition of 430 to 440 and a convolution of the sum with contribution 450 ).
  • the various functions can be obtained by simulation, mathematical modeling and/or experimentation. Moreover, there may be cross terms that are not shown here (such as focus as a function of FE times MSD, etc.).To obtain an absolute value of focus, a nominal value or simulated value of the focus can be combined with the contributions.
  • the coefficients such as a 1 , b 1 , c 1 are sensitivities of the focus with respect to the respective processing variables or their functions.
  • the contributions are across a substrate but in an embodiment, one or more of the contributions may per die/field (which could then be repeated across the substrate depending on, e.g., applicable conditions at each of the instances).
  • the contribution 470 may be characterized as a fingerprint since it can be spatially defined across the substrate/die/field.
  • the contribution's translation into an absolute value may be characterized as a fingerprint since it can be spatially defined across the substrate/die/field.
  • data from processing of multiple substrates using the patterning process can be used to derive the respective contributions. This data can already be available since it could be the by-product of processing the substrates.
  • the derived contribution 470 to CD can be the combination of contributions (which can include a derived contribution) attributable to multiple processing variables such as focus (F) 400 , moving standard deviation (MSD z ) 410 of movement of the substrate in the normal direction of the substrate, and moving standard deviation (MSDx) 420 of movement of the substrate in a direction parallel to the substrate.
  • F focus
  • MSD z moving standard deviation
  • MSDx moving standard deviation
  • an example of the contribution to CD of focus (F) 400 would be contribution 430
  • an example of the contribution to CD of moving standard deviation (MSD z ) 410 would be contribution 440
  • an example of the contribution to CD of moving standard deviation (MSDx) 420 would be contribution 440 .
  • Each of these contributions is then combined together 460 to realize derived contribution 470 .
  • the contributions 430 , 440 , 450 may be respectively focus (F) 400 , moving standard deviation (MSD z ) 410 and moving standard deviation (MSD x ) 420 distributions in which case a CD model would be used to combine them into a CD distribution.
  • there may be cross terms that are not shown here (such as CD as a function of F times MSD, etc.).To obtain an absolute value of CD, a nominal value or simulated value of the CD can be combined with the contributions.
  • the coefficients such as a 1 , b 1 , c 1 are sensitivities of the CD with respect to the processing variables or their functions.
  • FIG. 10 schematically shows an example of obtaining a derived contribution 510 from patterned substrate metrology data for a patterning process parameter of interest of a substrate by removing a contribution to the patterning process parameter of interest.
  • Patterned substrate metrology data in this context are values of a parameter of interest obtained by measuring a feature (e.g., a device pattern feature, a metrology target separate from the device pattern, etc.) on substrate that is at least partially processed by the patterning process.
  • a feature e.g., a device pattern feature, a metrology target separate from the device pattern, etc.
  • Such metrology data can typically be obtained using metrology or inspection tools such as described in respect of FIGS. 3-6 .
  • Patterned substrate metrology data 500 for a parameter of interest may have at least two contributions. So, one or more contributions within the patterned substrate metrology data can be obtained by removing one or more other contributions within the patterned substrate metrology data. Thus, a contribution 510 may be obtained by removing 505 a contribution 520 from the patterned substrate metrology data 500 . While element 505 (and other elements in the Figures) shows a minus sign, the operation at 505 need not be subtraction, e.g., it can be a multiplication, (de)convolution, through use of a neural network, etc.
  • Contribution 520 can be a derived contribution, such as contribution 470 , or can be a contribution derived from other data, such as any one or more of contributions 430 , 440 and 450 . Further, multiple contributions can be removed. For example, optional contribution 540 , associated with a processing variable 530 , can be removed from the patterned substrate metrology data 500 along with contribution 520 . As will be appreciated, data from processing of multiple substrates using the patterning process can be used to obtain the patterned substrate metrology data.
  • the patterned substrate metrology data is from a pattern separate from a device pattern, for example a metrology feature of at a non-functional area of a die, between dies or on a test substrate. So, for example, the contribution of one or more processing variables can be removed from such metrology data, thereby obtaining a remaining contribution of one or more processing variables to such metrology data.
  • the comparable contribution to metrology data of a device pattern on the same or different substrate can then be obtained based on the remaining contribution, without the need of actually obtaining the metrology data from the device pattern.
  • the contribution to the metrology of the device pattern may be estimated to be the same as the remaining contribution because the applicable one or more processing variables have comparable effect to the device pattern and the separate pattern.
  • the separate pattern is a pattern for testing purpose (e.g., a metrology target) and performing metrology on the separate pattern does not impact a device pattern on the substrate.
  • estimates of a parameter of interest of a substrate can be obtained without necessarily having to obtain patterned substrate metrology data for that substrate. This is done by recognizing the nature of the various contributions.
  • a contribution of one or more processing variables may be apparatus (e.g., lithographic apparatus) specific; that is, the contribution is specific to a certain actual apparatus or combination of apparatuses.
  • the contribution of the one or more processing variables may be used repeatedly across every substrate. Therefore, the contribution of one or more processing variables may be pre-characterized and obtained from a database for various combination/removal processes.
  • the contribution may be apparatus specific as a whole or a specific part (e.g., etch chamber) of the apparatus. Examples of such variables may include, but are not limited to, various characteristics of a process upstream or downstream to pattern transfer in the lithographic apparatus, such as spin coating, post exposure bake, development, etching, deposition, doping, and/or packaging.
  • a contribution of one or more processing variables is not specific to a particular substrate (and so can be used across substrates).
  • the contribution of one or more processing variables may be pre-characterized and obtained from a database later for various combination/removal processes.
  • This contribution of one or more processing variables can be applied to a specific substrate by combining it with data of the one or more variables for the specific substrate and a sensitivity relationship.
  • variables of the illumination, projection system, focus, dose, bandwidth, exposure duration may include, but is not limited to, variables of the illumination, projection system, focus, dose, bandwidth, exposure duration, a characteristic of high frequency stage movement (e.g., moving standard deviation (MSD) of movement of the substrate stage, moving average of the movement of the substrate stage, frequency, amplitude, etc.), a high frequency laser bandwidth change (e.g., frequency, amplitude, etc.), a high frequency laser wavelength change, and/or flatness of the substrate.
  • MSD moving standard deviation
  • a high frequency laser bandwidth change e.g., frequency, amplitude, etc.
  • a high frequency laser wavelength change e.g., frequency, amplitude, etc.
  • the contribution of one or more processing variables may be substrate specific.
  • the contribution may be determined for each substrate or a specific group of substrates.
  • variables may include, but are not limited to, substrate geometry (height map, deformation map), substrate processing conditions, variables of the illumination, variables of the projection system, focus, dose, bandwidth, exposure duration, a characteristic of high frequency stage movement (e.g., moving standard deviation (MSD) of movement of the substrate stage, moving average of the movement of the substrate stage, etc.), a high frequency laser bandwidth change (e.g., frequency, amplitude, etc.), a high frequency laser wavelength change, and/or flatness of the substrate.
  • substrate geometry height map, deformation map
  • substrate processing conditions variables of the illumination
  • variables of the projection system e.g., focus, dose, bandwidth, exposure duration
  • a characteristic of high frequency stage movement e.g., moving standard deviation (MSD) of movement of the substrate stage, moving average of the movement of the substrate stage, etc.
  • a high frequency laser bandwidth change e.
  • the contribution of one or more processing variables may be pattern or patterning device specific; that is, the contribution is specific to a certain actual patterning device or specific pattern to be provided by a patterning device. This contribution can be further substrate independent.
  • the pattern or patterning device specific contribution may be pre-characterized and obtained from a database later for various combination processes. Examples of such variables may include, but are not limited to, patterning device feature CD, a shape and/or location of an assist feature, an adjustment applied by a resolution enhancement technique (RET), etc.
  • RET resolution enhancement technique
  • the contribution associated with different processing variables may have different spatial uniformity.
  • the contribution of some processing variables may be essentially spatially uniform across the entire substrate, while the contribution of some other processing variables may be not so spatially uniform across the entire substrate.
  • This difference may be attributed to the different nature of the processing variables.
  • the contribution of one or more processing variables associated with the resist layer, development of the resist layer, and/or etching of the substrate tend to be essentially spatially uniform because the entire substrate is usually coated with the resist layer, developed or etched at a same time and under a same condition, or tends to be symmetric because of, for example, rotation of the substrate during a number of these processes.
  • the contribution of one or more processing variables associated with the pattern transfer or the lithography apparatus tends to be less spatially uniform because the pattern transfer tends to be location specific and the one or more processing variables may change between pattern transfer for one location and pattern transfer for another location. Therefore, if the contribution that is not essentially spatially uniform can be removed from patterned substrate metrology data, an essentially spatially uniform contribution can be obtained from the patterned substrate metrology data.
  • processing variable data can be gathered from the lithographic apparatus for each point exposed on the substrate, such as leveling information, moving average (MA) of movement of the substrate, MSDxyz, dose, laser bandwidth, pupil shape, etc.
  • This data is typically already available in a lithographic apparatus, e.g., in diagnostic files. From this data, the contribution described above can be generated using a model for the parameter of interest that describes the sensitivity of the parameter of interest to the one or more processing variables. The one or more sensitivities can be obtained from simulation or from experiments. The contribution generated in this fashion is what would be expected to be seen during a measurement after resist development, assuming the track and resist model calibration would be perfect.
  • the contribution is removed from patterned substrate metrology data.
  • the remaining contribution is now the contribution by the pre- or post-pattern transfer process (e.g., by the track and/or etch apparatus, which is generally interfield) and the patterning device (intrafield).
  • the lithographic apparatus errors would have been corrected from the patterned substrate metrology data.
  • the patterning device contribution may be measured and its contribution removed to leave the contribution by the pre- or post-pattern transfer processes.
  • a contribution can be removed per process flow (e.g., a combination of patterning process steps and/or apparatus used) or per particular apparatus or part thereof (e.g., etch apparatus or etch chamber).
  • contributions to a parameter of interest can be obtained through modeled relationships or by removing known contributions from patterned substrate metrology data.
  • one or more contributions can be apparatus specific but also substrate independent. But one or more other contributions can be substrate specific.
  • an estimate of a parameter of interest at a certain point in the patterning process can be estimated.
  • the lithographic apparatus has significant metrology capability integrated therein that is used for pattern transfer control.
  • integrated metrology are position measurement devices (e.g., sensor IF) of the substrate and/or patterning device for servo control, a levelling sensor (e.g. sensor LS) for measurement of the substrate surface for levelling control, an alignment sensor (e.g., sensor AS) to measure the orientation, position and/or deformation of the substrate to enable overlay control, and/or an aberration sensor (e.g., the shearing interferometer described above) in order control the wavefront of the projection system.
  • position measurement devices e.g., sensor IF
  • a levelling sensor e.g. sensor LS
  • an alignment sensor e.g., sensor AS
  • an aberration sensor e.g., the shearing interferometer described above
  • the lithographic apparatus will use data from these sensors for control at a level where its overall CD, overlay, and/or focus budgets are satisfied but at the same time also keep track of residuals and/or errors that are left after control. These residuals and/or errors may be used to calculate what CD, overlay, and/or focus error is made during pattern transfer, i.e. the lithographic apparatus' contribution to the CD, overlay, and/or focus fingerprints. As will be appreciated, other apparatuses used in the patterning process may have similar information for the applicable metrology of the apparatus.
  • lithographic apparatus setup or control can use one or more mathematical models to determine the respective calibration or control correction.
  • one or more models may have a built-in assumption or limit leading to a non-zero residual error.
  • Such a model residual can be used to calculate what CD, overlay, and/or focus error is made, i.e. the model's contribution to the CD, overlay, and/or focus fingerprints.
  • other apparatuses used in the patterning process can have similar model information.
  • a lithographic apparatus may be setup by using in-resist measurements to help remove any global and local fingerprints of one or more parameters (e.g., focus, overlay, etc.). But the global fingerprint can drift over time from the last time calibration was performed.
  • monitor substrates can be exposed and measured to monitor the global fingerprint.
  • the monitor substrates can be basic substrates with a well-defined pattern in the substrate onto which a resist layer is applied, exposed with a pattern in relation to the well-defined pattern, developed and then measured. Once measured, the resist on the monitor substrate can be stripped to leave the well-defined pattern so that a new layer of resist can be applied.
  • the monitor substrates can be used to determine overlay by using the well-defined pattern and/or to determine focus by using the resist pattern.
  • the technique involves essentially two main parts.
  • a first part is effectively a setup process to determine an underlying focus contribution of the lithographic apparatus that is not reflected in one or more types of lithographic apparatus processing variables that are used to determine an estimate of focus for a particular substrate in the estimation process.
  • the second part is the estimation process of using a focus contribution associated with those one or more types of lithographic apparatus processing variables for the substrate under consideration to determine the estimate of focus for the particular substrate.
  • patterned substrate focus metrology data is obtained for one or more substrates and then the contributions of one or more types of lithographic apparatus processing variables are removed similarly to as described above in FIG. 10 .
  • One type of contribution that can be removed is the focus contribution of a substrate height residual or error map associated with, e.g., the levelling sensor of the lithographic apparatus.
  • a further type of contribution that can be removed is the focus contribution of projection system image plane deviation (IPD), which can be obtained, e.g., from a shearing interferometer and/or a projection system model residual.
  • IPD projection system image plane deviation
  • a further type of contribution that can be removed is the focus contribution of patterning device and/or substrate servo control (e.g., MA), which can be obtained from the applicable positioner, a position measurement device (e.g., sensor IF) and/or a residual of a servo control model.
  • MA patterning device and/or substrate servo control
  • a position measurement device e.g., sensor IF
  • a residual of a servo control model e.g., the translation from a processing variable to the focus contribution can be determined through simulation, mathematical modelling and/or experimentation.
  • the contribution fingerprints can be re-gridded to a same grid (which can be the same as the grid of one of the contribution fingerprints or a different grid). Similarly, the contribution fingerprints can be re-gridded to the patterned substrate metrology data, or vice versa.
  • the re-gridding comprises up sampling or down sampling.
  • a filtering e.g., moving average, deconvolution, FFT, etc.
  • a filtering is applied to a contribution, desirably before combining it with another contribution.
  • the underlying focus contribution of the lithographic apparatus can effectively be determined once and used for various focus estimates and thus the amount of metrology can be significantly reduced compared to measuring each substrate.
  • the patterned substrate focus metrology data can be obtained by relatively sparse sampling of locations on the substrate (e.g., 500 targets or less on a substrate) and/or of the number of substrates from one or more lots (e.g., 10 or less substrates from a lot of 25 or more substrates).
  • the patterned substrate focus metrology data can be a measured focus fingerprint of a monitor substrate as described above.
  • the measured focus fingerprint can be corrected for the lithographic apparatus impact as derived from lithographic apparatus sensor information, such as the focus contribution of projection system image plane deviation (IPD), the focus contribution of the substrate height residual or error map, and/or the focus contribution of patterning device and/or substrate servo control, to arrive at the underlying focus contribution of the lithographic apparatus.
  • IPD projection system image plane deviation
  • the focus contribution of the substrate height residual or error map the focus contribution of patterning device and/or substrate servo control
  • the underlying focus contribution of the lithographic apparatus is then stored for use in on-product estimations of focus.
  • the underlying focus contribution of the lithographic apparatus can be determined for multiple lithographic apparatuses.
  • the underlying focus contribution of the lithographic apparatus can be determined for a particular combination of devices of the lithographic apparatus used.
  • the lithographic apparatus may have more than one substrate table on which the substrate could be exposed and so the underlying focus contribution of the lithographic apparatus can be determined for a specific combination and/or multiple combinations of devices used.
  • the errors or residuals of one or more types of lithographic apparatus processing variables relevant to focus are obtained for a substrate of interest and the focus contribution thereof is determined.
  • the focus contribution of projection system image plane deviation (IPD), the focus contribution of the substrate height residual or error map, and/or the focus contribution of patterning device and/or substrate servo control can be obtained for the substrate of interest.
  • IPD projection system image plane deviation
  • a further type of contribution that can be added is the focus contribution of patterning device errors attributable to the patterning device being used for the particular substrate of interest, which could be obtained by measurement. This contribution may be particularly used if the applicable underlying focus contribution of the lithographic apparatus was obtained without using the patterning device for the substrate of interest.
  • an applicable underlying focus contribution of the lithographic apparatus is obtained.
  • the applicable underlying focus contribution of the lithographic apparatus is combined, similarly to as described above in respect of FIG. 9 , with the one or more specific focus contributions of the substrate of interest to obtain an estimated focus fingerprint for the substrate of interest. So, an estimate of focus error can be determined at any, or almost any, location on any substrate.
  • CD variation there are a number of processing variables that can cause CD variation in a substrate.
  • certain CD variations across the substrate are considered.
  • the CD variation contribution in respect of focus, focus blur, dose, and overall process there are considered the CD variation contribution in respect of focus, focus blur, dose, and overall process.
  • the patterning device which is an intrafield contributor to CD variation, can also be considered but will not be further described for convenience only.
  • the focus contribution to CD can be based on focus contribution as described above, specifically the combination of the underlying lithographic apparatus focus contribution with the focus contributions of one or more processing variables of the lithographic apparatus for a substrate under consideration.
  • This dense focus information can be, in principle, converted into a ACD contribution across the field and/or across substrate for any pattern feature given that, for example, the Bossung behavior of the feature (after-litho and/or after-etch) can be known through experiment or simulation.
  • a CD value can be calculated corresponding to that substrate location (x, y):
  • CD(HDFM x,y ) ⁇ 1 (HDFM x, y )
  • HDFM corresponds to a focus map such as the derived high density focus map as described above in the focus example.
  • the focus blur contribution to CD can be obtained using lithographic apparatus data, such as servo information (e.g., MSD in the z direction).
  • the focus blur information can be converted into a ACD contribution across a scan direction and across the substrate.
  • This focus blur data to CD conversion is also feature specific and can be known through experiment or simulation:
  • CD( f blur x,y ) ⁇ 2 ( f blur x,y )
  • fblur corresponds to focus blurring
  • the dose contribution to CD is due to the lithographic apparatus's dose variation (e.g. determined by a dose mapping system).
  • the dose variation across the substrate during exposure can be translated into a ACD contribution using a suitable dose sensitivity of the applicable feature, which can be known through experiment or simulation:
  • CD(dose x,y ) ⁇ 3 (dose x,y )
  • the overall process contribution to CD is a CD variation arising from various process steps as part of the patterning process separate from the pattern transfer alone.
  • the overall process contribution is the state of CD variation after the final etch step and not attributable to the various other CD variations considered in estimating the CD variation.
  • this contribution is the cumulative effect of all unaccounted for process variations arising from, e.g., film deposition variation, baking and/or developing variation, and/or etch process variation.
  • Examples of processing variables that contribute to the overall process contribution to CD may include a characteristic of structures under a resist layer, chemical composition and/or physical dimension of the resist layer, and/or a characteristic of one or more processes upstream, or downstream, to the pattern transfer in a lithography apparatus, such as spin coating, post exposure bake, development, etching, deposition, doping and/or packaging.
  • a characteristic of structures under a resist layer chemical composition and/or physical dimension of the resist layer
  • a characteristic of one or more processes upstream, or downstream, to the pattern transfer in a lithography apparatus such as spin coating, post exposure bake, development, etching, deposition, doping and/or packaging.
  • the overall process contribution to CD is described in terms of after etch, the overall process contribution can be obtained for different points in the patterning process, e.g., obtained after development but before etch.
  • an after-etch overall process contribution can be generated from an anchor feature CD measurement after etch, from which the ACD contributions for focus, focus blur and dose (for the anchor feature) are removed.
  • the overall process contribution is estimated from a suitable anchor feature.
  • the overall process contribution for other features can be determined from the anchor feature.
  • the remainder of the overall process contribution can be expressed as a proportion of the anchor feature's characteristic.
  • the overall process contribution can effectively be determined once and used for various CD estimates and thus the amount of metrology can be significantly reduced compared to measuring each substrate.
  • the patterned substrate focus metrology data can be obtained by relatively sparse sampling of locations on the substrate (e.g., 500 targets or less on a substrate) and/or of the number of substrates from one or more lots (e.g., 10 or less substrates from a lot of 25 or more substrates).
  • the overall process contribution is then stored for use in on-product estimations of CD.
  • the overall process contribution can be determined for a particular and/or multiple apparatus configurations (e.g., one or more specific etch chambers, one or more specific combinations of etch chamber and bake plate, one or more specific combinations of substrate table and etch chamber, etc.).
  • an estimation of on-product CD can be obtained.
  • the errors or residuals of one or more types of lithographic apparatus processing variables relevant to CD are obtained for a substrate of interest and the CD contribution thereof is determined.
  • the CD contribution of focus, focus blur and/or dose can be obtained for the substrate of interest.
  • a further type of contribution that can be added is the CD contribution of patterning device errors attributable to the patterning device being used for the particular substrate of interest, which could be obtained by measurement.
  • an applicable overall process contribution to CD is obtained. Then, the applicable overall process contribution to CD is combined, similarly to as described above in respect of FIG. 9 , with the one or more specific CD contributions of the substrate of interest to obtain an estimated CD fingerprint for the substrate of interest. So, an estimate of CD error can be determined at any, or almost any, location on any substrate.
  • the estimate can be obtained for one or more specific pattern features of interest on a substrate, such as a hot spot.
  • a substrate such as a hot spot.
  • the overall process contribution to CD was determined for a particular anchor feature, but can be scaled for the one or more specific features of interest.
  • the CD contribution of one or more types of lithographic apparatus processing variables can be computed based on an appropriate sensitivity for the one or more specific features between the CD variation and the one or more types of lithographic apparatus processing variables.
  • Such sensitivity can be obtained, e.g., by simulation and/or experiment.
  • multiple CD substrate fingerprints can be obtained, each for a different hot spot or other feature of interest of a pattern.
  • the methodology can be improved, e.g., with more parameters in one or more pertinent models, e.g., patterning device and/or aberration models.
  • the methodology can be extended by, e.g., estimating the overall process contribution by breaking it up into different contributors, e.g. deposition, lithography, and/or etch with different sensitivities to different features.
  • a dose correction applied as part of the patterning process can be corrected for in the results.
  • the lithocell may apply a dose correction using, for example, ASML's Dosemapper system. So, this correction is accounted for in the determination of the estimate of CD.
  • This technique involves essentially two main parts.
  • a first part is effectively a setup process to determine an underlying overlay contribution of the lithographic apparatus that is not reflected in one or more types of lithographic apparatus processing variables that are used to determine an estimate of overlay for a particular substrate in the estimation process.
  • an overall process overlay contribution can also be determined similar to the overall process CD contribution of the CD example discussed above.
  • the second part is the estimation process of using an overlay contribution associated with those one or more types of lithographic apparatus processing variables for the substrate under consideration for each of the at least two pattern transfers to determine the estimate of overlay for the particular substrate.
  • patterned substrate overlay metrology data is obtained for one or more substrates and then the contributions of one or more types of lithographic apparatus processing variables for each of at least two pattern transfers are removed similarly to as described above in FIG. 10 .
  • One type of contribution that can be removed is the overlay contribution of a substrate height map obtained, for example, from the levelling sensor of the lithographic apparatus.
  • a difference can be found for the substrate height maps for two pattern transfers and then the difference can be converted to an overlay value and thus the overlay contribution.
  • the Z height difference can be turned into X and/or Y displacements by considering the height difference as a warpage or bend of the substrate and using first principles to calculate the X and/or Y displacements (e.g., the displacement can be the variation in Z versus the variation in X or Y times half the thickness of the substrate in, e.g., a clamped region of the substrate or the displacement can be calculated using Kirchoff-Love plate theory in, e.g., an unclamped region of the substrate).
  • the translation of the height to the overlay contribution can be determined through simulation, mathematical modelling and/or experimentation. So, by using such substrate height information per pattern transfer, the overlay impact due to a focus or chuck spot can be observed and accounted for.
  • a further type of contribution that can be removed is the overlay contribution of patterning device and/or substrate servo control (e.g., MA) in the X and/or Y directions (including rotation around Z), which can be obtained from the applicable positioner, a position measurement device (e.g., sensor IF) and/or a residual of a servo control model.
  • a difference can be found for the servo control values across the substrate for two pattern transfers and then the difference can represent the overlay contribution.
  • the translation from servo control values to the overlay contribution can be determined through simulation, mathematical modelling and/or experimentation.
  • a further type of contribution that can be removed is the overlay contribution of projection system aberrations (which can be obtained, e.g., from a shearing interferometer) and/or projection system model residual.
  • the translation from the aberrations and/or residual to the overlay contribution can be determined through simulation, mathematical modelling and/or experimentation.
  • a further type of contribution that can be removed is the overlay contribution of an alignment system model residual, which can be provided by the lithographic apparatus.
  • the translation from the residual to the overlay contribution can be determined through simulation, mathematical modelling and/or experimentation.
  • the alignment system model residual can be different for different pattern transfer steps and thus a combination/difference of the alignment system model residuals for the different pattern transfer steps can be used to obtain the overlay contribution.
  • the alignment model residual can be corrected for substrate height.
  • the contribution fingerprints can be re-gridded to a same grid. Similarly, the contribution fingerprints can be re-gridded to the patterned substrate metrology data, or vice versa.
  • the underlying overlay contribution of the lithographic apparatus can effectively be determined once and used for various overlay estimates and thus the amount of metrology can be significantly reduced compared to measuring each substrate.
  • the patterned substrate overlay metrology data can be obtained by relatively sparse sampling of locations on the substrate (e.g., 500 targets or less on a substrate) and/or of the number of substrates from one or more lots (e.g., 10 or less substrates from a lot of 25 or more substrates).
  • the patterned substrate overlay metrology data can be a measured overlay fingerprint of a monitor substrate as described above.
  • the measured overlay fingerprint can be corrected for the lithographic apparatus impact as derived from lithographic apparatus sensor information, such as the overlay contribution of the projection system, the overlay contribution of the substrate height, the overlay contribution of alignment model residual, and/or the overlay contribution of patterning device and/or substrate servo control, to arrive at the underlying overlay contribution of the lithographic apparatus.
  • the measured overlay fingerprint data would be captured once for a first pattern transfer of the product substrate and then captured against for a second pattern transfer (with rework of the monitor substrate between pattern transfers).
  • the patterned substrate overlay metrology data is an overlay delta fingerprint obtained from the difference between the overlay fingerprint measured from the one or more monitor substrates after the first pattern transfer and the overlay fingerprint measured from the one or more monitor substrates after the second pattern transfer. So, by exposing a set of monitor substrates at about the same time as product layers, the lithographic apparatus contribution to overlay in terms of short term drift can be quantified. That is, with then overlay delta fingerprint, the lithographic apparatus contribution on interfield overlay due to short term drift between the first and second pattern transfers can be obtained.
  • an etch process contribution to overlay can be determined, which is an overlay variation arising from the etching.
  • etch process overlay contribution after-develop but before etch patterned substrate metrology data can be removed from after-etch patterned substrate metrology data to obtain the etch process overlay contribution.
  • the etch process overlay contribution can effectively be determined once and used for overlay estimates and thus the amount of metrology can be significantly reduced compared to measuring each substrate.
  • the patterned substrate overlay metrology data can be obtained by relatively sparse sampling of locations on the substrate (e.g., 500 targets or less on a substrate) and/or of the number of substrates from one or more lots (e.g., 10 or less substrates from a lot of 25 or more substrates).
  • the etch process fingerprint induced at a first or second pattern transfer could be deduced with a delta fingerprint between after develop but before etch overlay measurement and after etch overlay measurement after etch of the other of the first or second pattern transfer, assuming the etch fingerprint after each pattern transfer is the same.
  • the underlying overlay contribution of the lithographic apparatus (and the optional etch process overlay contribution) is then stored for use in on-product estimations of overlay.
  • the underlying overlay contribution of the lithographic apparatus can be determined for multiple lithographic apparatuses.
  • the underlying overlay contribution of the lithographic apparatus can be determined for a particular combination of devices of the lithographic apparatus used.
  • the lithographic apparatus may have more than one substrate table on which the substrate could be exposed and so the underlying overlay contribution of the lithographic apparatus can be determined for a specific combination and/or multiple combinations of devices used.
  • the etch process overlay contribution can be determined for a particular and/or multiple apparatus configurations (e.g., one or more specific etch chambers).
  • the errors or residuals of one or more types of lithographic apparatus processing variables relevant to overlay are obtained for a substrate of interest and the overlay contribution thereof is determined.
  • the overlay contribution of the projection system, the overlay contribution of the substrate height, the overlay contribution of alignment model residual, and/or the overlay contribution of patterning device and/or substrate servo control, to arrive at the underlying overlay contribution of the lithographic apparatus can be obtained for the substrate of interest.
  • only the overlay contribution of the substrate height is obtained.
  • an applicable underlying overlay contribution of the lithographic apparatus is obtained and optionally the applicable etch process overlay contribution is obtained. Then, the applicable underlying overlay contribution of the lithographic apparatus (and optionally the optional etch process overlay contribution) is combined, similarly to as described above in respect of FIG. 9 , with the one or more specific overlay contributions of the substrate of interest to obtain an estimated overlay fingerprint for the substrate of interest. So, an estimate of overlay can be determined at any almost location on any substrate.
  • an overlay correction applied as part of the patterning process can be corrected for in the results.
  • the lithocell may apply an overlay correction, e.g., based on the measurements of the monitor substrates using, for example, ASML's Baseliner system. So, this correction is accounted for in the determination of the estimate of overlay.
  • both exposure and lithographic apparatus conditions e.g., exposure dose, patterning device transmission, patterning device qualification errors, illumination settings, lithographic apparatus substrate clamping errors, etc.
  • exposure and heating signature at intrafield level would be expected to be similar between the first and second pattern transfer steps and therefore such effects on overlay should be small.
  • a plurality of the predictions can be combined.
  • an overlay prediction and CD prediction can be combined.
  • a combination of the CD one or more of the different pattern transfer steps as well as an overlay for the different pattern transfer steps can give a better prediction of a size of the gap than an overlay or CD estimate alone.
  • FIG. 11 then schematically shows a flow for a method, according to an embodiment.
  • a generalized contribution such as the underlying focus contribution of the lithographic apparatus, the overall process contribution to CD, and/or the underlying overlay contribution of the lithographic apparatus (and optionally the applicable etch process overlay contribution)
  • contribution 912 corresponding to one or more processing variables of a particular substrate and, e.g., for a hot spot. This can be determined by modeling, from device information, etc.
  • a contribution 922 applicable to a plurality of substrates is obtained.
  • the contribution 922 may be obtained used any of the techniques described herein.
  • the contribution 912 may be determined for a plurality of substrates (e.g., for every substrate of interest) while the contribution 922 may be used repeatedly across many substrates.
  • the contribution 912 and the contribution 922 are combined to obtain an estimate 950 of the parameter of interest for, e.g., a hot spot, without necessarily requiring obtaining patterned substrate metrology data for the hot spot.
  • an action is taken, such as whether there is a defect at the hot spot, is determined based on the estimate of the parameter of interest.
  • device sensor data can be exploited to enhance in-resist and/or after etch metrology to obtain a computed metrology that can, for example, provide dense metrology per substrate without having to have dense sampling of substrates and/or providing metrology for many, if not every, substrate without having to obtain patterned substrate metrology data for each such substrate.
  • the dense computed metrology can enable, for example, higher order corrections.
  • the computed metrology can also enable greater control, including possibly per substrate control, without having to obtain patterned substrate metrology data for each such substrate and/or without having to measure patterned substrate metrology data densely on substrates.
  • the estimates from these computed metrology techniques can enable various applications, such as patterning process control (e.g., adjustment of one or more process variables), patterning processing monitoring, fault detection, defect prediction, patterning process design, etc.
  • patterning process control e.g., adjustment of one or more process variables
  • patterning processing monitoring e.g., fault detection, defect prediction, patterning process design, etc.
  • a derived contribution (such as derived using FIG. 10 ) can be used to parameterize a mathematical model for determining the contribution of change of one or more processing variables to a parameter of interest. That is, a mathematical model can be fitted against the derived contribution, thereby obtaining the values of one or more parameters, constants, etc. of the mathematical model. So, as an example, it is desirable to have a model and any sensitivities represented in the model to adapt to changes in a process and/or substrate stack. So, in an embodiment, a predicted substrate fingerprint for every substrate that will be measured can be generated.
  • the pre- and/or post-process (e.g., track/etch) fingerprint and the patterning device fingerprint can be removed.
  • the remaining fingerprint measurements can be compared to the predicted values.
  • there are now a set of equations (as many equations as measurement points) where the CD, dose, focus, MSD-xyz, aberrations, etc. are known.
  • This set of equations can be solved for the sensitivities (a 1 , a 2 , . . . ) that are desired. When this is performed for a number of substrates measured for a certain device pattern, there will be plenty of data to re-estimate the sensitivities desired. If data from multiple lithographic apparatuses are used and/or data from focus exposure matrix exposures are used in the same fashion, sufficient variation in the input signals (e.g., focus, dose, MSD, CD, etc.) can enable proper estimation of sensitivities. Further, the technique can be applied to other parameters of interest such as depth of focus, exposure latitude, overlay, edge position, etc.
  • a training algorithm could be used to add further accuracy.
  • a boundary condition can be taken into account that the aberration can only vary over the slit and pre-filter the CD (or overlay) data before fitting these sensitivities.
  • the sensitivities can become robust against variations in the patterning process by re-assessing them from time to time or continuously.
  • computational metrology techniques may be used to derive contributions to fingerprints of process variables such as focus, dose and overlay by subtracting (known) contributions from a measured fingerprint. This is for example shown in FIG. 10 ; a contributor 520 is subtracted from a measured fingerprint 500 to obtain a contribution 510 .
  • the contribution 510 may be a better suited input for controlling a semiconductor manufacturing process in case the contributor 520 introduces a substantial amount of noise or otherwise non-systematic behavior affecting the measured fingerprint 500 . If one would like to control an apparatus based on stable input (at least stable across a lot of substrates), it may be preferred to use the “cleaned” data 510 as an input.
  • the data 510 is a rather sparse representation of a process variable of interest (for example overlay contribution of processing steps other than exposure by a lithographic apparatus, in which case the contributor 520 is the contribution of the lithographic apparatus).
  • dense enough data may be needed to provide effective control of a processing step, such as exposure using a lithographic apparatus. It is proposed to utilize a method as schematically depicted in FIG. 22 to overcome this problem.
  • FIG. 22 describes a method comprising a first step of obtaining a (typically sparsely) measured fingerprint 2201 of a process variable using a metrology tool, such as an overlay measurement device (scatterometer for example).
  • a metrology tool such as an overlay measurement device (scatterometer for example).
  • a computationally or otherwise determined contributor 2202 to the fingerprint 2201 is subtracted from fingerprint 2201 to obtain a cleaned (stable) contribution 2203 to the process variable fingerprint 2201 .
  • the sparse data 2203 is upsampled using a global model 2200 to obtain a dense representation 2204 of the contributor 2203 .
  • the model may utilize any suitable mathematical method (such as machine learning, polynomial fitting, interpolation schemes, etc.) to provide the upsampled contribution 2204 .
  • the model is preferably global; meaning that the model is configured to derive lower order behavior of the processing variable across the substrate.
  • the upsampled contributor 2204 hence does generally not comprise higher frequent content of the process variable. It is proposed to combine the sparse contributor fingerprint 2203 , comprising higher order frequency sparse content of the process variable, with the global dense contributor fingerprint 2204 to obtain a combined contributor fingerprint 2205 . This process is depicted in FIG. 22 by the dotted rectangle comprising the fingerprints 2203 and 2204 , and the dotted arrow depicting the generation of the combined contributor fingerprint 2205 .
  • the combined contributor fingerprint 2205 enables stable and higher order control of a processing step without undue metrology time requirements and/or the use of advanced data analysis to determine stable components present within the metrology data 2201 .
  • a contribution 2202 is removed from metrology data 2201 associated with a patterned substrate to obtain a derived contribution 2203 to the metrology data 2201 .
  • the derived contribution 2203 is upsampled using a model 2200 to obtain a modelled contribution 2204 .
  • the derived and the modeled contribution are combined to obtain a combined contribution 2205 .
  • the combined contribution 2205 may be used as an input for controlling a processing apparatus such as an etch tool, CMP tool, deposition tool and/or a lithographic apparatus.
  • a processing apparatus such as an etch tool, CMP tool, deposition tool and/or a lithographic apparatus.
  • the controlling may be achieved by generation of a suitable control recipe for said processing apparatus.
  • the control recipe may be for a lithographic apparatus and comprise a Control Per Exposure (CPE) instruction and/or sub-recipe; containing information for individual control of exposure fields provided to substrate using the lithographic apparatus.
  • CPE Control Per Exposure
  • the model 2200 is preferably a global model configured to describe lower order behavior of a processing variable across a substrate.
  • a contour of a pattern can be predicted using one or more contributions/fingerprints.
  • a contour is an outline of a shape of a feature of a pattern transferred to a substrate.
  • a contour can be, for example, visualized by processing an image (e.g., scanning electron microscope image) of a substrate to extract the outer boundary of shape of a pattern feature transferred to the substrate.
  • a contour can also be generated by mathematical processes (e.g., simulation) to create an electronic representation of a pattern feature as it is expected to be transferred to the substrate. While a contour will typically be in the form of a line, the contour as used herein can be generalized more to data describing the boundary of the feature.
  • the contour need not be continuous; that is, the outline and/or data can be discontinuous around the feature if the discontinuous outline and/or data sufficiently describes the boundary of the feature.
  • the contour can be two-dimensional (i.e., defined a plane) or three-dimensional.
  • the contour can extend in a plane substantially parallel to the surface of the substrate on which the pattern is formed.
  • the contour can extend in a plane substantially perpendicular to the surface of the substrate on which the pattern is formed; in which case it can be characterized as a profile and can be of two-dimensional or three-dimensional form.
  • one or more contributions/fingerprints can be obtained as described herein and used to select a certain nominal contour and/or modify a nominal contour, in order to arrive at a predicted contour. Details of such prediction and use of a contour using one or more contributions/fingerprints along with other uses of the one or more contributions/fingerprints described herein are described in U.S. Application No. 62/462,201, filed Feb. 22, 2017, which is incorporated herein in its entirety by reference.
  • the computation metrology techniques herein can use a combination of data from an apparatus (e.g., a lithographic apparatus) of the patterning process and data from a metrology apparatus (e.g., one of the metrology apparatuses described above in respect of FIGS. 3-5 ) to generate a derived contribution or fingerprint of a particular parameter of interest of the patterning process (e.g., overlay, edge placement error, etc.) for a substrate.
  • a particular parameter of interest of the patterning process e.g., overlay, edge placement error, etc.
  • hybrid-dense fingerprints of a particular parameter of interest are generated based on metrology and patterning process apparatus (e.g., lithographic apparatus) inputs.
  • inputs can be, e.g., measurements made using one or monitor substrates, data or measurements from a patterning process manufacturing apparatus (e.g., a lithographic apparatus), and/or measurements (such as after-etch inspection (AEI) or after-development inspection (ADI) measurement results) from a metrology apparatus (such as one of the metrology apparatuses described above in respect of FIGS. 3-5 ).
  • a patterning process manufacturing apparatus e.g., a lithographic apparatus
  • measurements such as after-etch inspection (AEI) or after-development inspection (ADI) measurement results
  • AEI after-etch inspection
  • ADI after-development inspection
  • the resulting fingerprint described above can be generic for all device pattern features and may not make reference to, e.g., critical device pattern features (also referred to as hot spots).
  • critical device pattern features also referred to as hot spots.
  • the fingerprint can be specific to one or more critical device pattern features. It can be more informative (e.g., in terms of device yield control) to have a dense map of a parameter of interest (e.g., overlay, focus, CD, alignment, etc.) associated with critical device pattern features.
  • a yield-based design, control, modification, etc. of the patterning process can be created by, e.g., taking specific feature characteristics of a device pattern into account.
  • the method involves creation of a parameter of interest fingerprint (map) across at least part of a substrate for one or more specific device pattern features by combination of simulation (e.g., full chip simulation), metrology measurements using metrology targets (e.g., ADI/AEI overlay measurements for an overlay fingerprint) and on-device pattern measurements.
  • simulation e.g., full chip simulation
  • metrology measurements e.g., ADI/AEI overlay measurements for an overlay fingerprint
  • the parameter fingerprint can be created for each of one or more critical device pattern features.
  • one or more aspects e.g., an apparatus, a sub-process, a consumable used (e.g., a patterning device), etc.
  • a weighted combination of an available plurality of parameter fingerprints of respective device pattern features can be used for the design, correction, control, modification, etc. of the aspect of the patterning process; that is, one or more parameter fingerprints associated with respective specific device pattern features can be higher weighted than one or more other parameter fingerprints associated with other respective device pattern features.
  • a weighted combination of an available plurality of parameter fingerprints of respective device pattern features can be used for the design, correction, control, modification, etc. of the aspect of the patterning process; that is, one or more parameter fingerprints associated with respective specific device pattern features can be higher weighted than one or more other parameter fingerprints associated with other respective device pattern features.
  • the design, correction, control, modification, etc. can include a modification of a lithographic apparatus setting (e.g., modification of the control of X, Y or Z motion of an object such as the substrate, specific correction of a projection system aberration (e.g., using an actuator or other wavefront manipulation device in the projection system), change of an illumination dose for exposure of a substrate (e.g., by controlling a radiation source and/or using a dose modulation device in the illumination system), etc.).
  • a modification of a lithographic apparatus setting e.g., modification of the control of X, Y or Z motion of an object such as the substrate, specific correction of a projection system aberration (e.g., using an actuator or other wavefront manipulation device in the projection system), change of an illumination dose for exposure of a substrate (e.g., by controlling a radiation source and/or using a dose modulation device in the illumination system), etc.
  • each instance of feature A is substantially the same type of pattern layout
  • each instance of feature B is substantially the same type of pattern layout
  • each instance of feature C is substantially the same type of pattern layout
  • each instance of feature D is substantially the same type of pattern layout.
  • metrology targets are marked as targets 1 , 2 and 3 .
  • each instance of target 1 is substantially the same type of metrology target
  • each instance of target 2 is substantially the same type of metrology target
  • each instance of target 3 is substantially the same type of metrology target.
  • each of metrology targets 1 - 3 may be different than the other targets (e.g., differ in terms of pitch of target periodic structures, differ in terms of segmentation of features of target periodic structures, differ in terms of duty cycle, etc.).
  • the device pattern features and metrology targets are transferred to the substrate using a lithographic process.
  • the targets 1 , 2 and 3 can be a target for a lower layer on the substrate or a target for an upper layer on the substrate, wherein the target 1 , 2 , or 3 generally lies over a corresponding target in a lower layer or a corresponding target in an upper layer will generally lie over the target 1 , 2 , or 3 .
  • a simulation is performed of transfer of the patterning device pattern to the substrate, e.g., at various conditions of the patterning process such as a certain amount of perturbations from nominal conditions of the patterning process.
  • the patterning simulation method of FIG. 13 can be used to generate a predicted transfer of the patterning device pattern (including the one or more metrology targets) to the substrate.
  • measurement of the one or more metrology target created as part of the simulated patterning process is also simulated, for example, at multiple measurement beam wavelengths and/or multiple measurement polarizations.
  • the measurement can be simulated using the measurement simulation method of FIG. 14 .
  • a parameter of interest e.g., overlay
  • a relation of the parameter between the one or more metrology targets and the one or more device features of the patterning device pattern as simulated to be produced on the substrate is determined from the simulated results.
  • a device pattern feature shift can be related to an overlay target shift.
  • the parameter of interest of the one or more metrology targets can be different than the parameter of interest of the one or more device features; for the parameter of interest for the one or more metrology targets can be overlay while the parameter of interest of the one or more device features is edge placement error.
  • other relationships can be established such as one or more optical aberration sensitivities of the parameter of interest, one or more focus sensitivities of the parameter of interest, one or more dose sensitivities of the parameter of interest, one or more pitch dependencies of the parameter of interest, etc.
  • measurements of the applicable parameter of interest are obtained from one or more substrates onto which the patterning device pattern has been transferred using the patterning process for which the simulations at 1110 are performed.
  • the measurements are obtained from across a substrate having a plurality of instances of the patterning device pattern transferred thereto.
  • the measurements are obtained from a plurality of substrates onto each of which the patterning device pattern has been transferred a plurality of times.
  • the measurements are obtained from the one or more metrology targets as transferred to the one or more substrates as part of the patterning process.
  • the parameter of interest is overlay and the measurements are overlay measurements obtained using ADI and/or AEI of the one or more metrology targets.
  • the overlay measurements are obtained at multiple measurement beam wavelengths and/or multiple measurement beam polarizations.
  • the measurements of the parameter of interest from the one or more metrology targets are matched to the simulated results. That is, using the one or more relations determined from the simulations, a measured parameter of interest can be translated into an equivalent value of the applicable parameter of interest (e.g., the same parameter of interest or a different one) for a device pattern feature.
  • a measurement of overlay from a metrology target can be translated to an expected overlay of an associated device pattern feature using the one or more simulated relations.
  • the metrology measurements are obtained across the substrate and thus will likely have varying values due to local conditions (e.g., substrate unflatness, variation in etching, etc.) at the various locations across the substrate.
  • a map across at least part of a substrate of the predicted parameter of interest for each of one or more specific device pattern features can be obtained.
  • the parameter of interest can be an error or residual of a parameter, e.g., CD error.
  • a map of predicted overlay of a specific device pattern feature across at least part of a substrate can be determined by matching metrology target overlay measurements taken from across the at least part of the substrate to the specific device pattern feature created across the at least part of the substrate using the one or more simulated relations.
  • a similar overlay map can be created for each of another plurality of specific device pattern features, each device pattern feature having instances thereof spread across at least part of the substrate.
  • the overlay map is effectively a spatial distribution of overlay vectors across the at least part of the substrate, each vector having a direction of the overlay and a magnitude of the overlay.
  • the data can be combined to yield a predicted parameter of interest (e.g., overlay, edge placement error, etc.) substrate map per device pattern feature.
  • the substrate map can be constructed based on full chip information, and so can be densely sampled over the field/substrate.
  • measurements of one or more patterning device pattern features are obtained from one or more substrates onto which the patterning device pattern has been transferred using the patterning process for which the simulations at 1110 have been performed.
  • the measurements are obtained from across a substrate having a plurality of instances of the patterning device pattern transferred thereto.
  • the measurements are obtained from a plurality of substrates onto each of which the patterning device pattern has been transferred a plurality of times.
  • the measurements are on-device measurements. That is, the measurements are of device features themselves rather than those obtained from one or more metrology targets around the device pattern.
  • the on-device measurements are obtained using an ion beam (e.g., electron beam) measurement technique, such as electron microscopy.
  • the measurements are edge placements, CDs, etc. of device pattern features.
  • the relation between simulated device pattern features (e.g., determined from the simulations described above for 1110 ) and the measured parameter of interest (e.g., determined at 1130 and matched with the simulated device pattern features at 1120 ) can be correlated.
  • This correlation can be done by analysis of on-device measurement of the device features. For example, in the context of overlay, edge placement errors determined from on-device measurements can be identified for features for which an overlay has been predicted based on a relation between the simulated device pattern features and the measured overlay from an associated metrology target and the predicted overlay can be correlated to the measured on-device edge placement errors.
  • an error in a distance between edges of adjacent features that were created in separate patterning process executions can be correlated to the predicted overlay.
  • the correlation can then be used to translate the predicted overlay into a more accurate prediction of the overlay and/or the edge placement error of the device feature.
  • a fairly highly predictive and accurate parameter of interest e.g., overlay, edge placement error, etc.
  • the parameter of interest substrate maps per device pattern feature can have other associated data.
  • the maps may include information regarding a cross-dependency with a control parameter within the patterning process, wherein the control parameter is a parameter that can be set in an apparatus used by the patterning process to change the performance of at least part of the patterning process.
  • the control parameter is a parameter that controls operation of the lithographic apparatus.
  • the control parameter is a parameter to control focus, projection system aberrations, etc. within the lithographic apparatus.
  • the parameter of interest substrate maps can include one or more cross-dependencies with one or more lithographic apparatus control parameters (e.g., focus, projection system operation, etc.). This cross-dependency can then enable an appropriate correction to be made as the parameter of interest of a certain feature of the device pattern may have a different change in value in response to a change in a value of the control parameter than another feature of the device pattern.
  • one or more of the maps can be combined into a combination map (which is considered as a plurality of maps combined together in combining data structure).
  • all the maps can be combined into a combination map or a subset of the maps can be combined into a combination map with one or more remaining separate maps.
  • a substrate map per device pattern feature for each of a plurality of device pattern features can be translated into a combination substrate map that combines the data along with an associated sampling per one or more device pattern features included in the combination substrate map. That is, based on the combination substrate map, the data for the one or more relevant device pattern features can be selected out based on the applicable sampling definition.
  • measurements 1130 of a parameter of interest have been translated into an applicable parameter of interest map (e.g., which parameter of interest is the same or different than the measured parameter of interest) per device pattern feature using computational lithography and metrology simulations and on-device measurements 1140 .
  • an applicable parameter of interest map e.g., which parameter of interest is the same or different than the measured parameter of interest
  • overlay measurements using one or more overlay metrology targets can be translated into an overlay map per device pattern feature using computational lithography and metrology simulations (feed-forward) and on-device measurements (feed-back).
  • the measurements 1130 can be obtained relatively quickly and can be obtained relatively sparsely across the substrate.
  • the one or more metrology targets are designed to act essentially as a proxy for the behavior of the device pattern features but are not measurements of the actual behavior of the device pattern features.
  • the relatively sparse measurements of a parameter of interest using the one or more metrology targets are converted by use of one or more simulated relations into a value of a (same or different) parameter of interest corresponding to one or more device pattern features.
  • the sparse measurements are matched to various device pattern features to provide a predicted value of a parameter of interest for each of a plurality of device pattern features, effectively yielding a parameter of interest substrate map per device pattern feature.
  • the result is a denser representation of a parameter of interest across the substrate by converting the metrology target measurements of the parameter of interest to each of a plurality of device pattern features, wherein each of the device pattern features can have multiple instances thereof with a field/die and will have multiple instances thereof across the plurality of dies/fields across the substrate.
  • on-device measurements are obtained, which on-device measurements can be relatively sparse as well.
  • a correlation between the on-device measurements and a relation between the simulated pattern features and the measured metrology target measurements can be established to enable more accurate prediction of the parameter of interest for the device pattern features. That correlation can be used to improve the predicted parameter of interest for a device pattern feature to yield a fairly highly predictive and accurate parameter of interest substrate map per device pattern feature.
  • the substrate maps per device pattern feature can be used to make a correction in an aspect (e.g., an apparatus (such as a lithographic apparatus, an etching tool, a deposition tool, etc.), a sub-process (e.g., a pattern step, an etching step, etc.), a consumable used (e.g., a patterning device), etc.) of the patterning process.
  • the correction can be a feedforward or feedback correction.
  • the correction is made in the lithographic apparatus using one or more control parameters of the lithographic apparatus (e.g., control parameter of dose, a control parameter of focus (e.g., control of Z position of the substrate), a control parameter of alignment (e.g., control of a X and/or Y position of the substrate), a control parameter of optical aberration, etc.
  • control parameters of the lithographic apparatus e.g., control parameter of dose, a control parameter of focus (e.g., control of Z position of the substrate), a control parameter of alignment (e.g., control of a X and/or Y position of the substrate), a control parameter of optical aberration, etc.
  • a subset of one or more device pattern features from the plurality of device pattern features can be selected based on the applicable parameter of interest substrate maps and on data regarding an expected or actual behavior of at least part of the patterning process. For example, one or more critical device pattern features can be identified and then the respective parameter of interest substrate map(s) of the one or more critical device pattern features can be used in correction of at least part of the patterning process.
  • the expected or actual behavior data comprises data 1167 from an apparatus 1180 used in the patterning process, such as, in the case of a lithographic apparatus, data regarding the actual or expected X, Y and/or Z position of the substrate (e.g., in the form of moving average and/or moving standard deviation information, in the form of substrate height or unflatness information, in the form of alignment errors, etc.), regarding the optical aberration (e.g., measured values of aberration in the form of, e.g., Zernike aberration specifications), regarding the dose (e.g., dose errors, which can be measured by a sensor in the apparatus), etc.
  • the behavior data can be output from the apparatus as measured values and/or as data generated as part of the control of the apparatus.
  • the behavior data can be collected from processing of prior substrates for use in control of the processing of one or more subsequent substrates.
  • the behavior data can be generated from a mathematical model that predicts the behavior based on certain input (e.g., data from prior processing of substrates).
  • the behavior data comprises a range of behaviors, such as a range or set of behaviors around or adjacent a nominal behavior.
  • an expected impact of the behavior on the parameter of interest represented by the substrate maps of the device pattern features can be determined. For example, in the context of overlay and a lithographic apparatus, it can be calculated, for example, what a certain dose error, optical aberration, position error, etc. will cause in terms of overlay based on sensitivities of overlay to one or more of those behaviors (which sensitivities can be determined by simulation or experimentation). That calculated overlay can be processed with a plurality of overlay substrate maps, each overlay substrate map being per device pattern feature, to determine which one device pattern features are likely to be produced out of specification (e.g., likely to be defective) in the face of the behavior of the at least part of the patterning process.
  • Thresholds can be applied to identify which one or more device pattern features are likely to be produced out of specification as a result of the behavior.
  • an overlay substrate map for a particular device pattern feature may identify that in a certain region of the substrate the device pattern feature is expected to have an overlay of 2 nm in the positive X direction and a specification for overlay for device pattern feature may be set at 4 nm (i.e., an overlay of 4 nm or less is acceptable for this device pattern feature).
  • this device pattern feature can be considered critical since the 2 nm of overlay from the substrate map plus the 1-3 nm overlay from the actual or expected behavior is likely to cause the device pattern feature to be out of specification.
  • a different analysis can be performed.
  • each map is for a respective device pattern feature identified as a critical device pattern feature.
  • This is schematically shown in FIG. 12 as a set of substrate maps of overlay (in this example) for each of features A, B, C and D, which are each identified in this example as critical features.
  • each of the overlay substrate maps show overlay vectors for its associated device pattern feature across the substrate. It can be seen that in some regions the vectors are relatively large in magnitude, making the device pattern feature critical particular depending on the behavior of the patterning process.
  • the analysis 1160 can further determine weights for the parameter substrate maps, such as the maps identified as being for critical device pattern features.
  • the weights can more heavily weight a parameter substrate map for a first particular device pattern feature relative to a parameter substrate map for a second particular device pattern feature as part of determining a correction to be made. For example, it can be determined that in view of the actual or expected behavior that the first device pattern feature is more likely to be out of specification, is expected to be further out of specification, etc. than the second device pattern feature. Accordingly, the parameter substrate map for the first device pattern feature can be more heavily weighted in the correction analysis than the parameter substrate map for the second device pattern feature.
  • one or more weights 1165 can be produced and may be provided along with the set 1163 of parameter substrate maps, wherein each map is for a respective device pattern feature identified as a critical device pattern feature.
  • one or more corrections of at least part of the patterning process based on one or more parameter of interest substrate maps, each map being per device pattern feature are determined.
  • the corrections can be calculated using the set 1163 of parameter substrate maps, wherein each map is for a respective device pattern feature identified as a critical device pattern feature.
  • the corrections can factor in the one or more weights 1165 , e.g., a weighed metric such a 1 *F 1 + . . . +an*Fn can be used, wherein a corresponds to the weight, F corresponds to the parameter substrate map for a particular device pattern feature (e.g., a critical feature) and n is the number of device pattern features.
  • the corrections can factor in an actual or expected behavior of at least part of the patterning process.
  • the one or more corrections can be applied by an apparatus used in the patterning process.
  • the corrections can be converted/translated for use in the apparatus.
  • the analysis of any one or more of steps 1110 , 1120 , 1150 , 1160 and/or 1170 can be performed in the apparatus at 1180 .
  • a correction can be determined, based on at least the substrate maps (in, for example, a weighted fashion), that is within the capabilities of the one or more correction mechanisms of the patterning process system to yield improved creation of the device pattern features.
  • the parameter substrate maps can be used to create values of one or more control parameters (e.g., focus, dose, optical aberration, X, Y and/or Z position, etc.) of the lithographic apparatus that effect a correction to the production of one or more of the device pattern features.
  • the parameter substrate maps enable lithographic apparatus pattern transfer control based on device pattern feature weighting so as to target the corrections to the most critical device pattern features.
  • the parameter substrate map for a particular device feature can be used with one or more other parameter substrate maps (which may be device feature specific or not) of a different parameter of interest for the purposes of design, determining a correction, etc.
  • a substrate map of overlay can be used with a substrate map of focus and/or CD (which substrate map can be specific for the particular feature of the overlay map or can be generic) for overlay correction.
  • a defocus from a focus substrate map might provide information for the purposes of correction of an overlay shift due to, e.g., projection system aberrations.
  • a parameter of interest e.g., overlay
  • a parameter of interest e.g., overlay
  • metrology simulation e.g., a combination of a full chip computational lithography with a metrology target measurement simulation
  • metrology target measurements e.g., ADI/AEI overlay measurements
  • on-device measurements e.g., ADI/AEI overlay measurements
  • a computational intra-field sampling to provide an improved representation of the parameter of interest across the substrate.
  • a correction can be made in the patterning process based on the one or more substrate maps to help ameliorate the parameter of interest for one or more particular device pattern features.
  • the correction may be made in the lithographic apparatus (e.g., correction of X position, Y position, and/or Z position of the substrate, correction of optical aberrations, correction of dose, etc.).
  • a plurality of substrate maps (each map corresponding to a different device pattern feature) is used in a weighted combination to make the correction.
  • a device pattern feature-weighted feedback can be provided to an appropriate apparatus in the patterning process to enable an appropriate correction.
  • the technique described herein can use computational lithography to predict overlay/pattern shift (e.g., alignment) of particular device pattern features based on, e.g., device pattern layout information and patterning process parameters (such as optical aberrations, dose, stage position, etc.).
  • Overlay/alignment data from metrology targets is then used to anchor predicted overlay/alignment of the device pattern features at selected locations.
  • device pattern feature specific dense overlay/alignment maps are created based on the predicted dense overlay (from the dense computational lithography) and sparse overlay/alignment measurements.
  • On-device measurements can be used to correlate the sparse overlay/alignment measurements to the predicted dense overlay.
  • corrections can be generated. For example, maps for critical device pattern features can be identified based on actual or expected patterning process behavior and used in the correction. Further, weights can be assigned to certain maps so as to enable targeting of specific device pattern features (such as more critical device pattern features).
  • This parameter of interest substrate map per device pattern feature technique can yield one or more benefits. For example, it can yield a reduction of parameter of interest accuracy errors with respect to the applicable device pattern features by a combining the relative high density obtainable from the simulations with a verification using by measured data (e.g., metrology target data and on-device measurement data). Further, this technique can be use limited parameter measurement sampling because the spatial information can be obtained via extrapolation based on the simulations and can yield higher spatial resolution of parameter information.
  • the substrate map per device pattern feature also enables separation of different processing fingerprints depending on the device pattern feature. Different device pattern features can have a different inter-field and/or intra-field fingerprint and so the separation of the fingerprint enables improved targeting of errors using correction mechanisms within the patterning process system. Further, weighted correction of device pattern features enables, for example, more tailored intra-field corrections which can be adapted to the capabilities of the correction mechanisms within the patterning process system.
  • the metrology targets are positioned close to the device pattern features to reduce or minimize differences in optical aberrations when creating the device pattern features and the metrology targets. But, in an embodiment, the simulations can be used to “bridge” any differences by appropriate metrology target selection and sensitivity analysis. As a result, fewer metrology targets may be needed to predict the behavior of the device pattern features.
  • the computational metrology simulation can be used to design metrology targets that are optimized toward the behavior of certain device pattern features. That is, the pitch, segmentation, etc. of certain metrology targets can be selected so that a parameter of interest measured from those targets is highly (e.g., most) representative of certain device pattern features relative to other device pattern features, such as critical device pattern features. Accordingly, the device pattern feature may have a variety of different metrology target types, with different metrology target types being more representative of one or more certain device pattern features than of other device pattern features.
  • the on-device measurement can be used to verify the correctness of the computational lithography and/or to calibrate a computational lithography model.
  • results of the methods herein can be used for various purposes including control of a process in the patterning process or an apparatus therein, monitoring of substrates produced by the patterning process, design of a process or apparatus of the patterning process, etc.
  • the results or another result derived therefrom can be used to alter an apparatus or process of the patterning process for the further processing of the substrate or for the processing of another substrate.
  • the results can be used to predict a defect. Prediction of a defect can be used, for example, to control a metrology tool to inspect the affected area and/or alter an apparatus or process of the patterning process for the further processing of the substrate or for the processing of another substrate.
  • results can be used to design the patterning process by, e.g., deriving a dose recipe for correction of the lithographic apparatus, enabling design of the patterning device and its pattern, setup of a process, etc.
  • the results can be used in model calibration, e.g., calibration of an optical proximity correction model, a source-mask optimization model, a lithographic manufacturing check model, a resist model, an imaging model, a measurement model (e.g., models the process of measuring), etc.
  • the results can be used to determine one or more variables of a process (e.g., best exposure and/or best dose) which can then be used for various purposes. As will be appreciated, there can be many other uses.
  • a source model 1200 represents optical characteristics (including radiation intensity distribution, bandwidth and/or phase distribution) of the illumination of a patterning device.
  • the source model 1200 can represent the optical characteristics of the illumination that include, but not limited to, numerical aperture settings, illumination sigma ( ⁇ ) settings as well as any particular illumination shape (e.g. off-axis radiation shape such as annular, quadrupole, dipole, etc.), where ⁇ (or sigma) is outer radial extent of the illuminator.
  • a projection optics model 1210 represents optical characteristics (including changes to the radiation intensity distribution and/or the phase distribution caused by the projection optics) of the projection optics.
  • the projection optics model 1210 can represent the optical characteristics of the projection optics, including aberration, distortion, one or more refractive indexes, one or more physical sizes, one or more physical dimensions, etc.
  • the patterning device/design layout model module 1220 captures how the design features are laid out in the pattern of the patterning device and may include a representation of detailed physical properties of the patterning device, as described, for example, in U.S. Pat. No. 7,587,704, which is incorporated by reference in its entirety.
  • the patterning device/design layout model module 1220 represents optical characteristics (including changes to the radiation intensity distribution and/or the phase distribution caused by a given design layout) of a design layout (e.g., a device design layout corresponding to a feature of an integrated circuit, a memory, an electronic device, etc.), which is the representation of an arrangement of features on or formed by the patterning device.
  • the objective of the simulation is often to accurately predict, for example, edge placements and CDs, which can then be compared against the device design.
  • the device design is generally defined as the pre-OPC patterning device layout, and will be provided in a standardized digital file format such as GDSII or OASIS.
  • An aerial image 1230 can be simulated from the source model 1200 , the projection optics model 1210 and the patterning device/design layout model 1220 .
  • An aerial image (AI) is the radiation intensity distribution at substrate level.
  • Optical properties of the lithographic projection apparatus e.g., properties of the illumination, the patterning device and the projection optics dictate the aerial image.
  • a resist layer on a substrate is exposed by the aerial image and the aerial image is transferred to the resist layer as a latent “resist image” (RI) therein.
  • the resist image (RI) can be defined as a spatial distribution of solubility of the resist in the resist layer.
  • a resist image 1250 can be simulated from the aerial image 1230 using a resist model 1240 .
  • the resist model can be used to calculate the resist image from the aerial image, an example of which can be found in U.S. Patent Application Publication No. US 2009-0157360, the disclosure of which is hereby incorporated by reference in its entirety.
  • the resist model typically describes the effects of chemical processes which occur during resist exposure, post exposure bake (PEB) and development, in order to predict, for example, contours of resist features formed on the substrate and so it typically related only to such properties of the resist layer (e.g., effects of chemical processes which occur during exposure, post-exposure bake and development).
  • the optical properties of the resist layer e.g., refractive index, film thickness, propagation and polarization effects—may be captured as part of the projection optics model 1210 .
  • connection between the optical and the resist model is a simulated aerial image intensity within the resist layer, which arises from the projection of radiation onto the substrate, refraction at the resist interface and multiple reflections in the resist film stack.
  • the radiation intensity distribution (aerial image intensity) is turned into a latent “resist image” by absorption of incident energy, which is further modified by diffusion processes and various loading effects.
  • Efficient simulation methods that are fast enough for full-chip applications approximate the realistic 3-dimensional intensity distribution in the resist stack by a 2-dimensional aerial (and resist) image.
  • the resist image can be used an input to a post-pattern transfer process model module 1260 .
  • the post-pattern transfer process model 1260 defines performance of one or more post-resist development processes (e.g., etch, development, etc.).
  • Simulation of the patterning process can, for example, predict contours, CDs, edge placement (e.g., edge placement error), etc. in the resist and/or etched image.
  • the objective of the simulation is to accurately predict, for example, edge placement, and/or aerial image intensity slope, and/or CD, etc. of the printed pattern.
  • These values can be compared against an intended design to, e.g., correct the patterning process, identify where a defect is predicted to occur, etc.
  • the intended design is generally defined as a pre-OPC design layout which can be provided in a standardized digital file format such as GDSII or OASIS or other file format.
  • model formulation describes most, if not all, of the known physics and chemistry of the overall process, and each of the model parameters desirably corresponds to a distinct physical or chemical effect.
  • the model formulation thus sets an upper bound on how well the model can be used to simulate the overall manufacturing process.
  • a source model 1300 represents optical characteristics (including radiation intensity distribution, radiation wavelength, polarization, etc.) of the illumination of a metrology target.
  • the source model 1300 can represent the optical characteristics of the illumination that include, but not limited to, wavelength, polarization, illumination sigma ( ⁇ ) settings (where ⁇ (or sigma) is a radial extent of illumination in the illuminator), any particular illumination shape (e.g. off-axis radiation shape such as annular, quadrupole, dipole, etc.), etc.
  • a metrology optics model 1310 represents optical characteristics (including changes to the radiation intensity distribution and/or the phase distribution caused by the metrology optics) of the metrology optics.
  • the metrology optics 1310 can represent the optical characteristics of the illumination of the metrology target by metrology optics and the optical characteristics of the transfer of the redirected radiation from the metrology target toward the metrology apparatus detector.
  • the metrology optics model can represent various characteristics involving the illumination of the target and the transfer of the redirected radiation from the metrology target toward the detector, including aberration, distortion, one or more refractive indexes, one or more physical sizes, one or more physical dimensions, etc.
  • a metrology target model 1320 can represent the optical characteristics of the illumination being redirected by the metrology target (including changes to the illumination radiation intensity distribution and/or phase distribution caused by the metrology target).
  • the metrology target model 1320 can model the conversion of illumination radiation into redirected radiation by the metrology target.
  • the metrology target model can simulate the resulting illumination distribution of redirected radiation from the metrology target.
  • the metrology target model can represent various characteristics involving the illumination of the target and the creation of the redirected radiation from the metrology, including one or more refractive indexes, one or more physical sizes of the metrology, the physical layout of the metrology target, etc.
  • the metrology target used can be changed, it is desirable to separate the optical properties of the metrology target from the optical properties of the rest of the metrology apparatus including at least the illumination and projection optics and the detector.
  • the objective of the simulation is often to accurately predict, for example, intensity, phase, etc., which can then be used to derive a parameter of interest of the patterning process, such overlay, CD, focus, etc.
  • a pupil or aerial image 1330 can be simulated from the source model 1300 , the metrology optics model 1310 and the metrology target model 1320 .
  • a pupil or aerial image is the radiation intensity distribution at the detector level.
  • Optical properties of the metrology optics and metrology target e.g., properties of the illumination, the metrology target and the metrology optics dictate the pupil or aerial image.
  • a detector of the metrology apparatus is exposed to the pupil or aerial image and detects one or more optical properties (e.g., intensity, phase, etc.) of the pupil or aerial image.
  • a detection model module 1320 represents how the radiation from the metrology optics is detected by the detector of the metrology apparatus.
  • the detection model can describe how the detector detects the pupil or aerial image and can include signal to noise, sensitivity to incident radiation on the detector, etc. So, in general, the connection between the metrology optics model and the detector model is a simulated pupil or aerial image, which arises from the illumination of the metrology target by the optics, redirection of the radiation by the target and transfer of the redirected radiation to the detectors.
  • the radiation distribution (pupil or aerial image) is turned into detection signal by absorption of incident energy on the detector.
  • Simulation of the metrology process can, for example, predict spatial intensity signals, spatial phase signals, etc. at the detector or other calculated values from the detection system, such as an overlay, CD, etc. value based on the detection by the detector of the pupil or aerial image.
  • the objective of the simulation is to accurately predict, for example, detector signals or derived values such as overlay, CD, corresponding to the metrology target. These values can be compared against an intended design value to, e.g., correct the patterning process, identify where a defect is predicted to occur, etc.
  • model formulation describes most, if not all, of the known physics and chemistry of the overall metrology process, and each of the model parameters desirably corresponds to a distinct physical and/or chemical effect in the metrology process.
  • a parameter map (also referred as high density map or hybrid density maps in the present disclosure) can be generated per substrate based on sparsely sampled metrology data and data from one or more apparatus of the patterning process. As the data from the one or more apparatus is available for all the substrates, it enables generation of parameter maps for all the substrates while measurements are performed for only few substrate (e.g., 2 out of 25 in the lot of substrate).
  • the advantage of using the parameter map is the availability of apparatus data for all substrates, which allows a more accurate representation of the parameter at each location of each substrate compared to representation of the parameter obtained based only on metrology data
  • the parameter maps can represent derived parameters. Further, the parameter maps can be used to determine corrections/control/adjustment that can be further applied to one or more apparatus of the patterning process.
  • a correction of the patterning process is based on a representative quality of the patterning process.
  • a quality may be represented by either a parameter map or a correction corresponding to the parameter map. Accordingly, the representative quality being either a representative parameter map or a representative correction obtained for a lot of substrate.
  • FIG. 15 schematically shows a flow for a method, according to an embodiment.
  • a plurality of parameter maps 1600 of a parameter of the patterning process can be obtained and/or generated by combining the metrology data 1601 and data 1602 from an apparatus used in the patterning process.
  • the parameter maps 1600 can be obtained and/or generated for a lot of substrates.
  • Such parameter maps 1600 comprise information associated with variations introduced by different apparatuses, process sequence, and/or non-uniformity in the substrate itself during the patterning process.
  • a substrate from the lot of substrates during processing may include a non-uniformity/irregularities/impurity (e.g., a bump, a speck of dust, etc.) which may not be similar to other substrates from the lot. Then, a control based on such irregular substrate may affect patterning of the other substrate in the next lot and/or the same lot.
  • the apparatus of the patterning process may include irregularity which may disappear and/or be fixed over a period of time. Such effects due to irregularities in the substrate itself or the apparatus can be identified by analyzing a plurality of parameter maps 1600 and appropriate and/or more accurate corrections can be determined.
  • the plurality of parameter maps 1600 provide richer data of the patterning process for correction analysis compared to a sparsely sampled data collected for a substrate.
  • data 1602 can be for one or more of apparatuses such as a scanner, an etcher tool or a CMP of the patterning process.
  • Data 1602 of an apparatus can include parameters and/or setting information of the apparatus such as level and alignment information of a scanner, aberration of the projection system, dose of the illumination system, etc.
  • data 1602 can capture variations introduced due to changes in the apparatus, for example, due to use, maintenance activity, change in a component of the apparatus, etc.
  • Data 1602 can provide local apparatus specific information that may affect a substrate being processed at the apparatus of the patterning process. For example, out of 25 substrates in a lot of substrates, 12 substrates may be processed at a first scanner and 13 substrates may be processed at a second scanner.
  • Each scanner may introduce particular error and/or may be controlled differently.
  • Such information can be revealed from the parameter maps 1600 and data 1602 , which can be further used to define accurate corrections, for example, for a next lot of substrates, wherein the corrections can compensate for the errors in the previous processing to eventually generate accurate and consistent product patterns on the substrates.
  • a representative parameter map 1605 is selected from the parameter maps 1600 .
  • the representative parameter map may be described in several different manners.
  • the representative parameter map can be that parameter map which shows the least susceptibility to substrate level variations.
  • it can be a weighted summation of a plurality of parameter maps, where high weight is given to parameter maps with relatively less variation (e.g., variation of the parameter across the substrate) within the plurality of parameter maps, to generate a parameter map in which the substrate level variations may be dampened.
  • a representative map may be generated, for example, based on the weighted parameter map and a parameter map which shows the best match (e.g., more than 95 % match with the weighted parameter map) may be selected from the plurality of the parameter maps to be represented as the representative parameter map.
  • the selection of the representative map may include determining an average parameter map of the plurality of parameter maps 1600 and selecting a parameter map from the plurality of maps 1600 that is closest to the average parameter map as the representative map 1605 .
  • the average map may be determined as a sum of each of the plurality of parameter maps (e.g., 25 parameter maps for a lot of substrates having 25 substrates) divided by a total number of parameter maps (or substrates, e.g., 25).
  • an average parameter map may be computed for each apparatus of the patterning process. For example, if 12 out of 25 substrates are processed at a first lithographic apparatus, then a first average parameter map may be based on the parameter maps for those 12 substrates. Similarly, a second average parameter map may be determined based on the remaining 13 substrates, for example, processed at a second lithographic apparatus. Then, a selection of the representative map 1605 may be specific to the second lithographic apparatus.
  • the selection of representative parameter map 1605 can be based on, for example, a weighted averaging of the parameter maps 1600 .
  • a weighted summation of a plurality of parameter maps may be determined, where high weight is given to parameter maps with relatively less variation within the plurality of parameter maps, to generate a weighted parameter map in which the substrate level variations may be dampened.
  • the variation may be inter-substrate (i.e., across the substrate) or intra-substrate (i.e., between two different substrates).
  • parameter maps for each of substrates 1 - 10 may be assigned a weight of 0.05, each of substrates 11-20 may be assigned a weight of 0.04, and each of substrates 21 - 25 may be assigned a weight of 0.02.
  • some substrates e.g., 1 - 2
  • the representative parameter map may be selected from the plurality of parameter maps that best matches (e.g., more than 95 %) the weighted average map.
  • a weighted parameter map may be generated, where a higher weight may be assigned to the parameter maps obtained from more recent parameter maps of one or more substrates.
  • a lot of substrates can include 25 substrates, where one or more substrates may be processed sequentially and/or in parallel from 1 to 25. So, a relatively higher weight can be assigned to more recent substrates (e.g., substrates 20 through 25 in case of sequential processing) and a low weight to substrates processed earlier such as substrates 1 thorough 5 . Accordingly, the weighted average of the parameter maps can be computed. Thus, capturing changes in more recent processing that may be directly applicable to the next lot of substrates.
  • the weighted parameter map can be the representative parameter map 1605 .
  • the weighted parameter map can be compared with the plurality of parameter maps 1600 to select the representative parameter map 1605 that has high correlation with the weighted parameter map.
  • the weighted parameter map can match (e.g., 95% match) with the parameter map of a 22 nd substrate out of the 25 substrates.
  • the parameter map of the 22 nd substrate may be selected as the representative parameter map 1605 .
  • the weights can be assigned based on a correction potential of the apparatus such as the scanner, the etcher tool or the CMP.
  • a correction potential refers to an ability to correct a certain fingerprint associated with a parameter (focus/CD/overlay) across a substrate.
  • the scanner includes several control parameter (e.g., level, focus, dose, exposure, etc.) capable of correcting an intra-field fingerprint (e.g., CD within a field of exposure at a die level).
  • the scanner may also be capable of correcting fingerprints across the wafer (e.g., at a larger spatial scale within an inter-field such as correcting average CD of field 1 compared to field 12 ).
  • an etcher may also be capable of correcting, for example, CD fingerprints, but typically not at small spatial scales (e.g., intra-field is typically not feasible, except maybe when a field is accidentally located at a certain location).
  • the etcher may however be capable of correcting a radial fingerprint of (after-etch) parameter (e.g., CD). This may be relatively harder to achieve using a scanner.
  • a correction potential of scanner may be limited to a dose correction of approximately ⁇ 7% which may not be sufficient to compensate a strong radial CD fingerprint; in such a case an etcher-based correction may be preferred.
  • weights can be assigned based on confidence level in the metrology measurements of each substrate and/or the apparatus.
  • the confidence level may differ per coordinate on the substrate or per substrate due to difference in an amount of data of metrology contributing to that substrate or that coordinate due to sampling density differences between substrates.
  • a confidence level of metrology at a first scanner can be greater than that of a second scanner.
  • a confidence level in metrology at a scanner can be greater than at an etcher tool and so on.
  • more than one representative map may be selected.
  • the 12 substrates processed at the first scanner may have similar parameter maps, while the 13 substrates processed at the second scanner may have a different parameter maps.
  • a first representative map can be used for substrates that will be processed at the first scanner, and a second representative map (different from the first representative map) may be used for the substrates that will be processed at the second scanner.
  • a correction 1607 can be determined.
  • the correction 1607 can potentially rectify for most commonly occurring issues throughout the process for the next lot of substrates.
  • the correction 1607 can include configuration of a new setting of the apparatus (e.g., a scanner, an etching tool, a CMP, a deposition tool, etc.) used in the patterning process of a next lot of substrates.
  • the correction can be with respect to the subsequent apparatus that a substrate (e.g., within the same lot of substrates) may visit.
  • the substrate will visit the first etching tool after the first scanner and the etching tool has a limited potential for correction
  • the correction at the scanner can be defined accordingly to compensate for the limitation of the etching tool.
  • the correction 1607 can include a modification of the scanner setting (e.g., modification of the control of X, Y or Z motion of an object such as the substrate, specific correction of a projection system aberration (e.g., using an actuator or other wavefront manipulation device in the projection system), change of an illumination dose for exposure of a substrate (e.g., by controlling a radiation source and/or using a dose modulation device in the illumination system), etc.).
  • a modification of the scanner setting e.g., modification of the control of X, Y or Z motion of an object such as the substrate
  • specific correction of a projection system aberration e.g., using an actuator or other wavefront manipulation device in the projection system
  • change of an illumination dose for exposure of a substrate e.g., by controlling a radiation source and/or using a dose modulation device in the illumination system
  • the correction factors can be similar to that discussed earlier with respect to FIG. 12 , having correction values/control strategy determined based on the representative parameter map.
  • the correction 1607 can be applied to the apparatus, and/or other apparatus of the patterning process for a next lot of substrates. Thus, improving the accuracy and consistency of patterning of the substrates in successive lots.
  • one or more corrections can be determined for a plurality of parameter maps and a representative correction can be selected, as discussed with respect to a method in FIG. 16 .
  • the parameter maps 1700 (similar to the parameter maps 1600 ) of a parameter of the patterning process can be obtained and/or generated as discussed earlier, using metrology data and data 1704 (similar to data 1602 ) from an apparatus of the patterning process. Further, one or more corrections 1702 for the parameter maps can be obtained, for example, using the method discussed earlier with respect to FIG. 12 . In an embodiment, a first correction of the one or more corrections 1702 can be related to a first group of parameter maps having similar characteristics, a second correction can be related to a second group of parameter maps having similar characteristics, and so on.
  • a representative correction 1707 can be selected, in process 1706 .
  • the selection of the representative correction 1707 can be based on, for example, a weighted averaging of the one or more corrections 1702 , and/or a correction potential of the apparatus, in a similar manner as discussed in FIG. 15 .
  • a higher weight may be assigned to recent corrections on recent substrate (e.g., 22-25 substrates) and a lower weight can be assigned to corrections to earlier substrates (e.g., 1-5 substrates) of the previous lot (e.g., having 25 substrates).
  • a relatively higher weight may be assigned to corrections at an apparatus (e.g., scanner) having a higher correction potential compared to other apparatuses (e.g., etcher, CMP, etc.).
  • a correction 1709 is determined based on the representative correction 1707 and the data 1704 from the apparatus.
  • the correction 1707 can include configuration of a modified setting of an apparatus for a next lot of substrates that will be processed. It can be appreciated that the correction factors can be similar to that discussed earlier with respect to FIGS. 13 and 15 , having possibly correction values/control strategy determined based on the representative parameter map.
  • the correction 1709 can be applied to the apparatus, and/or other apparatus of the patterning process for a next lot of substrates. Thus, improving the patterning of the substrates in successive lots.
  • the above techniques can be further extended to apply corrections at a substrate level as discussed in a method of FIG. 17A .
  • the method can determine a correction at a substrate level using measurements of a current substrate (i.e., a substrate being processed) and data collected including the parameter maps for a previously processed lot of substrates.
  • a plurality of parameter maps 1800 (similar to 1600 and 1700 ) of a parameter of the patterning process for previously processed substrates can be obtained and/or generated from the metrology data and data 1802 (similar to 1602 and 1704 ) from an apparatus of the patterning process.
  • the plurality of parameter maps 1800 can be categorized (or labelled) based on specific fingerprint of the parameter as shown in FIG. 17B by way of non-limiting examples.
  • a first category includes a fingerprint 1811 along the edge of the substrate
  • a second category includes three fingerprints 1821 , 1823 , and 1825
  • a third category includes a butterfly-like region 1831
  • a fourth category includes a fingerprint 1841 spread along a diameter
  • a fifth category includes a fingerprint 1851 spread in a cross manner.
  • the fingerprint can be, for example, cumulative error due to the patterning process, a parameter such as overlay, or other parameters of interest.
  • Each category of the plurality of parameter maps can have same or different number of substrates.
  • the first category can include 8 parameter maps
  • the second category can include 3 parameter maps
  • the third category can include 10 parameter maps
  • the fourth and fifth category can include 2 parameter maps, respectively.
  • the categorization may be performed using a clustering algorithm (e.g., k-means) where one or more of the plurality of parameter maps having a similar first mean parameter values at one or more locations may be labelled/categorized into one category. Similarly, one or more parameter maps of the plurality of parameter maps having second mean parameter values may be categorized/labelled as a second category.
  • a principal component analysis may be employed on the plurality of parameter maps to analyze and identify different fingerprints and further characterize the presence of the fingerprints in the various maps by means of a clustering algorithm like k-means.
  • measurements 1802 of a current substrate in a current lot of substrates can be obtained from the metrology tools.
  • the measurements 1802 of the current substrate can include, for example, a substrate surface profile (data from a level sensor), alignment data, etc. measured in an apparatus, for example, a scanner.
  • the measurements 1802 of the current substrate provide information about the substrate condition that enables identification of substrate specific corrections.
  • the current substrate may include an irregularity along an edge, or at a center. Each such condition may relate to different category of parameter maps and corresponding correction factors. As such, based on the condition of the current substrate an appropriate correction can be defined.
  • the measurements 1802 of the current substrate can be used to identify the category of the current substrate, for example, by comparing the measurements 1802 with the parameter maps 1800 (e.g., as shown in FIG. 17B ) and identifying a matching parameter map 1807 .
  • the measurements 1802 of the current substrate can be converted to a current substrate map 1805 of a parameter corresponding to the plurality of the parameter maps 1800 .
  • the current substrate map 1805 generated from the measurements 1802 may be less accurate compared to the parameter map 1800 since the current substrate map is obtained/generated from data of only part of the patterning process. For example, when only level sensor data is available and information on focus is missing, then a substrate map based on only level sensor data may be less accurate than a substrate map based on both level sensor and focus data.
  • the current substrate map 1805 can be further compared to the parameter maps 1800 to identify the matching parameter map 1807 .
  • a first current substrate of a current lot of substrates being processed can be similar to the second category of substrate of a previously processed lot.
  • a second substrate of the current lot can be similar to the fourth category of substrate of the previously processed.
  • the matching parameter map 1807 can be different for different substrates, and consequently the correction.
  • a simulation and/or modelling can be performed and a modelled parameter map 1803 can be obtained and/or generated.
  • Such modelled parameter map 1803 not only accounts for the current substrate specific data, but also data from the apparatuses at which the current substrate will be processed that allows prediction of any potential error that may occur at an apparatus in the patterning process on the current substrate.
  • the modelled parameter map 1803 can be a predicted parameter map that may be observed at the end of the patterning process of the current substrate.
  • the modelled parameter map 1803 can be compared with the parameter maps 1800 , in process 1806 , to identify a matching parameter map 1807 from the parameter maps 1800 .
  • the comparison can involve identifying a parameter map from the parameter maps 1800 that closely matches (e.g., more than 95 % match) the modelled parameter map 1803 .
  • Such matching parameter map 1807 can be specific to the current substrate.
  • the matching parameter map 1807 may or may not be matching one or more of the parameter maps 1800 of the previously processed substrates.
  • a first modelled parameter map of the first current substrate can be similar to the first category of the parameter map or more particularly of an eleventh substrate of a previously processed lot of substrates.
  • a second modelled parameter map of the second current substrate can be similar to the second category of the parameter map or more particularly of a twenty second substrate of a previously processed lot of substrates.
  • a correction 1809 can be determined.
  • each of the categories of the parameter maps can have different corrections.
  • the measurements 1802 of the current substrate can enable a local correction that may appear on the current substrates.
  • the local correction can be configured to compensate for additional error observed other than that seen in the identified categories.
  • the measurements 1802 and/or the current substrate map 1805 can indicate a local error (e.g., significant overlay in the middle of the substrate) in addition to, for example, the overlay of the first category of parameter map. Then, such local error can also be factored in to the correction 1809 .
  • the correction 1809 can include configuration of a modified setting of the apparatus and/or a subsequent apparatus at which the current substrate will be processed. It can be appreciated that the correction factors can be similar to that discussed earlier with respect to FIGS. 13, 16 and 17 , having possibly different correction values/control strategy since the correction is determined based on a different representative map.
  • the correction 1809 can be applied to the apparatus, and/or other apparatus of the patterning process. Thus, improving the patterning of the current substrate within the lot of substrates being processed.
  • one or more corrections can be determined for a plurality of parameter maps and/or the one or more corrections can be categorized. Based on the measurements 1802 of a current substrate can be converted into the current substrate map and a current substrate correction for the current substrate map can be determined. The current correction can be further compared with the one or more corrections to identify the category of correction and further determine and/or modify the current substrate correction to generate the correction 1809 .
  • the methods can further include the following categorization and corresponding corrections.
  • the above methods can further involve obtaining and/or categories of substrates and determining corrections, which may be similar or different, for each category.
  • one or more categories of substrates may be obtained and/or generated based on the plurality of the parameter maps.
  • the categories may be defined based on one or more apparatuses at which a substrate is processed during the patterning process. For example, substrates processed at the first scanner may belong to a first category, and substrates processed at the second scanner may belong to a second category. In another example, substrates processed at the first scanner and a first CMP can be one category, substrates processed at the second scanner and a first etcher can be another category, and so on.
  • a correction specific to a category can be defined. Furthermore, for a substrate level correction, the current substrate can be assigned a category from the identified category based on the measurements. For the identified category, the corresponding correction can be selected as a representative correction.
  • the measurement data is prone to noise performance impacts. Furthermore, there may be a disproportional impact of non-systematic factors on the monitoring and control strategy. It is therefore proposed, in an embodiment, to employ the methods herein to filter for one or more systematic contributors (each being a representative quality) in the metrology data (the plurality of qualities), such that non-systematic contributors are filtered out, and/or separately considered. This should be done on a per-contributor basis; for example individual contributors to overlay behavior may comprise stage errors, lens aberrations, alignment (errors) and level sensor height maps.
  • the relevant contributors will vary depending on the parameter of interest, the apparatuses used and their correction capability etc., but may include any contributor to errors and/or control of the parameter of interest.
  • the plurality of qualities may comprise, a plurality of parameter maps, each parameter map relating to an individual contributor.
  • the qualities may be corrections, i.e., associated with each contributor, and the representative quality may be a representative correction.
  • each individual contributor for example a level sensor contributor, an alignment contributor etc.
  • a consistency characteristic describing how a certain spatial fingerprint (e.g., an overlay fingerprint) evolves, for example, across layers and/or chucks and/or lots and/or substrates, thereby categorizing the contributor as systematic or non-systematic, and/or assigning a weighting based on the consistency characteristic.
  • a control metric may be determined based on a mapping of the plurality of contributors to a performance parameter (e.g., overlay/CD/EPE/Focus/Dose), with each contributor being processed/filtered and/or weighted according to its consistency characteristic.
  • a performance parameter e.g., overlay/CD/EPE/Focus/Dose
  • the systematic and/or non-systematic fingerprint information of lot, chuck and substrate per contributor in Computational Metrology data can be used as input for subsequent lots, chucks and substrates and/or as input for subsequent layers.
  • FIG. 18 comprises a flow diagram illustrating such a filtering method.
  • the individual contributor parameter maps 1900 undergo a filtering step 1910 to filter for systematic (or non-systematic) contributors, e.g., per lot, chuck and substrate, based on a determined consistency characteristic.
  • the consistency characteristic may, for example, be based on a comparison with (e.g., systematic) prior contributor information/parameter maps 1920 from prior layers, substrates and/or lots.
  • the filtering step may determine whether a contributor is systematic or non-systematic in a binary classification (e.g., according to a threshold for the consistency characteristic); or it may determine the degree to which a contributor is systematic (e.g., to determine a weighting for the contributor).
  • the output of this step is a plurality of filtered individual contributor parameter maps 1940 , e.g., systematic (and/or non-systematic) individual contributor parameter maps 1940 , optionally with an associated weighting for each map.
  • These systematic (and/or non-systematic) individual contributor parameter maps 1940 can be fed back 1950 in a feedback control loop (along with prior systematic (or non-systematic) contributor information 1970 ) for a subsequent lot.
  • the systematic contributor information is used in the control loop, each comprising a representative quality or being combined into a representative quality (e.g., a systematic contributor parameter map).
  • the filtered contributors may be combined according to a weighting, e.g., with greater weighting being given to more systematic contributors.
  • an output representative quality may be a weighted combination of the systematic contributors, with weighting set according to the consistency characteristic.
  • the filtered individual contributor information (representative quality) can be fed forward 1960 in a control loop for a subsequent layer.
  • the filtering step 1910 may filter out the non-systematic contributors such that the control is based only on systematic contributors. However, it is possible to filter out systematic contributors and use the non-systematic contributors in a control or monitoring action, or separate and use both systematic contributors and non-systematic contributors in a control or monitoring action. It is also possible to determine the degree to which a contributor is systematic (e.g., based on the consistency characteristic) and assign an appropriate weighting. The weighting may additionally, or alternatively, be based on other factors such as correction potential of an associated apparatus and/or a metrology confidence level, as already described in other embodiments.
  • the systematic (and non-systematic) fingerprint contributors can be determined:
  • FIG. 19 illustrates an illustrative method for performing the filtering step 1910 in a feed-forward control method (layer-to-layer).
  • the contributor parameter maps 1975 of a target layer is compared 1985 to the corresponding contributor parameter maps 1980 of a previous layer to determine a consistency characteristic for each contributor according to their similarity (e.g., a correlation metric based on a correlation of the contributor parameter maps 1975 and contributor parameter maps 1980 ).
  • the contributor parameter maps having a higher degree of similarity can be used in a feed forward method 1990 (possibly as a weighted combination) for control of the target layer on subsequent substrates based on metrology of the pervious layer.
  • FIG. 20 comprise a flow diagram of such a method in greater detail.
  • FIG. 20( a ) shows a set-up phase and FIG. 20( b ) shows the main computational metrology phase.
  • contributor parameter maps 2010 a , 2010 b , 2010 c and measured parameter values 2020 are fed into a weighting algorithm 2030 .
  • Weighting algorithm 2030 then calculates appropriate weights 2040 for each contributor parameter map.
  • the contributor parameter maps 2010 a , 2010 b , 2010 c may comprise a leveling contribution 2010 a , a dynamics contribution 2010 b and an alignment contribution 2010 c .
  • the weighting algorithm 2030 outputs weights 2040 for each of these, respectively w lvl , w dyn and W al .
  • the weights 2040 may comprise linear weights, and may be defined per contributor for each direction. In an embodiment, the weights are calculated using multivariate normal regression.
  • FIG. 20( b ) shows the computational metrology flow.
  • the appropriate contributor parameter maps 2010 a ′, 2010 b ′, 2010 c ′ are measured and a weighted combination of the contributor parameter maps 2010 a ′, 2010 b ′, 2010 c ′ is calculated 2050 based on the weights calculated at step 2030 .
  • the combination may be w lvl lvl+w dyn dyn+w al al, where lvl, dyn and al are the contributor parameter maps 2010 a ′, 2010 b ′, 2010 c ′ respectively.
  • a control action 2060 is performed based on the weighted combination, e.g., a correction fed back or fed-forward in a per-substrate or per-lot control loop, or a correction fed-forward for a subsequent layer based on the metrology of a previous layer.
  • FIG. 22 describes a method to obtain a combined contributor fingerprint 2205 .
  • the method described in FIG. 22 may also be utilized to update any of the previously described contributor parameter maps ( 1975 , 1985 , 2010 a , etc.).
  • a method for determining corrections to a patterning process comprising: obtaining a plurality of qualities of the patterning process, wherein the plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process, wherein the parameter maps each relate to a contributor of the patterning process; selecting, by a hardware computer system, a representative quality from the plurality of qualities, wherein the representative quality is a representative parameter map selected from the plurality of parameter maps; and determining, by the hardware computer system, a correction to the patterning process based on the representative quality.
  • the method as disclosed in the previous paragraph further comprises: modelling at least one parameter map to obtain a modelled representation of at least one contributor; and combining the at least one modelled parameter map and the at least one parameter map to obtain at least one updated representation of the at least one contributor.
  • the method as disclosed in the previous paragraph further comprises using the updated representation of the at least one contributor as an input for controlling a processing apparatus such as an etch tool, CMP tool, deposition tool and/or a lithographic apparatus.
  • a processing apparatus such as an etch tool, CMP tool, deposition tool and/or a lithographic apparatus.
  • the method as disclosed in the previous paragraph further comprises the generation of a suitable control recipe for providing control of said processing apparatus.
  • the method as disclosed in the previous paragraph comprises generating of a Control Per Exposure (CPE) instruction and/or sub-recipe containing information for individual control of exposure fields provided to a substrate using the lithographic apparatus.
  • CPE Control Per Exposure
  • the modelling as used in any of the previous embodiments uses a global model configured to describe lower order behavior of a processing variable across a substrate.
  • FIG. 21 is a block diagram that illustrates a computer system 100 which can assist in implementing methods and flows disclosed herein.
  • Computer system 100 includes a bus 102 or other communication mechanism for communicating information, and a processor 104 (or multiple processors 104 and 105 ) coupled with bus 102 for processing information.
  • Computer system 100 also includes a main memory 106 , such as a random access memory (RAM) or other dynamic storage device, coupled to bus 102 for storing information and instructions to be executed by processor 104 .
  • Main memory 106 also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by processor 104 .
  • Computer system 100 further includes a read only memory (ROM) 108 or other static storage device coupled to bus 102 for storing static information and instructions for processor 104 .
  • a storage device 110 such as a magnetic disk or optical disk, is provided and coupled to bus 102 for storing information and instructions.
  • Computer system 100 may be coupled via bus 102 to a display 112 , such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • a display 112 such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user.
  • An input device 114 is coupled to bus 102 for communicating information and command selections to processor 104 .
  • cursor control 116 is Another type of user input device, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor 104 and for controlling cursor movement on display 112 .
  • This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane.
  • a touch panel (screen) display may also be used as an input device.
  • portions of the process may be performed by computer system 100 in response to processor 104 executing one or more sequences of one or more instructions contained in main memory 106 .
  • Such instructions may be read into main memory 106 from another computer-readable medium, such as storage device 110 .
  • Execution of the sequences of instructions contained in main memory 106 causes processor 104 to perform the process steps described herein.
  • processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory 106 .
  • hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
  • Non-volatile media include, for example, optical or magnetic disks, such as storage device 110 .
  • Volatile media include dynamic memory, such as main memory 106 .
  • Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus 102 . Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications.
  • RF radio frequency
  • IR infrared
  • Computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read.
  • Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor 104 for execution.
  • the instructions may initially be borne on a magnetic disk of a remote computer.
  • the remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem.
  • a modem local to computer system 100 can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal.
  • An infrared detector coupled to bus 102 can receive the data carried in the infrared signal and place the data on bus 102 .
  • Bus 102 carries the data to main memory 106 , from which processor 104 retrieves and executes the instructions.
  • the instructions received by main memory 106 may optionally be stored on storage device 110 either before or after execution by processor 104 .
  • Computer system 100 also desirably includes a communication interface 118 coupled to bus 102 .
  • Communication interface 118 provides a two-way data communication coupling to a network link 120 that is connected to a local network 122 .
  • communication interface 118 may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line.
  • ISDN integrated services digital network
  • communication interface 118 may be a local area network (LAN) card to provide a data communication connection to a compatible LAN.
  • LAN local area network
  • Wireless links may also be implemented.
  • communication interface 118 sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
  • Network link 120 typically provides data communication through one or more networks to other data devices.
  • network link 120 may provide a connection through local network 122 to a host computer 124 or to data equipment operated by an Internet Service Provider (ISP) 126 .
  • ISP 126 in turn provides data communication services through the worldwide packet data communication network, now commonly referred to as the “Internet” 128 .
  • Internet 128 uses electrical, electromagnetic or optical signals that carry digital data streams.
  • the signals through the various networks and the signals on network link 120 and through communication interface 118 , which carry the digital data to and from computer system 100 are example forms of carrier waves transporting the information.
  • Computer system 100 can send messages and receive data, including program code, through the network(s), network link 120 , and communication interface 118 .
  • a server 130 might transmit a requested code for an application program through Internet 128 , ISP 126 , local network 122 and communication interface 118 .
  • One such downloaded application may provide for the illumination optimization of the embodiment, for example.
  • the received code may be executed by processor 104 as it is received, and/or stored in storage device 110 , or other non-volatile storage for later execution. In this manner, computer system 100 may obtain application code in the form of a carrier wave.
  • Embodiments of the disclosure may be implemented in hardware, firmware, software, or any combination thereof. Embodiments of the disclosure may also be implemented as instructions stored on a machine-readable medium, which may be read and executed by one or more processors.
  • a machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computing device).
  • a machine-readable medium may include read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other forms of propagated signals (e.g. carrier waves, infrared signals, digital signals, etc.), and others.
  • firmware, software, routines, instructions may be described herein as performing certain actions. However, it should be appreciated that such descriptions are merely for convenience and that such actions in fact result from computing devices, processors, controllers, or other devices executing the firmware, software, routines, instructions, etc.
  • illustrated components are depicted as discrete functional blocks, but embodiments are not limited to systems in which the functionality described herein is organized as illustrated.
  • the functionality provided by each of the components may be provided by software or hardware modules that are differently organized than is presently depicted, for example such software or hardware may be intermingled, conjoined, replicated, broken up, distributed (e.g. within a data center or geographically), or otherwise differently organized.
  • the functionality described herein may be provided by one or more processors of one or more computers executing code stored on a tangible, non-transitory, machine readable medium.
  • third party content delivery networks may host some or all of the information conveyed over networks, in which case, to the extent information (e.g., content) is said to be supplied or otherwise provided, the information may be provided by sending instructions to retrieve that information from a content delivery network.
  • information e.g., content
  • the representative quality is a representative parameter map selected from the plurality of parameter maps.
  • the representative quality is a representative correction selected from one or more corrections to the patterning process.
  • the representative quality is a representative parameter map selected from the plurality of parameter maps.
  • the representative quality is a representative correction selected from one or more corrections to the patterning process.
  • the plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process,
  • the current quality is a current substrate map generated from the measurements of the current substrate
  • the matching quality is a matching parameter map identified from the plurality of parameter maps based on a comparison between the current substrate map and the plurality of parameter maps.
  • the plurality of qualities is one or more corrections corresponding to a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process,
  • the current quality is a current correction based on a current substrate map generated from the measurements of the current substrate
  • the matching quality is a matching correction map identified from the one or more corrections based on a comparison between the current correction and the one or more corrections.
  • obtaining (i) a matching quality from a plurality of qualities of the patterning process for a previously processed substrate, the matching quality identified based on a comparison between a current quality and the plurality of qualities, the current quality is based on measurements of the current substrate, and (ii) a correction based on the matching quality;
  • the plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process,
  • the current quality is a current substrate map generated from the measurements of the current substrate
  • the matching quality is a matching parameter map identified from the plurality of parameter maps based on a comparison between the current substrate map and the plurality of parameter maps.
  • the plurality of qualities is one or more corrections corresponding to a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process,
  • the current quality is a current correction based on a current substrate map generated from the measurements of the current substrate
  • the matching quality is a matching correction map identified from the one or more corrections based on a comparison between the current correction and the one or more corrections.
  • model ( 2200 ) to upsample the derived contribution ( 2203 ) to obtain a modelled contribution ( 2204 );
  • the representative quality is a representative parameter map selected from the plurality of parameter maps.
  • the representative quality is a representative correction selected from one or more corrections to the patterning process.
  • the word “may” is used in a permissive sense (i.e., meaning having the potential to), rather than the mandatory sense (i.e., meaning must).
  • the words “include”, “including”, and “includes” and the like mean including, but not limited to.
  • the singular forms “a,” “an,” and “the” include plural referents unless the content explicitly indicates otherwise.
  • references to “an” element or “a” element includes a combination of two or more elements, notwithstanding use of other terms and phrases for one or more elements, such as “one or more.”
  • the term “or” is, unless indicated otherwise, non-exclusive, i.e., encompassing both “and” and “or.”
  • Terms describing conditional relationships e.g., “in response to X, Y,” “upon X, Y,”, “if X, Y,” “when X, Y,” and the like, encompass causal relationships in which the antecedent is a necessary causal condition, the antecedent is a sufficient causal condition, or the antecedent is a contributory causal condition of the consequent, e.g., “state X occurs upon condition Y obtaining” is generic to “X occurs solely upon Y” and “X occurs upon Y and Z.”
  • Such conditional relationships are not limited to consequences that instantly follow the antecedent obtaining, as some consequences may be delayed, and in conditional statements, antecedents are connected
  • Statements in which a plurality of attributes or functions are mapped to a plurality of objects encompasses both all such attributes or functions being mapped to all such objects and subsets of the attributes or functions being mapped to subsets of the attributes or functions (e.g., both all processors each performing steps A-D, and a case in which processor 1 performs step A, processor 2 performs step B and part of step C, and processor 3 performs part of step C and step D), unless otherwise indicated.
  • statements that one value or action is “based on” another condition or value encompass both instances in which the condition or value is the sole factor and instances in which the condition or value is one factor among a plurality of factors.
  • statements that “each” instance of some collection have some property should not be read to exclude cases where some otherwise identical or similar members of a larger collection do not have the property, i.e., each does not necessarily mean each and every.

Abstract

A method for determining a correction to a patterning process. The method includes obtaining a plurality of qualities of the patterning process (e.g., a plurality of parameter maps, or one or more corrections) derived from metrology data and data of an apparatus used in the patterning process, selecting, by a hardware computer system, a representative quality from the plurality of qualities, and determining, by the hardware computer system, a correction to the patterning process based on the representative quality.

Description

  • This application is a continuation of U.S. patent application Ser. No. 16/954,384, filed on Jun. 16, 2020, which is the U.S. national phase entry of PCT Patent Application No. PCT/EP2018/081872, filed on Nov. 20, 2018, which claims the benefit of priority of U.S. Patent Application No. 62/607,777, filed on Dec. 19, 2017, and of European Patent Application No. 18200014.1, filed on Oct. 12, 2018, each of the foregoing applications is incorporated herein in its entirety by reference.
  • FIELD
  • The present disclosure relates to techniques of improving the performance of a device manufacturing process. The techniques may be used in connection with a lithographic apparatus or a metrology apparatus.
  • BACKGROUND
  • A lithography apparatus is a machine that applies a desired pattern onto a target portion of a substrate. Lithography apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that circumstance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern corresponding to an individual layer of the IC, and this pattern can be imaged onto a target portion (e.g. comprising part of, one or several dies) on a substrate (e.g. a silicon wafer) that has a layer of radiation-sensitive material (resist). In general, a single substrate will contain a network of adjacent target portions that are successively exposed. Known lithography apparatus include so-called steppers, in which each target portion is irradiated by exposing an entire pattern onto the target portion in one go, and so-called scanners, in which each target portion is irradiated by scanning the pattern through the beam in a given direction (the “scanning”-direction) while synchronously scanning the substrate parallel or anti parallel to this direction.
  • Prior to transferring the circuit pattern from the patterning device to the substrate, the substrate may undergo various procedures, such as priming, resist coating and a soft bake. After exposure, the substrate may be subjected to other procedures, such as a post-exposure bake (PEB), development, a hard bake and measurement/inspection of the transferred circuit pattern. This array of procedures is used as a basis to make an individual layer of a device, e.g., an IC. The substrate may then undergo various processes such as etching, ion-implantation (doping), metallization, oxidation, chemo-mechanical polishing, etc., all intended to finish off the individual layer of the device. If several layers are required in the device, then the whole procedure, or a variant thereof, is repeated for each layer. Eventually, a device will be present in each target portion on the substrate. These devices are then separated from one another by a technique such as dicing or sawing, whence the individual devices can be mounted on a carrier, connected to pins, etc.
  • Thus, manufacturing devices, such as semiconductor devices, typically involves processing a substrate (e.g., a semiconductor wafer) using a number of fabrication processes to form various features and multiple layers of the devices. Such layers and features are typically manufactured and processed using, e.g., deposition, lithography, etch, chemical-mechanical polishing, and ion implantation. Multiple devices may be fabricated on a plurality of dies on a substrate and then separated into individual devices. This device manufacturing process may be considered a patterning process. A patterning process involves a patterning step, such as optical and/or nanoimprint lithography using a patterning device in a lithographic apparatus, to transfer a pattern on the patterning device to a substrate and typically, but optionally, involves one or more related pattern processing steps, such as resist development by a development apparatus, baking of the substrate using a bake tool, etching using the pattern using an etch apparatus, etc.
  • SUMMARY
  • According to an embodiment of the present disclosure, there is provided a method for determining correction to a patterning process. The method includes steps for obtaining a plurality of qualities of the patterning process, selecting, by a hardware computer system, a representative quality from the plurality of qualities, and determining, by the hardware computer system, a correction to the patterning process based on the representative quality.
  • The plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process. The representative quality is a representative parameter map selected from the plurality of parameter maps.
  • The plurality of qualities is one or more corrections corresponding to a plurality of parameter maps of the patterning process for a lot of substrates processed during the patterning process, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process. The representative quality is a representative correction selected from one or more corrections to the patterning process.
  • In an embodiment, the selecting of the representative quality is based on weighted averaging of the plurality of the qualities.
  • In an embodiment, the selecting of the representative quality is based on a correction potential of the apparatus of the patterning process.
  • The correction includes correction to the apparatus for substrates of a subsequent lot of substrate, a subsequent apparatus for substrates within said lot of substrates to be processed at the subsequent apparatus, and/or correction to other apparatus of the patterning process.
  • The parameter of the patterning process is an overlay, a critical dimension, a focus, and/or an edge placement error.
  • The apparatus is a scanner.
  • The data related to the scanner include levelling data, alignment data, aberration data associated with a projection system, and/or reticle phase.
  • The plurality of parameter maps includes parameter maps for one or more parameters including an overlay, a critical dimension, focus, and/or an edge placement error. Each parameter map of the plurality of parameter maps is a high-density map of a parameter, the high-density map is generated, by modelling and/or simulation of a contribution of one or more processing variables of the patterning process to the parameter. The processing variable is a focus and/or a dose.
  • Furthermore, according to an embodiment of the present disclosure, there is provided a method for applying correction to a patterning process. The method includes obtaining (i) a representative quality selected from a plurality of qualities of the patterning process, and (ii) a correction based on the representative quality, and applying, by a hardware computer system, the correction to the patterning process for substrates within the lot of substrate, and/or for substrates of a subsequent lot of substrate.
  • The plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process. The representative quality is a representative parameter map selected from the plurality of parameter maps.
  • The plurality of qualities is one or more corrections corresponding to a plurality of parameter maps of the patterning process for a lot of substrates processed during the patterning process, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process. The representative quality is a representative correction selected from one or more corrections to the patterning process
  • The selecting of the representative quality is based on weighted averaging of the plurality of the qualities.
  • The selecting of the representative quality is based on a correction potential of the apparatus of the patterning process.
  • Furthermore, according to an embodiment of the present disclosure, there is provided a method for determining correction to a patterning process, the method including obtaining (i) a plurality of qualities of the patterning process for a previously processed lot of substrates, (ii) measurements of a current substrate, and (iii) a current quality based on the measurements of the current substrate, identifying, by a hardware computer system, a matching quality from the plurality of qualities based on a comparison between the current quality and the plurality of qualities, and determining, by the hardware computer system, a correction to the patterning process based on the matching quality.
  • The plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process. The current quality is a current substrate map generated from the measurements of the current substrate. The matching quality is a matching parameter map identified from the plurality of parameter maps based on a comparison between the current substrate map and the plurality of parameter maps.
  • The plurality of qualities is one or more corrections corresponding to a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process. The current quality is a current correction based on a current substrate map generated from the measurements of the current substrate. The matching quality is a matching correction map identified from the one or more corrections based on a comparison between the current correction and the one or more corrections.
  • The method further includes steps for obtaining a modelled parameter map, by modelling and/or simulation, based on the measurements of the current substrate and data of the apparatus of the patterning process, and identifying, by the hardware computer system, the matching parameter map from the plurality of parameter maps based on a comparison between the modelled parameter map and the plurality of parameter maps, and determining, by the hardware computer system, the correction to the patterning process based on the matching parameter map.
  • The method further includes steps for obtaining one or more categories of substrates based on the plurality of the parameter maps, and/or one or more corrections corresponding to the one more categories of substrates, identifying, by the hardware computer system, a substrate category from the one or more categories of substrates based on the measurements of the current substrate, and selecting, by the hardware computer system, the correction to the patterning process corresponding to the identified category of the substrate.
  • The selecting of the matching quality is based on weighted averaging of the plurality of the qualities.
  • The selecting of the matching quality is based on a correction potential of the apparatus of the patterning process.
  • The correction comprises correction to a subsequent apparatus for substrates within said lot of substrate, correction to the apparatus for substrates of a subsequent lot of substrate, and/or correction to other apparatus of the patterning process.
  • Furthermore, according to an embodiment of the present disclosure, there is provided method for applying correction to a patterning process. The method includes steps for obtaining (i) a matching quality from a plurality of qualities of the patterning process for a previously processed substrate, the matching quality identified based on a comparison between a current quality and the plurality of qualities, the current quality is based on measurements of the current substrate, and (ii) a correction based on the matching quality, and applying, by a hardware computer system, the correction to the patterning process for the current substrate.
  • The plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process. The current quality is a current substrate map generated from the measurements of the current substrate. The matching quality is a matching parameter map identified from the plurality of parameter maps based on a comparison between the current substrate map and the plurality of parameter maps.
  • The plurality of qualities is one or more corrections corresponding to a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process. The current quality is a current correction based on a current substrate map generated from the measurements of the current substrate. The matching quality is a matching correction map identified from the one or more corrections based on a comparison between the current correction and the one or more corrections.
  • The method further includes steps for obtaining a modelled parameter map, by modelling and/or simulation, based on the measurements of the current substrate and data of apparatus of the patterning process, and identifying, by the hardware computer system, the matching parameter map from the plurality of parameter maps based on a comparison between the modelled parameter map and the plurality of parameter maps, and determining, by the hardware computer system, the correction to the patterning process based on the matching parameter map.
  • The method further includes steps for obtaining one or more categories of substrates based on the plurality of the parameter maps, and/or one or more corrections corresponding to the one more categories of substrates, and identifying, by the hardware computer system, a substrate category from the one or more categories of substrates based on the measurements of the current substrate, and selecting, by the hardware computer system, the correction corresponding to the identified category of the substrate.
  • The selecting of the matching quality is based on weighted averaging of the plurality of the qualities.
  • The selecting of the matching quality is based on a correction potential of the apparatus of the patterning process.
  • The correction comprises correction to a subsequent apparatus for substrates within said lot of substrate, correction to the apparatus for substrates of a subsequent lot of substrate, and/or correction to other apparatus of the patterning process.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 schematically depicts a lithography apparatus according to an embodiment.
  • FIG. 2 schematically depicts an embodiment of a lithographic cell or cluster;
  • FIG. 3 schematically depicts an example inspection apparatus and metrology technique.
  • FIG. 4 schematically depicts an example inspection apparatus.
  • FIG. 5 illustrates the relationship between an illumination spot of an inspection apparatus and a metrology target.
  • FIG. 6 schematically depicts a process of deriving a plurality of variables of interest based on measurement data.
  • FIG. 7 shows example categories of processing variables.
  • FIG. 8 schematically shows that changes of processing variables may have contributions to metrology data.
  • FIG. 9 schematically shows an example of deriving a combined contribution to a processing variable.
  • FIG. 10 schematically shows an example of deriving a contribution to a processing variable from metrology data.
  • FIG. 11 schematically shows a flow for a method, according to an embodiment.
  • FIG. 12 schematically shows a flow for a method, according to an embodiment.
  • FIG. 13 schematically shows a flow for a method, according to an embodiment.
  • FIG. 14 schematically shows a flow for a method, according to an embodiment.
  • FIG. 15 schematically shows a flow for a method, according to an embodiment.
  • FIG. 16 schematically shows a flow for a method, according to an embodiment.
  • FIG. 17A schematically shows a flow for a method, according to an embodiment.
  • FIG. 17B illustrate example categories of high density maps, according to an embodiment.
  • FIG. 18 schematically shows a flow for a method, according to an embodiment.
  • FIG. 19 schematically shows a flow for a method, according to an embodiment.
  • FIG. 20 schematically shows a flow for a (a) set-up method and (b) computational metrology method, according to an embodiment.
  • FIG. 21 is a block diagram of an example computer system.
  • FIG. 22 schematically shows a flow for a method, according to an embodiment.
  • DETAILED DESCRIPTION
  • Before describing embodiments in detail, it is instructive to present an example environment in which embodiments may be implemented.
  • FIG. 1 schematically depicts an embodiment of a lithographic apparatus LA. The apparatus comprises:
  • an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. UV radiation or DUV radiation);
  • a support structure (e.g. a mask table) MT constructed to support a patterning device (e.g. a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters;
  • a substrate table (e.g. a wafer table) WT (e.g., WTa, WTb or both) constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and
  • a projection system (e.g. a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies and often referred to as fields) of the substrate W, the projection system supported on a reference frame (RF).
  • As here depicted, the apparatus is of a transmissive type (e.g. employing a transmissive mask). Alternatively, the apparatus may be of a reflective type (e.g. employing a programmable mirror array of a type as referred to above, or employing a reflective mask).
  • The illuminator IL receives a beam of radiation from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD comprising for example suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
  • The illuminator IL may alter the intensity distribution of the beam. The illuminator may be arranged to limit the radial extent of the radiation beam such that the intensity distribution is non-zero within an annular region in a pupil plane of the illuminator IL. Additionally or alternatively, the illuminator IL may be operable to limit the distribution of the beam in the pupil plane such that the intensity distribution is non-zero in a plurality of equally spaced sectors in the pupil plane. The intensity distribution of the radiation beam in a pupil plane of the illuminator IL may be referred to as an illumination mode.
  • So, the illuminator IL may comprise adjuster AM configured to adjust the (angular/spatial) intensity distribution of the beam. Generally, at least the outer and/or inner radial extent (commonly referred to as σ-outer and σ-inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. The illuminator IL may be operable to vary the angular distribution of the beam. For example, the illuminator may be operable to alter the number, and angular extent, of sectors in the pupil plane wherein the intensity distribution is non-zero. By adjusting the intensity distribution of the beam in the pupil plane of the illuminator, different illumination modes may be achieved. For example, by limiting the radial and angular extent of the intensity distribution in the pupil plane of the illuminator IL, the intensity distribution may have a multi-pole distribution such as, for example, a dipole, quadrupole or hexapole distribution. A desired illumination mode may be obtained, e.g., by inserting an optic which provides that illumination mode into the illuminator IL or using a spatial light modulator.
  • The illuminator IL may be operable alter the polarization of the beam and may be operable to adjust the polarization using adjuster AM. The polarization state of the radiation beam across a pupil plane of the illuminator IL may be referred to as a polarization mode. The use of different polarization modes may allow greater contrast to be achieved in the image formed on the substrate W. The radiation beam may be unpolarized. Alternatively, the illuminator may be arranged to linearly polarize the radiation beam. The polarization direction of the radiation beam may vary across a pupil plane of the illuminator IL. The polarization direction of radiation may be different in different regions in the pupil plane of the illuminator IL. The polarization state of the radiation may be chosen in dependence on the illumination mode. For multi-pole illumination modes, the polarization of each pole of the radiation beam may be generally perpendicular to the position vector of that pole in the pupil plane of the illuminator IL. For example, for a dipole illumination mode, the radiation may be linearly polarized in a direction that is substantially perpendicular to a line that bisects the two opposing sectors of the dipole. The radiation beam may be polarized in one of two different orthogonal directions, which may be referred to as X-polarized and Y-polarized states. For a quadrupole illumination mode the radiation in the sector of each pole may be linearly polarized in a direction that is substantially perpendicular to a line that bisects that sector. This polarization mode may be referred to as XY polarization. Similarly, for a hexapole illumination mode the radiation in the sector of each pole may be linearly polarized in a direction that is substantially perpendicular to a line that bisects that sector. This polarization mode may be referred to as TE polarization.
  • In addition, the illuminator IL generally comprises various other components, such as an integrator IN and a condenser CO. The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • Thus, the illuminator provides a conditioned beam of radiation B, having a desired uniformity and intensity distribution in its cross section.
  • The support structure MT supports the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The support structure can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The support structure may be a frame or a table, for example, which may be fixed or movable as required. The support structure may ensure that the patterning device is at a desired position, for example with respect to the projection system. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.”
  • The term “patterning device” used herein should be broadly interpreted as referring to any device that can be used to impart a pattern in a target portion of the substrate. In an embodiment, a patterning device is any device that can be used to impart a radiation beam with a pattern in its cross-section so as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
  • A patterning device may be transmissive or reflective. Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Masks are well known in lithography, and include mask types such as binary, alternating phase-shift, and attenuated phase-shift, as well as various hybrid mask types. An example of a programmable mirror array employs a matrix arrangement of small mirrors, each of which can be individually tilted so as to reflect an incoming radiation beam in different directions. The tilted mirrors impart a pattern in a radiation beam, which is reflected by the mirror matrix.
  • The term “projection system” used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”.
  • The projection system PS has an optical transfer function which may be non-uniform, which can affect the pattern imaged on the substrate W. For unpolarized radiation such effects can be fairly well described by two scalar maps, which describe the transmission (apodization) and relative phase (aberration) of radiation exiting the projection system PS as a function of position in a pupil plane thereof. These scalar maps, which may be referred to as the transmission map and the relative phase map, may be expressed as a linear combination of a complete set of basis functions. A particularly convenient set is the Zernike polynomials, which form a set of orthogonal polynomials defined on a unit circle. A determination of each scalar map may involve determining the coefficients in such an expansion. Since the Zernike polynomials are orthogonal on the unit circle, the Zernike coefficients may be determined by calculating the inner product of a measured scalar map with each Zernike polynomial in turn and dividing this by the square of the norm of that Zernike polynomial.
  • The transmission map and the relative phase map are field and system dependent. That is, in general, each projection system PS will have a different Zernike expansion for each field point (i.e. for each spatial location in its image plane). The relative phase of the projection system PS in its pupil plane may be determined by projecting radiation, for example from a point-like source in an object plane of the projection system PS (i.e. the plane of the patterning device MA), through the projection system PS and using a shearing interferometer to measure a wavefront (i.e. a locus of points with the same phase). A shearing interferometer is a common path interferometer and therefore, advantageously, no secondary reference beam is required to measure the wavefront. The shearing interferometer may comprise a diffraction grating, for example a two dimensional grid, in an image plane of the projection system (i.e. the substrate table WT) and a detector arranged to detect an interference pattern in a plane that is conjugate to a pupil plane of the projection system PS. The interference pattern is related to the derivative of the phase of the radiation with respect to a coordinate in the pupil plane in the shearing direction. The detector may comprise an array of sensing elements such as, for example, charge coupled devices (CCDs).
  • The projection system PS of a lithography apparatus may not produce visible fringes and therefore the accuracy of the determination of the wavefront can be enhanced using phase stepping techniques such as, for example, moving the diffraction grating. Stepping may be performed in the plane of the diffraction grating and in a direction perpendicular to the scanning direction of the measurement. The stepping range may be one grating period, and at least three (uniformly distributed) phase steps may be used. Thus, for example, three scanning measurements may be performed in the y-direction, each scanning measurement being performed for a different position in the x-direction. This stepping of the diffraction grating effectively transforms phase variations into intensity variations, allowing phase information to be determined. The grating may be stepped in a direction perpendicular to the diffraction grating (z direction) to calibrate the detector.
  • The diffraction grating may be sequentially scanned in two perpendicular directions, which may coincide with axes of a co-ordinate system of the projection system PS (x and y) or may be at an angle such as 45 degrees to these axes. Scanning may be performed over an integer number of grating periods, for example one grating period. The scanning averages out phase variation in one direction, allowing phase variation in the other direction to be reconstructed. This allows the wavefront to be determined as a function of both directions.
  • The transmission (apodization) of the projection system PS in its pupil plane may be determined by projecting radiation, for example from a point-like source in an object plane of the projection system PS (i.e. the plane of the patterning device MA), through the projection system PS and measuring the intensity of radiation in a plane that is conjugate to a pupil plane of the projection system PS, using a detector. The same detector as is used to measure the wavefront to determine aberrations may be used.
  • The projection system PS may comprise a plurality of optical (e.g., lens) elements and may further comprise an adjustment mechanism AM configured to adjust one or more of the optical elements so as to correct for aberrations (phase variations across the pupil plane throughout the field). To achieve this, the adjustment mechanism may be operable to manipulate one or more optical (e.g., lens) elements within the projection system PS in one or more different ways. The projection system may have a co-ordinate system wherein its optical axis extends in the z direction. The adjustment mechanism may be operable to do any combination of the following: displace one or more optical elements; tilt one or more optical elements; and/or deform one or more optical elements. Displacement of an optical element may be in any direction (x, y, z or a combination thereof). Tilting of an optical element is typically out of a plane perpendicular to the optical axis, by rotating about an axis in the x and/or y directions although a rotation about the z axis may be used for a non-rotationally symmetric aspherical optical element. Deformation of an optical element may include a low frequency shape (e.g. astigmatic) and/or a high frequency shape (e.g. free form aspheres). Deformation of an optical element may be performed for example by using one or more actuators to exert force on one or more sides of the optical element and/or by using one or more heating elements to heat one or more selected regions of the optical element. In general, it may not be possible to adjust the projection system PS to correct for apodization (transmission variation across the pupil plane). The transmission map of a projection system PS may be used when designing a patterning device (e.g., mask) MA for the lithography apparatus LA. Using a computational lithography technique, the patterning device MA may be designed to at least partially correct for apodization.
  • The lithographic apparatus may be of a type having two (dual stage) or more tables (e.g., two or more substrate tables WTa, WTb, two or more patterning device tables, a substrate table WTa and a table WTb below the projection system without a substrate that is dedicated to, for example, facilitating measurement, and/or cleaning, etc.). In such “multiple stage” machines the additional tables may be used in parallel, or preparatory steps may be carried out on one or more tables while one or more other tables are being used for exposure. For example, alignment measurements using an alignment sensor AS and/or level (height, tilt, etc.) measurements using a level sensor LS may be made.
  • The lithographic apparatus may also be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g. water, so as to fill a space between the projection system and the substrate. An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the patterning device and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. The term “immersion” as used herein does not mean that a structure, such as a substrate, must be submerged in liquid, but rather only means that liquid is located between the projection system and the substrate during exposure.
  • So, in operation of the lithographic apparatus, a radiation beam is conditioned and provided by the illumination system IL. The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., mask table) MT, and is patterned by the patterning device. Having traversed the patterning device MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF (e.g. an interferometric device, linear encoder, 2-D encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor (which is not explicitly depicted in FIG. 1) can be used to accurately position the patterning device MA with respect to the path of the radiation beam B, e.g. after mechanical retrieval from a mask library, or during a scan. In general, movement of the support structure MT may be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which form part of the first positioner PM. Similarly, movement of the substrate table WT may be realized using a long-stroke module and a short-stroke module, which form part of the second positioner PW. In the case of a stepper (as opposed to a scanner) the support structure MT may be connected to a short-stroke actuator only, or may be fixed. Patterning device MA and substrate W may be aligned using patterning device alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the patterning device MA, the patterning device alignment marks may be located between the dies.
  • The depicted apparatus could be used in at least one of the following modes:
  • 1. In step mode, the support structure MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed. In step mode, the maximum size of the exposure field limits the size of the target portion C imaged in a single static exposure.
  • 2. In scan mode, the support structure MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure MT may be determined by the (de-) magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion.
  • 3. In another mode, the support structure MT is kept essentially stationary holding a programmable patterning device, and the substrate table WT is moved or scanned while a pattern imparted to the radiation beam is projected onto a target portion C. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
  • Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed.
  • Although specific reference may be made in this text to the use of lithography apparatus in the manufacture of ICs, it should be understood that the lithography apparatus described herein may have other applications, such as the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid-crystal displays (LCDs), thin film magnetic heads, etc. The skilled artisan will appreciate that, in the context of such alternative applications, any use of the terms “wafer” or “die” herein may be considered as synonymous with the more general terms “substrate” or “target portion”, respectively. The substrate referred to herein may be processed, before or after exposure, in for example a track (a tool that typically applies a layer of resist to a substrate and develops the exposed resist) or a metrology or inspection tool. Where applicable, the disclosure herein may be applied to such and other substrate processing tools. Further, the substrate may be processed more than once, for example in order to create a multi-layer IC, so that the term substrate used herein may also refer to a substrate that already contains multiple processed layers.
  • The terms “radiation” and “beam” used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g. having a wavelength of 365, 248, 193, 157 or 126 nm) and extreme ultra-violet (EUV) radiation (e.g. having a wavelength in the range of 5-20 nm), as well as particle beams, such as ion beams or electron beams.
  • Various patterns on or provided by a patterning device may have different process windows. i.e., a space of processing variables under which a pattern will be produced within specification. Examples of pattern specifications that relate to potential systematic defects include checks for necking, line pull back, line thinning, CD, edge placement, overlapping, resist top loss, resist undercut and/or bridging. The process window of all the patterns on a patterning device or an area thereof may be obtained by merging (e.g., overlapping) process windows of each individual pattern. The boundary of the process window of all the patterns contains boundaries of process windows of some of the individual patterns. In other words, these individual patterns limit the process window of all the patterns. These patterns can be referred to as “hot spots” or “process window limiting patterns (PWLPs),” which are used interchangeably herein. When controlling a part of a patterning process, it is possible and economical to focus on the hot spots. When the hot spots are not defective, it is most likely that all the patterns are not defective.
  • As shown in FIG. 2, the lithographic apparatus LA may form part of a lithographic cell LC, also sometimes referred to a lithocell or cluster, which also includes apparatuses to perform pre- and post-exposure processes on a substrate. Conventionally these include one or more spin coaters SC to deposit one or more resist layers, one or more developers DE to develop exposed resist, one or more chill plates CH and/or one or more bake plates BK. A substrate handler, or robot, RO picks up one or more substrates from input/output port I/O1, I/O2, moves them between the different process apparatuses and delivers them to the loading bay LB of the lithographic apparatus. These apparatuses, which are often collectively referred to as the track, are under the control of a track control unit TCU which is itself controlled by the supervisory control system SCS, which also controls the lithographic apparatus via lithography control unit LACU. Thus, the different apparatuses can be operated to maximize throughput and processing efficiency.
  • In order that a substrate that is exposed by the lithographic apparatus is exposed correctly and consistently and/or in order to monitor a part of the patterning process (e.g., a device manufacturing process) that includes at least one pattern transfer step (e.g., an optical lithography step), it is desirable to inspect a substrate or other object to measure or determine one or more properties such as alignment, overlay (which can be, for example, between structures in overlying layers or between structures in a same layer that have been provided separately to the layer by, for example, a double patterning process), line thickness, critical dimension (CD), focus offset, a material property, etc. Accordingly a manufacturing facility in which lithocell LC is located also typically includes a metrology system MET which measures some or all of the substrates W that have been processed in the lithocell or other objects in the lithocell. The metrology system MET may be part of the lithocell LC, for example it may be part of the lithographic apparatus LA (such as alignment sensor AS).
  • The one or more measured parameters may include, for example, overlay between successive layers formed in or on the patterned substrate, critical dimension (CD) (e.g., critical linewidth) of, for example, features formed in or on the patterned substrate, focus or focus error of an optical lithography step, dose or dose error of an optical lithography step, optical aberrations of an optical lithography step, etc. This measurement may be performed on a target of the product substrate itself and/or on a dedicated metrology target provided on the substrate. The measurement can be performed after-development of a resist but before etching or can be performed after-etch.
  • There are various techniques for making measurements of the structures formed in the patterning process, including the use of a scanning electron microscope, an image-based measurement tool and/or various specialized tools. As discussed above, a fast and non-invasive form of specialized metrology tool is one in which a beam of radiation is directed onto a target on the surface of the substrate and properties of the scattered (diffracted/reflected) beam are measured. By evaluating one or more properties of the radiation scattered by the substrate, one or more properties of the substrate can be determined. This may be termed diffraction-based metrology. One such application of this diffraction-based metrology is in the measurement of feature asymmetry within a target. This can be used as a measure of overlay, for example, but other applications are also known. For example, asymmetry can be measured by comparing opposite parts of the diffraction spectrum (for example, comparing the −1st and +1st orders in the diffraction spectrum of a periodic grating). This can be done as described above and as described, for example, in U.S. patent application publication US 2006-066855, which is incorporated herein in its entirety by reference. Another application of diffraction-based metrology is in the measurement of feature width (CD) within a target. Such techniques can use the apparatus and methods described hereafter.
  • Thus, in a device fabrication process (e.g., a patterning process or a lithography process), a substrate or other objects may be subjected to various types of measurement during or after the process. The measurement may determine whether a particular substrate is defective, may establish adjustments to the process and apparatuses used in the process (e.g., aligning two layers on the substrate or aligning the patterning device to the substrate), may measure the performance of the process and the apparatuses, or may be for other purposes. Examples of measurement include optical imaging (e.g., optical microscope), non-imaging optical measurement (e.g., measurement based on diffraction such as ASML YieldStar metrology tool, ASML SMASH metrology system), mechanical measurement (e.g., profiling using a stylus, atomic force microscopy (AFM)), and/or non-optical imaging (e.g., scanning electron microscopy (SEM)). The SMASH (SMart Alignment Sensor Hybrid) system, as described in U.S. Pat. No. 6,961,116, which is incorporated by reference herein in its entirety, employs a self-referencing interferometer that produces two overlapping and relatively rotated images of an alignment marker, detects intensities in a pupil plane where Fourier transforms of the images are caused to interfere, and extracts the positional information from the phase difference between diffraction orders of the two images which manifests as intensity variations in the interfered orders.
  • Metrology results may be provided directly or indirectly to the supervisory control system SCS. If an error is detected, an adjustment may be made to exposure of a subsequent substrate (especially if the inspection can be done soon and fast enough that one or more other substrates of the batch are still to be exposed) and/or to subsequent exposure of the exposed substrate. Also, an already exposed substrate may be stripped and reworked to improve yield, or discarded, thereby avoiding performing further processing on a substrate known to be faulty. In a case where only some target portions of a substrate are faulty, further exposures may be performed only on those target portions which are good.
  • Within a metrology system MET, a metrology apparatus is used to determine one or more properties of the substrate, and in particular, how one or more properties of different substrates vary or different layers of the same substrate vary from layer to layer. As noted above, the metrology apparatus may be integrated into the lithographic apparatus LA or the lithocell LC or may be a stand-alone device.
  • To enable the metrology, one or more targets can be provided on the substrate. In an embodiment, the target is specially designed and may comprise a periodic structure. In an embodiment, the target is a part of a device pattern, e.g., a periodic structure of the device pattern. In an embodiment, the device pattern is a periodic structure of a memory device (e.g., a Bipolar Transistor (BPT), a Bit Line Contact (BLC), etc. structure).
  • In an embodiment, the target on a substrate may comprise one or more 1-D periodic structures (e.g., gratings), which are printed such that after development, the periodic structural features are formed of solid resist lines. In an embodiment, the target may comprise one or more 2-D periodic structures (e.g., gratings), which are printed such that after development, the one or more periodic structures are formed of solid resist pillars or vias in the resist. The bars, pillars or vias may alternatively be etched into the substrate (e.g., into one or more layers on the substrate).
  • In an embodiment, one of the parameters of interest of a patterning process is overlay. Overlay can be measured using dark field scatterometry in which the zeroth order of diffraction (corresponding to a specular reflection) is blocked, and only higher orders processed. Examples of dark field metrology can be found in PCT patent application publication nos. WO 2009/078708 and WO 2009/106279, which are hereby incorporated in their entirety by reference. Further developments of the technique have been described in U.S. patent application publications US2011-0027704, US2011-0043791 and US2012-0242970, which are hereby incorporated in their entirety by reference. Diffraction-based overlay using dark-field detection of the diffraction orders enables overlay measurements on smaller targets. These targets can be smaller than the illumination spot and may be surrounded by device product structures on a substrate. In an embodiment, multiple targets can be measured in one radiation capture.
  • FIG. 3 depicts an example inspection apparatus (e.g., a scatterometer). It comprises a broadband (white light) radiation projector 2 which projects radiation onto a substrate W. The redirected radiation is passed to a spectrometer detector 4, which measures a spectrum 10 (intensity as a function of wavelength) of the specular reflected radiation, as shown, e.g., in the graph in the lower left. From this data, the structure or profile giving rise to the detected spectrum may be reconstructed by processor PU, e.g. by Rigorous Coupled Wave Analysis and non-linear regression or by comparison with a library of simulated spectra as shown at the bottom right of FIG. 3. In general, for the reconstruction the general form of the structure is known and some variables are assumed from knowledge of the process by which the structure was made, leaving only a few variables of the structure to be determined from the measured data. Such an inspection apparatus may be configured as a normal-incidence inspection apparatus or an oblique-incidence inspection apparatus.
  • Another inspection apparatus that may be used is shown in FIG. 4. In this device, the radiation emitted by radiation source 2 is collimated using lens system 12 and transmitted through interference filter 13 and polarizer 17, reflected by partially reflecting surface 16 and is focused into a spot S on substrate W via an objective lens 15, which has a high numerical aperture (NA), desirably at least 0.9 or at least 0.95. An immersion inspection apparatus (using a relatively high refractive index fluid such as water) may even have a numerical aperture over 1.
  • As in the lithographic apparatus LA, one or more substrate tables may be provided to hold the substrate W during measurement operations. The substrate tables may be similar or identical in form to the substrate table WT of FIG. 1. In an example where the inspection apparatus is integrated with the lithographic apparatus, they may even be the same substrate table. Coarse and fine positioners may be provided to a second positioner PW configured to accurately position the substrate in relation to a measurement optical system. Various sensors and actuators are provided for example to acquire the position of a target of interest, and to bring it into position under the objective lens 15. Typically many measurements will be made on targets at different locations across the substrate W. The substrate support can be moved in X and Y directions to acquire different targets, and in the Z direction to obtain a desired location of the target relative to the focus of the optical system. It is convenient to think and describe operations as if the objective lens is being brought to different locations relative to the substrate, when, for example, in practice the optical system may remain substantially stationary (typically in the X and Y directions, but perhaps also in the Z direction) and only the substrate moves. Provided the relative position of the substrate and the optical system is correct, it does not matter in principle which one of those is moving in the real world, or if both are moving, or a combination of a part of the optical system is moving (e.g., in the Z and/or tilt direction) with the remainder of the optical system being stationary and the substrate is moving (e.g., in the X and Y directions, but also optionally in the Z and/or tilt direction).
  • The radiation redirected by the substrate W then passes through partially reflecting surface 16 into a detector 18 in order to have the spectrum detected. The detector 18 may be located at a back-projected focal plane 11 (i.e., at the focal length of the lens system 15) or the plane 11 may be re-imaged with auxiliary optics (not shown) onto the detector 18. The detector may be a two-dimensional detector so that a two-dimensional angular scatter spectrum of a substrate target 30 can be measured. The detector 18 may be, for example, an array of CCD or CMOS sensors, and may use an integration time of, for example, 40 milliseconds per frame.
  • A reference beam may be used, for example, to measure the intensity of the incident radiation. To do this, when the radiation beam is incident on the partially reflecting surface 16 part of it is transmitted through the partially reflecting surface 16 as a reference beam towards a reference mirror 14. The reference beam is then projected onto a different part of the same detector 18 or alternatively on to a different detector (not shown).
  • One or more interference filters 13 are available to select a wavelength of interest in the range of, say, 405-790 nm or even lower, such as 200-300 nm. The interference filter may be tunable rather than comprising a set of different filters. A grating could be used instead of an interference filter. An aperture stop or spatial light modulator (not shown) may be provided in the illumination path to control the range of angle of incidence of radiation on the target.
  • The detector 18 may measure the intensity of redirected radiation at a single wavelength (or narrow wavelength range), the intensity separately at multiple wavelengths or integrated over a wavelength range. Furthermore, the detector may separately measure the intensity of transverse magnetic- and transverse electric-polarized radiation and/or the phase difference between the transverse magnetic- and transverse electric-polarized radiation.
  • The target 30 on substrate W may be a 1-D grating, which is printed such that after development, the bars are formed of solid resist lines. The target 30 may be a 2-D grating, which is printed such that after development, the grating is formed of solid resist pillars or vias in the resist. The bars, pillars or vias may be etched into or on the substrate (e.g., into one or more layers on the substrate). The pattern (e.g., of bars, pillars or vias) is sensitive to change in processing in the patterning process (e.g., optical aberration in the lithographic projection apparatus (particularly the projection system PS), focus change, dose change, etc.) and will manifest in a variation in the printed grating. Accordingly, the measured data of the printed grating is used to reconstruct the grating. One or more parameters of the 1-D grating, such as line width and/or shape, or one or more parameters of the 2-D grating, such as pillar or via width or length or shape, may be input to the reconstruction process, performed by processor PU, from knowledge of the printing step and/or other inspection processes.
  • In addition to measurement of a parameter by reconstruction, angle resolved scatterometry is useful in the measurement of asymmetry of features in product and/or resist patterns. A particular application of asymmetry measurement is for the measurement of overlay, where the target 30 comprises one set of periodic features superimposed on another. The concepts of asymmetry measurement using the instrument of FIG. 3 or FIG. 4 are described, for example, in U.S. patent application publication US2006-066855, which is incorporated herein in its entirety. Simply stated, while the positions of the diffraction orders in the diffraction spectrum of the target are determined only by the periodicity of the target, asymmetry in the diffraction spectrum is indicative of asymmetry in the individual features which make up the target. In the instrument of FIG. 4, where detector 18 may be an image sensor, such asymmetry in the diffraction orders appears directly as asymmetry in the pupil image recorded by detector 18. This asymmetry can be measured by digital image processing in unit PU, and calibrated against known values of overlay.
  • FIG. 5 illustrates a plan view of a typical target 30, and the extent of illumination spot S in the apparatus of FIG. 4. To obtain a diffraction spectrum that is free of interference from surrounding structures, the target 30, in an embodiment, is a periodic structure (e.g., grating) larger than the width (e.g., diameter) of the illumination spot S. The width of spot S may be smaller than the width and length of the target. The target in other words is ‘underfilled’ by the illumination, and the diffraction signal is essentially free from any signals from product features and the like outside the target itself. The illumination arrangement 2, 12, 13, 17 may be configured to provide illumination of a uniform intensity across a back focal plane of objective 15. Alternatively, by, e.g., including an aperture in the illumination path, illumination may be restricted to on axis or off axis directions.
  • FIG. 6 schematically depicts an example process of the determination of the value of one or more variables of interest of a target pattern 30′ based on measurement data obtained using metrology. Radiation detected by the detector 18 provides a measured radiation distribution 108 for target 30′.
  • For a given target 30′, a radiation distribution 208 can be computed/simulated from a parameterized model 206 using, for example, a numerical Maxwell solver 210. The parameterized model 206 shows example layers of various materials making up, and associated with, the target. The parameterized model 206 may include one or more of variables for the features and layers of the portion of the target under consideration, which may be varied and derived. As shown in FIG. 6, the one or more of the variables may include the thickness t of one or more layers, a width w (e.g., CD) of one or more features, a height h of one or more features, and/or a sidewall angle a of one or more features. Although not shown, the one or more of the variables may further include, but is not limited to, the refractive index (e.g., a real or complex refractive index, refractive index tensor, etc.) of one or more of the layers, the extinction coefficient of one or more layers, the absorption of one or more layers, resist loss during development, a footing of one or more features, and/or line edge roughness of one or more features. The initial values of the variables may be those expected for the target being measured. The measured radiation distribution 108 is then compared at 212 to the computed radiation distribution 208 to determine the difference between the two. If there is a difference, the values of one or more of the variables of the parameterized model 206 may be varied, a new computed radiation distribution 208 calculated and compared against the measured radiation distribution 108 until there is sufficient match between the measured radiation distribution 108 and the computed radiation distribution 208. At that point, the values of the variables of the parameterized model 206 provide a good or best match of the geometry of the actual target 30′. In an embodiment, there is sufficient match when a difference between the measured radiation distribution 108 and the computed radiation distribution 208 is within a tolerance threshold.
  • Variables of a patterning process are called “processing variables.” The patterning process may include processes upstream and downstream to the actual transfer of the pattern in a lithography apparatus. FIG. 7 shows example categories of the processing variables 370. The first category may be variables 310 of the lithography apparatus or any other apparatuses used in the lithography process. Examples of this category include variables of the illumination, projection system, substrate stage, etc. of a lithography apparatus. The second category may be variables 320 of one or more procedures performed in the patterning process. Examples of this category include focus control or focus measurement, dose control or dose measurement, bandwidth, exposure duration, development temperature, chemical composition used in development, etc. The third category may be variables 330 of the design layout and its implementation in, or using, a patterning device. Examples of this category may include shapes and/or locations of assist features, adjustments applied by a resolution enhancement technique (RET), CD of mask features, etc. The fourth category may be variables 340 of the substrate. Examples include characteristics of structures under a resist layer, chemical composition and/or physical dimension of the resist layer, etc. The fifth category may be characteristics 350 of temporal variation of one or more variables of the patterning process. Examples of this category include a characteristic of high frequency stage movement (e.g., frequency, amplitude, etc.), high frequency laser bandwidth change (e.g., frequency, amplitude, etc.) and/or high frequency laser wavelength change. These high frequency changes or movements are those above the response time of mechanisms to adjust the underlying variables (e.g., stage position, laser intensity). The sixth category may be characteristics 360 of processes upstream of, or downstream to, pattern transfer in a lithographic apparatus, such as spin coating, post-exposure bake (PEB), development, etching, deposition, doping and/or packaging.
  • As will be appreciated, many, if not all of these variables, will have an effect on a parameter of the patterning process and often a parameter of interest. Non-limiting examples of parameters of the patterning process may include critical dimension (CD), critical dimension uniformity (CDU), focus, overlay, edge position or placement, sidewall angle, pattern shift, etc. Often, these parameters express an error from a nominal value (e.g., a design value, an average value, etc.). The parameter values may be the values of a characteristic of individual patterns or a statistic (e.g., average, variance, etc.) of the characteristic of a group of patterns.
  • The values of some or all of the processing variables, or a parameter related thereto, may be determined by a suitable method. For example, the values may be determined from data obtained with various metrology tools (e.g., a substrate metrology tool). The values may be obtained from various sensors or systems of an apparatus in the patterning process (e.g., a sensor, such as a leveling sensor or alignment sensor, of a lithography apparatus, a control system (e.g., a substrate or patterning device table control system) of a lithography apparatus, a sensor in a track tool, etc.). The values may be from an operator of the patterning process.
  • Now, increasingly metrology has become a burden mostly in terms of time taken. This is, for example, particularly the case in the advent of multiple patterning techniques in the semiconductor industry. With multiple patterning techniques such as litho-etch-litho-etch (LELE) and/or sidewall assisted double patterning (SADP), the number of processing steps has increased significantly and therefore, so has the amount of metrology steps used for control and yield monitoring. Moreover, the amount of metrology increases for each node (i.e., reduction in feature size) as more layers are used in multiple patterning steps, and more patterning steps are used per layer.
  • Additionally or alternatively, there is an increased desire for defect determination and/or guided defect inspection. This involves substantially denser focus, overlay, and/or CD metrology than before.
  • Additionally or alternatively, there are ever tightening specifications on on-device CD, overlay, and/or focus performance. This drives manufacturing equipment specifications of, e.g., exposure tools and processing tools (e.g., deposition, etch, track, chemical mechanical polishing (CMP), etc.), from one node to the next. So, this drives tighter control and monitoring of performance which in turn drives the demand for ever increasing amounts of metrology for control and monitoring.
  • Additionally or alternatively, in line with tightening of the specifications on on-device CD, focus, and/or overlay performance, higher order corrections may be needed. A higher order correction is essentially a corrective action which is selective on a small spatial scale across all or part of the substrate (e.g. correction with a high spatial resolution). Higher order correction involves a denser sampling per substrate for metrology, thereby increasing the metrology burden perhaps beyond practical limits of metrology equipment productivity.
  • Additionally or alternatively, substrate to substrate variation may require further individual substrate level control (compared to, e.g., lot level control) and associated monitoring in order to achieve the desired CD, focus, and/or overlay performance. This may lead to measuring more substrates per lot and thus drive an increase in the amount of measurements used, perhaps beyond practical limits of metrology equipment productivity.
  • But, in order to meet the increased data demands, only adding metrology equipment or increasing metrology equipment productivity may not suffice. Moreover, it may not solve all problems such as obtaining dense CD, focus and/or overlay profiles across a substrate in a timely manner.
  • So, metrology efficiency is desirable. This is to obtain, e.g., higher density of data per substrate and data for a higher number of substrates per lot.
  • Therefore, in an embodiment, there is provided a method to, e.g., help improve metrology efficiency. In the method, metrology data from multiple sources is combined and manipulated in order to derive accurate estimated values of one or more patterning process parameters (e.g., CD, focus, overlay, edge placement, etc.) for each of a plurality of locations across a substrate. In an embodiment, then, there is provided dense metrology data (e.g., for each square mm) for, e.g., all of the substrates processed in a patterning process and for, e.g., all of the layers processed on such substrates in the patterning process.
  • In effect, in an embodiment, the result of the method is a “virtual” or emulated distribution of values of one or more patterning process parameters across the substrate, which is achieved through such combination of various metrology data. This method can offer then an efficient method for hybrid metrology for one or more patterning process parameters (e.g., focus, CD, overlay, etc.). And, while embodiments herein will consider primarily particular examples of patterning process parameters namely focus, CD and overlay, it will appreciated that one or more other or additional patterning process parameters can be the subject of the techniques herein.
  • As noted above, the method involves combination of metrology and other data from various sources. In an embodiment, the method involves combining measurements of a patterning process parameter of interest with data from one or more devices in the patterning process, such as data from one or more sensors of the lithographic apparatus. This combination of data will then be used to predict on-product performance in the form of, e.g., a patterning process parameter, such as CD, overlay, focus, pattern shift, edge placement (e.g., edge placement error), etc. and/or a parameter derived therefrom, such as yield, defects (e.g., risk of defect, defect counts, etc.), etc.
  • Thus, a principle underlying the method is to isolate and estimate individual root-causes of the change of a patterning process parameter of interest which are then combined to compute the on-product performance. The goal is to use as much of the available information as possible to avoid ever increasing amounts of off-line (and/or on-line) metrology to determine a patterning process parameter of interest using tools such as described in respect of FIGS. 3-6.
  • Thus, in an embodiment, it is desirable to identify the various contributions to the change of a patterning process parameter of interest (such as CD error, focus error, overlay, etc.). Those contributions can then be used in various combinations to achieve a desired estimate of the on-product performance. Typically, those contributions will be an error and/or a residual. For example, a device may produce an error in making a correction, which can then realize in a change of the patterning process parameter of interest. As another example, a sensor system may measure an error, which error is or contributes to a change of the patterning process parameter. As a further example, a device or a mathematical model used to determine a setting of the device may not be able to realize a certain desired or best physical effect (e.g., a difference between a desired physical correction and the physical correction that can be achieved by a device, a difference between a desired physical effect such as dose, focus, etc. and the physical effect than can be achieved by a device, a difference between a desired physical correction or effect and the correction or effect capable of being determined by a mathematical model, etc.) and thus realize a residual that is or contributes to a change of the patterning process parameter. In an embodiment, a contribution can be determined experimentally or empirically.
  • Each of these contributions to a patterning process parameter of interest as spatially distributed across a die, a field or a substrate can then be characterized as a fingerprint. And, similarly, the combined contributions across the die, the field or the substrate can be characterized as a fingerprint.
  • So, a plurality of these contributions can be combined to yield a derived fingerprint. For example, a focus fingerprint on a product substrate can be, for instance, a composite of focus contributions due to unflatness of that substrate, a focus error associated with the projection system of the lithographic apparatus during the substrate's exposure, a height error made by the substrate position control loop during exposure, and a residual fingerprint of the focus setup of the lithographic apparatus. An example of this is described below in respect of FIG. 9.
  • Similarly, a derived or measured fingerprint can have one or more fingerprints removed therefrom to yield a (further) derived fingerprint. For example, a measured focus fingerprint of a substrate can have removed therefrom the focus contributions of unflatness of that substrate, a focus error associated with the projection system of the lithographic apparatus during the substrate's exposure, a height error made by the substrate position control loop during exposure, and a residual fingerprint of the focus setup of the lithographic apparatus. This can yield a residual focus fingerprint due to errors not captured by the removed fingerprints. An example of this is described below in respect of FIG. 10.
  • Thus, in an embodiment, the method can, for example, break down a fingerprint into individual contribution fingerprints and/or derive a fingerprint by combining fingerprints.
  • So, referring to FIG. 8, an embodiment of the combination of contributions (fingerprints) is depicted. A change of a processing variable 311 (e.g., in the focus context, a height error) may have a contribution 312 to a patterning process parameter 352 (e.g., in the context of focus, focus) for a pattern on a substrate and that a change of a processing variable 321 (e.g. in the focus context, a residual of the focus setup) may have a contribution 322 to the patterning process parameter 352. Namely, the patterning process parameter 352 may have combined contributions of changes of one or more processing variables. These contributions 312 and 322 may be simply additive (which may include weighted or linear addition) or be combined by other functions (e.g., using (de)convolution, using a neural network, RMS addition, scaling, etc.). The patterning process parameter 352 may have contributions of changes in other variables. So, with this realization as discussed further hereafter, various contributions can be determined or can be used to derive estimates of a patterning process parameter. In an embodiment, the contribution 312 and/or 322 may be determined by modeling the one or more applicable processing variables. The contribution 312 and/or 322 may be expressed as a function of the one or more applicable processing variables. The function may be linear or non-linear.
  • FIG. 9 schematically shows an example of obtaining a derived contribution 470 to a patterning process parameter of a substrate, the derived contribution being a combination of contributions (one or more of which may be a derived contribution) of multiple processing variables. In this case, the derived contribution 470 is (de)focus. So, the derived contribution 470 can be obtained using contributions of multiple processing variables such as focus error (FE) associated with the projection system of the lithographic apparatus during the substrate's exposure 400, a height error (e.g., moving standard deviation (MSDz)) made by the substrate position control loop during exposure 410, and unflatness (P) of that substrate 420. Significantly, this data can be obtained from the lithographic apparatus and can be a by-product of producing a substrate as part of a patterning process. None of these identified contributions necessarily requires a measurement of a feature patterned on the substrate using, for example, tools such as described in respect of FIGS. 3-6.
  • So, in the focus case, an example of the contribution to focus of processing variable 400 is shown as contribution 430, an example of the contribution to focus of processing variable 410 is shown as contribution 440, and an example of the contribution to focus of processing variable 420 is shown as contribution 440. Each of these contributions is then combined together 460 to realize derived contribution 470. While element 460 (and other elements in the Figures) shows a plus sign, the operation at 460 need not be addition, e.g., it can be a multiplication, convolution, through use of a neural network etc. The operation may be different for one or more contributions than another one or more contributions (e.g., an addition of 430 to 440 and a convolution of the sum with contribution 450). In an example, the combined contribution can be expressed as F(x, y)=a1*F(FE)(x, y)+b1*F(MSDz)(x, y)+c1*F(P)(x, y)+ . . . . The various functions can be obtained by simulation, mathematical modeling and/or experimentation. Moreover, there may be cross terms that are not shown here (such as focus as a function of FE times MSD, etc.).To obtain an absolute value of focus, a nominal value or simulated value of the focus can be combined with the contributions. The coefficients such as a1, b1, c1 are sensitivities of the focus with respect to the respective processing variables or their functions. In this example, the contributions are across a substrate but in an embodiment, one or more of the contributions may per die/field (which could then be repeated across the substrate depending on, e.g., applicable conditions at each of the instances). As noted above, the contribution 470 may be characterized as a fingerprint since it can be spatially defined across the substrate/die/field. Further, the contribution's translation into an absolute value may be characterized as a fingerprint since it can be spatially defined across the substrate/die/field. As will be appreciated, data from processing of multiple substrates using the patterning process can be used to derive the respective contributions. This data can already be available since it could be the by-product of processing the substrates.
  • Now, in the context of CD, the same technique described in respect of FIG. 9 can be used. For example, the derived contribution 470 to CD can be the combination of contributions (which can include a derived contribution) attributable to multiple processing variables such as focus (F) 400, moving standard deviation (MSDz) 410 of movement of the substrate in the normal direction of the substrate, and moving standard deviation (MSDx) 420 of movement of the substrate in a direction parallel to the substrate. So, in this case, an example of the contribution to CD of focus (F) 400 would be contribution 430, an example of the contribution to CD of moving standard deviation (MSDz) 410 would be contribution 440, and an example of the contribution to CD of moving standard deviation (MSDx) 420 would be contribution 440. Each of these contributions is then combined together 460 to realize derived contribution 470. In an example, the combined contribution can be expressed as CD(x,y)=a1*CD(F2)(x, y)+b1*CD(MSDx)(x, y)+c1*CD(MSDz 2)(x, y)+ . . . . Moreover, there may be cross terms that are not shown here and the relations may be different (e.g., a relation, such as focus and/or MSDz, may not be purely quadratic, and could have linear and 3rd order terms). In an embodiment, the contributions 430, 440, 450 may be respectively focus (F) 400, moving standard deviation (MSDz) 410 and moving standard deviation (MSDx) 420 distributions in which case a CD model would be used to combine them into a CD distribution. Moreover, there may be cross terms that are not shown here (such as CD as a function of F times MSD, etc.).To obtain an absolute value of CD, a nominal value or simulated value of the CD can be combined with the contributions. The coefficients such as a1, b1, c1 are sensitivities of the CD with respect to the processing variables or their functions.
  • Applying the principles described above, FIG. 10 schematically shows an example of obtaining a derived contribution 510 from patterned substrate metrology data for a patterning process parameter of interest of a substrate by removing a contribution to the patterning process parameter of interest. Patterned substrate metrology data in this context are values of a parameter of interest obtained by measuring a feature (e.g., a device pattern feature, a metrology target separate from the device pattern, etc.) on substrate that is at least partially processed by the patterning process. Such metrology data can typically be obtained using metrology or inspection tools such as described in respect of FIGS. 3-6.
  • Patterned substrate metrology data 500 for a parameter of interest may have at least two contributions. So, one or more contributions within the patterned substrate metrology data can be obtained by removing one or more other contributions within the patterned substrate metrology data. Thus, a contribution 510 may be obtained by removing 505 a contribution 520 from the patterned substrate metrology data 500. While element 505 (and other elements in the Figures) shows a minus sign, the operation at 505 need not be subtraction, e.g., it can be a multiplication, (de)convolution, through use of a neural network, etc. Contribution 520 can be a derived contribution, such as contribution 470, or can be a contribution derived from other data, such as any one or more of contributions 430, 440 and 450. Further, multiple contributions can be removed. For example, optional contribution 540, associated with a processing variable 530, can be removed from the patterned substrate metrology data 500 along with contribution 520. As will be appreciated, data from processing of multiple substrates using the patterning process can be used to obtain the patterned substrate metrology data.
  • In an embodiment, the patterned substrate metrology data is from a pattern separate from a device pattern, for example a metrology feature of at a non-functional area of a die, between dies or on a test substrate. So, for example, the contribution of one or more processing variables can be removed from such metrology data, thereby obtaining a remaining contribution of one or more processing variables to such metrology data. The comparable contribution to metrology data of a device pattern on the same or different substrate can then be obtained based on the remaining contribution, without the need of actually obtaining the metrology data from the device pattern. For example, the contribution to the metrology of the device pattern may be estimated to be the same as the remaining contribution because the applicable one or more processing variables have comparable effect to the device pattern and the separate pattern. In an embodiment, the separate pattern is a pattern for testing purpose (e.g., a metrology target) and performing metrology on the separate pattern does not impact a device pattern on the substrate.
  • Therefore, by combining contributions and/or removing contributions from patterned substrate metrology data, estimates of a parameter of interest of a substrate can be obtained without necessarily having to obtain patterned substrate metrology data for that substrate. This is done by recognizing the nature of the various contributions.
  • In an embodiment, a contribution of one or more processing variables may be apparatus (e.g., lithographic apparatus) specific; that is, the contribution is specific to a certain actual apparatus or combination of apparatuses. Thus, in an embodiment, the contribution of the one or more processing variables may be used repeatedly across every substrate. Therefore, the contribution of one or more processing variables may be pre-characterized and obtained from a database for various combination/removal processes. The contribution may be apparatus specific as a whole or a specific part (e.g., etch chamber) of the apparatus. Examples of such variables may include, but are not limited to, various characteristics of a process upstream or downstream to pattern transfer in the lithographic apparatus, such as spin coating, post exposure bake, development, etching, deposition, doping, and/or packaging.
  • In an embodiment, a contribution of one or more processing variables is not specific to a particular substrate (and so can be used across substrates). Thus, the contribution of one or more processing variables may be pre-characterized and obtained from a database later for various combination/removal processes. This contribution of one or more processing variables can be applied to a specific substrate by combining it with data of the one or more variables for the specific substrate and a sensitivity relationship. Examples of such variables may include, but is not limited to, variables of the illumination, projection system, focus, dose, bandwidth, exposure duration, a characteristic of high frequency stage movement (e.g., moving standard deviation (MSD) of movement of the substrate stage, moving average of the movement of the substrate stage, frequency, amplitude, etc.), a high frequency laser bandwidth change (e.g., frequency, amplitude, etc.), a high frequency laser wavelength change, and/or flatness of the substrate.
  • In an embodiment, the contribution of one or more processing variables may be substrate specific. For example, the contribution may be determined for each substrate or a specific group of substrates. Examples of such variables may include, but are not limited to, substrate geometry (height map, deformation map), substrate processing conditions, variables of the illumination, variables of the projection system, focus, dose, bandwidth, exposure duration, a characteristic of high frequency stage movement (e.g., moving standard deviation (MSD) of movement of the substrate stage, moving average of the movement of the substrate stage, etc.), a high frequency laser bandwidth change (e.g., frequency, amplitude, etc.), a high frequency laser wavelength change, and/or flatness of the substrate.
  • In an embodiment, the contribution of one or more processing variables may be pattern or patterning device specific; that is, the contribution is specific to a certain actual patterning device or specific pattern to be provided by a patterning device. This contribution can be further substrate independent. Thus, the pattern or patterning device specific contribution may be pre-characterized and obtained from a database later for various combination processes. Examples of such variables may include, but are not limited to, patterning device feature CD, a shape and/or location of an assist feature, an adjustment applied by a resolution enhancement technique (RET), etc.
  • In an embodiment, the contribution associated with different processing variables may have different spatial uniformity. For example, the contribution of some processing variables may be essentially spatially uniform across the entire substrate, while the contribution of some other processing variables may be not so spatially uniform across the entire substrate. This difference may be attributed to the different nature of the processing variables. For example, the contribution of one or more processing variables associated with the resist layer, development of the resist layer, and/or etching of the substrate tend to be essentially spatially uniform because the entire substrate is usually coated with the resist layer, developed or etched at a same time and under a same condition, or tends to be symmetric because of, for example, rotation of the substrate during a number of these processes. For example, the contribution of one or more processing variables associated with the pattern transfer or the lithography apparatus tends to be less spatially uniform because the pattern transfer tends to be location specific and the one or more processing variables may change between pattern transfer for one location and pattern transfer for another location. Therefore, if the contribution that is not essentially spatially uniform can be removed from patterned substrate metrology data, an essentially spatially uniform contribution can be obtained from the patterned substrate metrology data.
  • So, in a specific example, processing variable data can be gathered from the lithographic apparatus for each point exposed on the substrate, such as leveling information, moving average (MA) of movement of the substrate, MSDxyz, dose, laser bandwidth, pupil shape, etc. This data is typically already available in a lithographic apparatus, e.g., in diagnostic files. From this data, the contribution described above can be generated using a model for the parameter of interest that describes the sensitivity of the parameter of interest to the one or more processing variables. The one or more sensitivities can be obtained from simulation or from experiments. The contribution generated in this fashion is what would be expected to be seen during a measurement after resist development, assuming the track and resist model calibration would be perfect. Once the substrate is measured, e.g., after-develop or after-etch, the contribution is removed from patterned substrate metrology data. The remaining contribution is now the contribution by the pre- or post-pattern transfer process (e.g., by the track and/or etch apparatus, which is generally interfield) and the patterning device (intrafield). The lithographic apparatus errors would have been corrected from the patterned substrate metrology data. Similarly, the patterning device contribution may be measured and its contribution removed to leave the contribution by the pre- or post-pattern transfer processes. A contribution can be removed per process flow (e.g., a combination of patterning process steps and/or apparatus used) or per particular apparatus or part thereof (e.g., etch apparatus or etch chamber).
  • So, through these techniques, contributions to a parameter of interest can be obtained through modeled relationships or by removing known contributions from patterned substrate metrology data. Moreover, one or more contributions can be apparatus specific but also substrate independent. But one or more other contributions can be substrate specific. Thus, by appropriate mixing and matching of contributions, an estimate of a parameter of interest at a certain point in the patterning process can be estimated.
  • Therefore, some specific examples of the application of these contributions will now be described in the context of focus, CD and overlay to determine an estimate of the respective focus, CD and overlay for a substrate. As will be appreciated, additional or other parameters of interest can be processed and estimated.
  • To enable these examples, various data are used. For example, the lithographic apparatus has significant metrology capability integrated therein that is used for pattern transfer control. Examples of such integrated metrology are position measurement devices (e.g., sensor IF) of the substrate and/or patterning device for servo control, a levelling sensor (e.g. sensor LS) for measurement of the substrate surface for levelling control, an alignment sensor (e.g., sensor AS) to measure the orientation, position and/or deformation of the substrate to enable overlay control, and/or an aberration sensor (e.g., the shearing interferometer described above) in order control the wavefront of the projection system. The lithographic apparatus will use data from these sensors for control at a level where its overall CD, overlay, and/or focus budgets are satisfied but at the same time also keep track of residuals and/or errors that are left after control. These residuals and/or errors may be used to calculate what CD, overlay, and/or focus error is made during pattern transfer, i.e. the lithographic apparatus' contribution to the CD, overlay, and/or focus fingerprints. As will be appreciated, other apparatuses used in the patterning process may have similar information for the applicable metrology of the apparatus.
  • Further, lithographic apparatus setup or control can use one or more mathematical models to determine the respective calibration or control correction. However, such one or more models may have a built-in assumption or limit leading to a non-zero residual error. Such a model residual can be used to calculate what CD, overlay, and/or focus error is made, i.e. the model's contribution to the CD, overlay, and/or focus fingerprints. As will be appreciated, other apparatuses used in the patterning process can have similar model information.
  • Further, a lithographic apparatus may be setup by using in-resist measurements to help remove any global and local fingerprints of one or more parameters (e.g., focus, overlay, etc.). But the global fingerprint can drift over time from the last time calibration was performed. For monitoring and control of this setup state, monitor substrates can be exposed and measured to monitor the global fingerprint. The monitor substrates can be basic substrates with a well-defined pattern in the substrate onto which a resist layer is applied, exposed with a pattern in relation to the well-defined pattern, developed and then measured. Once measured, the resist on the monitor substrate can be stripped to leave the well-defined pattern so that a new layer of resist can be applied. Based on those measurements, corrections can be made to the lithographic apparatus and so those corrections need to be factored in determining the contributions. In an embodiment, the monitor substrates can be used to determine overlay by using the well-defined pattern and/or to determine focus by using the resist pattern.
  • Turning to a focus example, the technique involves essentially two main parts. A first part is effectively a setup process to determine an underlying focus contribution of the lithographic apparatus that is not reflected in one or more types of lithographic apparatus processing variables that are used to determine an estimate of focus for a particular substrate in the estimation process. The second part is the estimation process of using a focus contribution associated with those one or more types of lithographic apparatus processing variables for the substrate under consideration to determine the estimate of focus for the particular substrate.
  • So, in the setup process according to an embodiment, patterned substrate focus metrology data is obtained for one or more substrates and then the contributions of one or more types of lithographic apparatus processing variables are removed similarly to as described above in FIG. 10. One type of contribution that can be removed is the focus contribution of a substrate height residual or error map associated with, e.g., the levelling sensor of the lithographic apparatus. A further type of contribution that can be removed is the focus contribution of projection system image plane deviation (IPD), which can be obtained, e.g., from a shearing interferometer and/or a projection system model residual. A further type of contribution that can be removed is the focus contribution of patterning device and/or substrate servo control (e.g., MA), which can be obtained from the applicable positioner, a position measurement device (e.g., sensor IF) and/or a residual of a servo control model. As discussed above, the translation from a processing variable to the focus contribution can be determined through simulation, mathematical modelling and/or experimentation.
  • As needed, the contribution fingerprints can be re-gridded to a same grid (which can be the same as the grid of one of the contribution fingerprints or a different grid). Similarly, the contribution fingerprints can be re-gridded to the patterned substrate metrology data, or vice versa. In an embodiment, the re-gridding comprises up sampling or down sampling.
  • In an embodiment, a filtering (e.g., moving average, deconvolution, FFT, etc.) is applied to a contribution, desirably before combining it with another contribution.
  • In an embodiment, the underlying focus contribution of the lithographic apparatus can effectively be determined once and used for various focus estimates and thus the amount of metrology can be significantly reduced compared to measuring each substrate. In an embodiment, the patterned substrate focus metrology data can be obtained by relatively sparse sampling of locations on the substrate (e.g., 500 targets or less on a substrate) and/or of the number of substrates from one or more lots (e.g., 10 or less substrates from a lot of 25 or more substrates).
  • In an embodiment, the patterned substrate focus metrology data can be a measured focus fingerprint of a monitor substrate as described above. Thus, data that is already being captured can be used. So, the measured focus fingerprint can be corrected for the lithographic apparatus impact as derived from lithographic apparatus sensor information, such as the focus contribution of projection system image plane deviation (IPD), the focus contribution of the substrate height residual or error map, and/or the focus contribution of patterning device and/or substrate servo control, to arrive at the underlying focus contribution of the lithographic apparatus.
  • The underlying focus contribution of the lithographic apparatus is then stored for use in on-product estimations of focus. The underlying focus contribution of the lithographic apparatus can be determined for multiple lithographic apparatuses. The underlying focus contribution of the lithographic apparatus can be determined for a particular combination of devices of the lithographic apparatus used. For example, the lithographic apparatus may have more than one substrate table on which the substrate could be exposed and so the underlying focus contribution of the lithographic apparatus can be determined for a specific combination and/or multiple combinations of devices used.
  • Then, for the on-product estimation, the errors or residuals of one or more types of lithographic apparatus processing variables relevant to focus are obtained for a substrate of interest and the focus contribution thereof is determined. For example, the focus contribution of projection system image plane deviation (IPD), the focus contribution of the substrate height residual or error map, and/or the focus contribution of patterning device and/or substrate servo control can be obtained for the substrate of interest. A further type of contribution that can be added is the focus contribution of patterning device errors attributable to the patterning device being used for the particular substrate of interest, which could be obtained by measurement. This contribution may be particularly used if the applicable underlying focus contribution of the lithographic apparatus was obtained without using the patterning device for the substrate of interest.
  • Further, an applicable underlying focus contribution of the lithographic apparatus, as described above, is obtained. Then, the applicable underlying focus contribution of the lithographic apparatus is combined, similarly to as described above in respect of FIG. 9, with the one or more specific focus contributions of the substrate of interest to obtain an estimated focus fingerprint for the substrate of interest. So, an estimate of focus error can be determined at any, or almost any, location on any substrate.
  • Now, turning to a CD example, in principle, there are a number of processing variables that can cause CD variation in a substrate. In this embodiment, certain CD variations across the substrate are considered. In particular, there are considered the CD variation contribution in respect of focus, focus blur, dose, and overall process. The patterning device, which is an intrafield contributor to CD variation, can also be considered but will not be further described for convenience only.
  • The focus contribution to CD can be based on focus contribution as described above, specifically the combination of the underlying lithographic apparatus focus contribution with the focus contributions of one or more processing variables of the lithographic apparatus for a substrate under consideration. This dense focus information can be, in principle, converted into a ACD contribution across the field and/or across substrate for any pattern feature given that, for example, the Bossung behavior of the feature (after-litho and/or after-etch) can be known through experiment or simulation. Hence, for any location (x, y) on the substrate with a focus value, a CD value can be calculated corresponding to that substrate location (x, y):

  • CD(HDFMx,y)=Φ1(HDFMx, y)
  • wherein HDFM corresponds to a focus map such as the derived high density focus map as described above in the focus example.
  • The focus blur contribution to CD can be obtained using lithographic apparatus data, such as servo information (e.g., MSD in the z direction). The focus blur information can be converted into a ACD contribution across a scan direction and across the substrate. This focus blur data to CD conversion is also feature specific and can be known through experiment or simulation:

  • CD(fblurx,y)=Φ2(fblurx,y)
  • wherein fblur corresponds to focus blurring.
  • The dose contribution to CD is due to the lithographic apparatus's dose variation (e.g. determined by a dose mapping system). The dose variation across the substrate during exposure can be translated into a ACD contribution using a suitable dose sensitivity of the applicable feature, which can be known through experiment or simulation:

  • CD(dosex,y)=Φ3(dosex,y)
  • The overall process contribution to CD is a CD variation arising from various process steps as part of the patterning process separate from the pattern transfer alone. Thus, in an embodiment, the overall process contribution is the state of CD variation after the final etch step and not attributable to the various other CD variations considered in estimating the CD variation. Thus, in an embodiment, this contribution is the cumulative effect of all unaccounted for process variations arising from, e.g., film deposition variation, baking and/or developing variation, and/or etch process variation. Examples of processing variables that contribute to the overall process contribution to CD may include a characteristic of structures under a resist layer, chemical composition and/or physical dimension of the resist layer, and/or a characteristic of one or more processes upstream, or downstream, to the pattern transfer in a lithography apparatus, such as spin coating, post exposure bake, development, etching, deposition, doping and/or packaging. And, while the overall process contribution to CD is described in terms of after etch, the overall process contribution can be obtained for different points in the patterning process, e.g., obtained after development but before etch.
  • So, similar to the setup process of the focus example, all of these ACD contributions due to focus, focus blur and dose can be used and subtracted from substrate CD measurements to estimate the overall process contribution. That is, in an embodiment, an after-etch overall process contribution can be generated from an anchor feature CD measurement after etch, from which the ACD contributions for focus, focus blur and dose (for the anchor feature) are removed. As noted, the overall process contribution is estimated from a suitable anchor feature. So, in an embodiment, the overall process contribution for other features can be determined from the anchor feature. For example, the remainder of the overall process contribution can be expressed as a proportion of the anchor feature's characteristic. Where the overall process contribution is obtained for a different point in the patterning process, e.g., obtained after development but before etch, an after develop but before etch CD measurement can be used.
  • In an embodiment, the overall process contribution can effectively be determined once and used for various CD estimates and thus the amount of metrology can be significantly reduced compared to measuring each substrate. In an embodiment, the patterned substrate focus metrology data can be obtained by relatively sparse sampling of locations on the substrate (e.g., 500 targets or less on a substrate) and/or of the number of substrates from one or more lots (e.g., 10 or less substrates from a lot of 25 or more substrates).
  • The overall process contribution is then stored for use in on-product estimations of CD. The overall process contribution can be determined for a particular and/or multiple apparatus configurations (e.g., one or more specific etch chambers, one or more specific combinations of etch chamber and bake plate, one or more specific combinations of substrate table and etch chamber, etc.).
  • Then, similar to the focus estimation step described above, an estimation of on-product CD can be obtained. In an embodiment, the errors or residuals of one or more types of lithographic apparatus processing variables relevant to CD are obtained for a substrate of interest and the CD contribution thereof is determined. For example, the CD contribution of focus, focus blur and/or dose can be obtained for the substrate of interest. A further type of contribution that can be added is the CD contribution of patterning device errors attributable to the patterning device being used for the particular substrate of interest, which could be obtained by measurement.
  • Further, an applicable overall process contribution to CD, as described above, is obtained. Then, the applicable overall process contribution to CD is combined, similarly to as described above in respect of FIG. 9, with the one or more specific CD contributions of the substrate of interest to obtain an estimated CD fingerprint for the substrate of interest. So, an estimate of CD error can be determined at any, or almost any, location on any substrate.
  • Moreover, the estimate can be obtained for one or more specific pattern features of interest on a substrate, such as a hot spot. As noted above, the overall process contribution to CD was determined for a particular anchor feature, but can be scaled for the one or more specific features of interest. Further, the CD contribution of one or more types of lithographic apparatus processing variables (such as focus, focus blur and/or dose) can be computed based on an appropriate sensitivity for the one or more specific features between the CD variation and the one or more types of lithographic apparatus processing variables. Such sensitivity can be obtained, e.g., by simulation and/or experiment. Thus, multiple CD substrate fingerprints can be obtained, each for a different hot spot or other feature of interest of a pattern.
  • The methodology can be improved, e.g., with more parameters in one or more pertinent models, e.g., patterning device and/or aberration models. The methodology can be extended by, e.g., estimating the overall process contribution by breaking it up into different contributors, e.g. deposition, lithography, and/or etch with different sensitivities to different features.
  • In an embodiment, a dose correction applied as part of the patterning process can be corrected for in the results. For example, the lithocell may apply a dose correction using, for example, ASML's Dosemapper system. So, this correction is accounted for in the determination of the estimate of CD.
  • Turning now to an overlay example, data is used from at least two different pattern transfers onto the substrate. The techniques are similar to those described above in respect of the focus and CD examples.
  • This technique involves essentially two main parts. A first part is effectively a setup process to determine an underlying overlay contribution of the lithographic apparatus that is not reflected in one or more types of lithographic apparatus processing variables that are used to determine an estimate of overlay for a particular substrate in the estimation process. Optionally, an overall process overlay contribution can also be determined similar to the overall process CD contribution of the CD example discussed above. The second part is the estimation process of using an overlay contribution associated with those one or more types of lithographic apparatus processing variables for the substrate under consideration for each of the at least two pattern transfers to determine the estimate of overlay for the particular substrate.
  • So, in the setup process according to an embodiment, patterned substrate overlay metrology data is obtained for one or more substrates and then the contributions of one or more types of lithographic apparatus processing variables for each of at least two pattern transfers are removed similarly to as described above in FIG. 10. One type of contribution that can be removed is the overlay contribution of a substrate height map obtained, for example, from the levelling sensor of the lithographic apparatus. A difference can be found for the substrate height maps for two pattern transfers and then the difference can be converted to an overlay value and thus the overlay contribution. For example, the Z height difference can be turned into X and/or Y displacements by considering the height difference as a warpage or bend of the substrate and using first principles to calculate the X and/or Y displacements (e.g., the displacement can be the variation in Z versus the variation in X or Y times half the thickness of the substrate in, e.g., a clamped region of the substrate or the displacement can be calculated using Kirchoff-Love plate theory in, e.g., an unclamped region of the substrate). In an embodiment, the translation of the height to the overlay contribution can be determined through simulation, mathematical modelling and/or experimentation. So, by using such substrate height information per pattern transfer, the overlay impact due to a focus or chuck spot can be observed and accounted for.
  • A further type of contribution that can be removed is the overlay contribution of patterning device and/or substrate servo control (e.g., MA) in the X and/or Y directions (including rotation around Z), which can be obtained from the applicable positioner, a position measurement device (e.g., sensor IF) and/or a residual of a servo control model. A difference can be found for the servo control values across the substrate for two pattern transfers and then the difference can represent the overlay contribution. Where needed, the translation from servo control values to the overlay contribution can be determined through simulation, mathematical modelling and/or experimentation.
  • A further type of contribution that can be removed is the overlay contribution of projection system aberrations (which can be obtained, e.g., from a shearing interferometer) and/or projection system model residual. The translation from the aberrations and/or residual to the overlay contribution can be determined through simulation, mathematical modelling and/or experimentation.
  • A further type of contribution that can be removed is the overlay contribution of an alignment system model residual, which can be provided by the lithographic apparatus. The translation from the residual to the overlay contribution can be determined through simulation, mathematical modelling and/or experimentation. In an embodiment, the alignment system model residual can be different for different pattern transfer steps and thus a combination/difference of the alignment system model residuals for the different pattern transfer steps can be used to obtain the overlay contribution. In an embodiment, the alignment model residual can be corrected for substrate height.
  • As needed, the contribution fingerprints can be re-gridded to a same grid. Similarly, the contribution fingerprints can be re-gridded to the patterned substrate metrology data, or vice versa.
  • In an embodiment, the underlying overlay contribution of the lithographic apparatus can effectively be determined once and used for various overlay estimates and thus the amount of metrology can be significantly reduced compared to measuring each substrate. In an embodiment, the patterned substrate overlay metrology data can be obtained by relatively sparse sampling of locations on the substrate (e.g., 500 targets or less on a substrate) and/or of the number of substrates from one or more lots (e.g., 10 or less substrates from a lot of 25 or more substrates).
  • In an embodiment, the patterned substrate overlay metrology data can be a measured overlay fingerprint of a monitor substrate as described above. Thus, data that is already being captured can be used. So, the measured overlay fingerprint can be corrected for the lithographic apparatus impact as derived from lithographic apparatus sensor information, such as the overlay contribution of the projection system, the overlay contribution of the substrate height, the overlay contribution of alignment model residual, and/or the overlay contribution of patterning device and/or substrate servo control, to arrive at the underlying overlay contribution of the lithographic apparatus. In an embodiment, the measured overlay fingerprint data would be captured once for a first pattern transfer of the product substrate and then captured against for a second pattern transfer (with rework of the monitor substrate between pattern transfers). In an embodiment, the patterned substrate overlay metrology data is an overlay delta fingerprint obtained from the difference between the overlay fingerprint measured from the one or more monitor substrates after the first pattern transfer and the overlay fingerprint measured from the one or more monitor substrates after the second pattern transfer. So, by exposing a set of monitor substrates at about the same time as product layers, the lithographic apparatus contribution to overlay in terms of short term drift can be quantified. That is, with then overlay delta fingerprint, the lithographic apparatus contribution on interfield overlay due to short term drift between the first and second pattern transfers can be obtained.
  • Optionally, where an after-etch overlay is desired, an etch process contribution to overlay can be determined, which is an overlay variation arising from the etching. To obtain the etch process overlay contribution, after-develop but before etch patterned substrate metrology data can be removed from after-etch patterned substrate metrology data to obtain the etch process overlay contribution. In an embodiment, the etch process overlay contribution can effectively be determined once and used for overlay estimates and thus the amount of metrology can be significantly reduced compared to measuring each substrate. In an embodiment, the patterned substrate overlay metrology data can be obtained by relatively sparse sampling of locations on the substrate (e.g., 500 targets or less on a substrate) and/or of the number of substrates from one or more lots (e.g., 10 or less substrates from a lot of 25 or more substrates). The etch process fingerprint induced at a first or second pattern transfer could be deduced with a delta fingerprint between after develop but before etch overlay measurement and after etch overlay measurement after etch of the other of the first or second pattern transfer, assuming the etch fingerprint after each pattern transfer is the same.
  • The underlying overlay contribution of the lithographic apparatus (and the optional etch process overlay contribution) is then stored for use in on-product estimations of overlay. The underlying overlay contribution of the lithographic apparatus can be determined for multiple lithographic apparatuses. The underlying overlay contribution of the lithographic apparatus can be determined for a particular combination of devices of the lithographic apparatus used. For example, the lithographic apparatus may have more than one substrate table on which the substrate could be exposed and so the underlying overlay contribution of the lithographic apparatus can be determined for a specific combination and/or multiple combinations of devices used. The etch process overlay contribution can be determined for a particular and/or multiple apparatus configurations (e.g., one or more specific etch chambers).
  • Then, for the on-product estimation, the errors or residuals of one or more types of lithographic apparatus processing variables relevant to overlay are obtained for a substrate of interest and the overlay contribution thereof is determined. For example, the overlay contribution of the projection system, the overlay contribution of the substrate height, the overlay contribution of alignment model residual, and/or the overlay contribution of patterning device and/or substrate servo control, to arrive at the underlying overlay contribution of the lithographic apparatus can be obtained for the substrate of interest. In an embodiment, only the overlay contribution of the substrate height is obtained.
  • Further, an applicable underlying overlay contribution of the lithographic apparatus, as described above, is obtained and optionally the applicable etch process overlay contribution is obtained. Then, the applicable underlying overlay contribution of the lithographic apparatus (and optionally the optional etch process overlay contribution) is combined, similarly to as described above in respect of FIG. 9, with the one or more specific overlay contributions of the substrate of interest to obtain an estimated overlay fingerprint for the substrate of interest. So, an estimate of overlay can be determined at any almost location on any substrate.
  • In an embodiment, an overlay correction applied as part of the patterning process can be corrected for in the results. For example, the lithocell may apply an overlay correction, e.g., based on the measurements of the monitor substrates using, for example, ASML's Baseliner system. So, this correction is accounted for in the determination of the estimate of overlay.
  • In an embodiment, in a multiple patterning process, it is desirable that both exposure and lithographic apparatus conditions, e.g., exposure dose, patterning device transmission, patterning device qualification errors, illumination settings, lithographic apparatus substrate clamping errors, etc., are very similar between the first and second pattern transfer steps. Furthermore, the exposure and heating signature at intrafield level would be expected to be similar between the first and second pattern transfer steps and therefore such effects on overlay should be small.
  • Further, in an embodiment, a plurality of the predictions can be combined. For example, an overlay prediction and CD prediction can be combined. For example, in a multiple patterning situation that creates structures adjacent each other using different pattern transfer steps and those structures have a gap between them, a combination of the CD one or more of the different pattern transfer steps as well as an overlay for the different pattern transfer steps can give a better prediction of a size of the gap than an overlay or CD estimate alone.
  • FIG. 11 then schematically shows a flow for a method, according to an embodiment. In particular, it shows how a generalized contribution, such as the underlying focus contribution of the lithographic apparatus, the overall process contribution to CD, and/or the underlying overlay contribution of the lithographic apparatus (and optionally the applicable etch process overlay contribution), can be applied to specific information for a substrate of interest to obtain an estimate of a parameter of interest for the substrate. So, contribution 912 corresponding to one or more processing variables of a particular substrate and, e.g., for a hot spot. This can be determined by modeling, from device information, etc. Further, a contribution 922 applicable to a plurality of substrates, such as the underlying focus contribution of the lithographic apparatus, the overall process contribution to CD, and/or the underlying overlay contribution of the lithographic apparatus (and optionally the applicable etch process overlay contribution), is obtained. The contribution 922 may be obtained used any of the techniques described herein. The contribution 912 may be determined for a plurality of substrates (e.g., for every substrate of interest) while the contribution 922 may be used repeatedly across many substrates. The contribution 912 and the contribution 922 are combined to obtain an estimate 950 of the parameter of interest for, e.g., a hot spot, without necessarily requiring obtaining patterned substrate metrology data for the hot spot. In procedure 970, an action is taken, such as whether there is a defect at the hot spot, is determined based on the estimate of the parameter of interest.
  • Thus, device sensor data can be exploited to enhance in-resist and/or after etch metrology to obtain a computed metrology that can, for example, provide dense metrology per substrate without having to have dense sampling of substrates and/or providing metrology for many, if not every, substrate without having to obtain patterned substrate metrology data for each such substrate. Further, the dense computed metrology can enable, for example, higher order corrections. The computed metrology can also enable greater control, including possibly per substrate control, without having to obtain patterned substrate metrology data for each such substrate and/or without having to measure patterned substrate metrology data densely on substrates.
  • Moreover, the estimates from these computed metrology techniques can enable various applications, such as patterning process control (e.g., adjustment of one or more process variables), patterning processing monitoring, fault detection, defect prediction, patterning process design, etc.
  • In an embodiment, a derived contribution (such as derived using FIG. 10) can be used to parameterize a mathematical model for determining the contribution of change of one or more processing variables to a parameter of interest. That is, a mathematical model can be fitted against the derived contribution, thereby obtaining the values of one or more parameters, constants, etc. of the mathematical model. So, as an example, it is desirable to have a model and any sensitivities represented in the model to adapt to changes in a process and/or substrate stack. So, in an embodiment, a predicted substrate fingerprint for every substrate that will be measured can be generated. For this, a model of a parameter of interest can be established, e.g., for CD, a model comprising CD=a1*DOSE +a2*FOCUS2+a3*MSDx+a4*MSDy+a5*MSDz+ . . . With measurement of a substrate, the pre- and/or post-process (e.g., track/etch) fingerprint and the patterning device fingerprint can be removed. The remaining fingerprint measurements can be compared to the predicted values. In this situation, there are now a set of equations (as many equations as measurement points) where the CD, dose, focus, MSD-xyz, aberrations, etc. are known. This set of equations can be solved for the sensitivities (a1, a2, . . . ) that are desired. When this is performed for a number of substrates measured for a certain device pattern, there will be plenty of data to re-estimate the sensitivities desired. If data from multiple lithographic apparatuses are used and/or data from focus exposure matrix exposures are used in the same fashion, sufficient variation in the input signals (e.g., focus, dose, MSD, CD, etc.) can enable proper estimation of sensitivities. Further, the technique can be applied to other parameters of interest such as depth of focus, exposure latitude, overlay, edge position, etc.
  • In an embodiment, a training algorithm could be used to add further accuracy. For example, when the sensitivities for aberration are solved, a boundary condition can be taken into account that the aberration can only vary over the slit and pre-filter the CD (or overlay) data before fitting these sensitivities. In an embodiment, the sensitivities can become robust against variations in the patterning process by re-assessing them from time to time or continuously.
  • As explained above computational metrology techniques may be used to derive contributions to fingerprints of process variables such as focus, dose and overlay by subtracting (known) contributions from a measured fingerprint. This is for example shown in FIG. 10; a contributor 520 is subtracted from a measured fingerprint 500 to obtain a contribution 510. The contribution 510 may be a better suited input for controlling a semiconductor manufacturing process in case the contributor 520 introduces a substantial amount of noise or otherwise non-systematic behavior affecting the measured fingerprint 500. If one would like to control an apparatus based on stable input (at least stable across a lot of substrates), it may be preferred to use the “cleaned” data 510 as an input. Often the data 510 is a rather sparse representation of a process variable of interest (for example overlay contribution of processing steps other than exposure by a lithographic apparatus, in which case the contributor 520 is the contribution of the lithographic apparatus). However often dense enough data may be needed to provide effective control of a processing step, such as exposure using a lithographic apparatus. It is proposed to utilize a method as schematically depicted in FIG. 22 to overcome this problem.
  • FIG. 22 describes a method comprising a first step of obtaining a (typically sparsely) measured fingerprint 2201 of a process variable using a metrology tool, such as an overlay measurement device (scatterometer for example). A computationally or otherwise determined contributor 2202 to the fingerprint 2201 is subtracted from fingerprint 2201 to obtain a cleaned (stable) contribution 2203 to the process variable fingerprint 2201. The sparse data 2203 is upsampled using a global model 2200 to obtain a dense representation 2204 of the contributor 2203. The model may utilize any suitable mathematical method (such as machine learning, polynomial fitting, interpolation schemes, etc.) to provide the upsampled contribution 2204. The model is preferably global; meaning that the model is configured to derive lower order behavior of the processing variable across the substrate. The upsampled contributor 2204 hence does generally not comprise higher frequent content of the process variable. It is proposed to combine the sparse contributor fingerprint 2203, comprising higher order frequency sparse content of the process variable, with the global dense contributor fingerprint 2204 to obtain a combined contributor fingerprint 2205. This process is depicted in FIG. 22 by the dotted rectangle comprising the fingerprints 2203 and 2204, and the dotted arrow depicting the generation of the combined contributor fingerprint 2205. The combined contributor fingerprint 2205 enables stable and higher order control of a processing step without undue metrology time requirements and/or the use of advanced data analysis to determine stable components present within the metrology data 2201.
  • In an embodiment a contribution 2202 is removed from metrology data 2201 associated with a patterned substrate to obtain a derived contribution 2203 to the metrology data 2201. The derived contribution 2203 is upsampled using a model 2200 to obtain a modelled contribution 2204. The derived and the modeled contribution are combined to obtain a combined contribution 2205.
  • The combined contribution 2205 may be used as an input for controlling a processing apparatus such as an etch tool, CMP tool, deposition tool and/or a lithographic apparatus.
  • The controlling may be achieved by generation of a suitable control recipe for said processing apparatus.
  • The control recipe may be for a lithographic apparatus and comprise a Control Per Exposure (CPE) instruction and/or sub-recipe; containing information for individual control of exposure fields provided to substrate using the lithographic apparatus.
  • The model 2200 is preferably a global model configured to describe lower order behavior of a processing variable across a substrate.
  • In a further application a contour of a pattern can be predicted using one or more contributions/fingerprints. In this context, a contour is an outline of a shape of a feature of a pattern transferred to a substrate. A contour can be, for example, visualized by processing an image (e.g., scanning electron microscope image) of a substrate to extract the outer boundary of shape of a pattern feature transferred to the substrate. But a contour can also be generated by mathematical processes (e.g., simulation) to create an electronic representation of a pattern feature as it is expected to be transferred to the substrate. While a contour will typically be in the form of a line, the contour as used herein can be generalized more to data describing the boundary of the feature. The contour need not be continuous; that is, the outline and/or data can be discontinuous around the feature if the discontinuous outline and/or data sufficiently describes the boundary of the feature. In an embodiment, the contour can be two-dimensional (i.e., defined a plane) or three-dimensional. In an embodiment, the contour can extend in a plane substantially parallel to the surface of the substrate on which the pattern is formed. In an embodiment, the contour can extend in a plane substantially perpendicular to the surface of the substrate on which the pattern is formed; in which case it can be characterized as a profile and can be of two-dimensional or three-dimensional form.
  • To predict the contour, one or more contributions/fingerprints can be obtained as described herein and used to select a certain nominal contour and/or modify a nominal contour, in order to arrive at a predicted contour. Details of such prediction and use of a contour using one or more contributions/fingerprints along with other uses of the one or more contributions/fingerprints described herein are described in U.S. Application No. 62/462,201, filed Feb. 22, 2017, which is incorporated herein in its entirety by reference.
  • As noted above, in an embodiment, the computation metrology techniques herein can use a combination of data from an apparatus (e.g., a lithographic apparatus) of the patterning process and data from a metrology apparatus (e.g., one of the metrology apparatuses described above in respect of FIGS. 3-5) to generate a derived contribution or fingerprint of a particular parameter of interest of the patterning process (e.g., overlay, edge placement error, etc.) for a substrate. So, in such a computational metrology application, hybrid-dense fingerprints of a particular parameter of interest are generated based on metrology and patterning process apparatus (e.g., lithographic apparatus) inputs. For example, for an overlay fingerprint, inputs can be, e.g., measurements made using one or monitor substrates, data or measurements from a patterning process manufacturing apparatus (e.g., a lithographic apparatus), and/or measurements (such as after-etch inspection (AEI) or after-development inspection (ADI) measurement results) from a metrology apparatus (such as one of the metrology apparatuses described above in respect of FIGS. 3-5).
  • For the hybrid-dense fingerprints described above, relatively dense measurements of the parameter of interest using a metrology apparatus measuring metrology targets (e.g., ADI and/or AEI) are used to enable a good matching between the parameter measurements from the targets and the device pattern response in order to generate the fingerprints. Thus, it would be desirable to, for example, be able to use less dense measurements of the parameter of interest by a metrology apparatus measuring metrology targets. Using less dense measurements can enable increased throughput since fewer measurements of metrology targets may be required.
  • Additionally or alternatively, the resulting fingerprint described above can be generic for all device pattern features and may not make reference to, e.g., critical device pattern features (also referred to as hot spots). Thus, it would be desirable to be able to generate, for each particular feature of a plurality of features of a device pattern, a fingerprint specific to the particular feature of the device pattern. In an embodiment, the fingerprint can be specific to one or more critical device pattern features. It can be more informative (e.g., in terms of device yield control) to have a dense map of a parameter of interest (e.g., overlay, focus, CD, alignment, etc.) associated with critical device pattern features. By having a focus on critical device pattern features, a yield-based design, control, modification, etc. of the patterning process can be created by, e.g., taking specific feature characteristics of a device pattern into account.
  • Referring to FIG. 12, an embodiment of a method of generating and using a fingerprint specific to a particular feature of a device pattern is schematically depicted. In general terms, the method involves creation of a parameter of interest fingerprint (map) across at least part of a substrate for one or more specific device pattern features by combination of simulation (e.g., full chip simulation), metrology measurements using metrology targets (e.g., ADI/AEI overlay measurements for an overlay fingerprint) and on-device pattern measurements. For example, the parameter fingerprint can be created for each of one or more critical device pattern features. With the one or more parameter fingerprints (e.g., for one or more critical device pattern features), one or more aspects (e.g., an apparatus, a sub-process, a consumable used (e.g., a patterning device), etc.) of the patterning process can be designed, corrected, controlled, modified, etc. using the one or more parameter fingerprints. In an embodiment, a weighted combination of an available plurality of parameter fingerprints of respective device pattern features can be used for the design, correction, control, modification, etc. of the aspect of the patterning process; that is, one or more parameter fingerprints associated with respective specific device pattern features can be higher weighted than one or more other parameter fingerprints associated with other respective device pattern features. Such design, correction, control, modification, etc. can include configuration of a setting of an apparatus (e.g., a lithography apparatus, an etching tool, a deposition tool, etc.) used in the patterning process to manufacture device patterns on substrates using the patterning process. In an embodiment, the design, correction, control, modification, etc. can include a modification of a lithographic apparatus setting (e.g., modification of the control of X, Y or Z motion of an object such as the substrate, specific correction of a projection system aberration (e.g., using an actuator or other wavefront manipulation device in the projection system), change of an illumination dose for exposure of a substrate (e.g., by controlling a radiation source and/or using a dose modulation device in the illumination system), etc.).
  • At 1100, an example highly schematic layout of a patterning device pattern having device pattern features and metrology targets as provided at the patterning device are depicted. The device pattern features are marked as features A, B, C, and D. As will be appreciated, each instance of feature A is substantially the same type of pattern layout, each instance of feature B is substantially the same type of pattern layout, each instance of feature C is substantially the same type of pattern layout, and each instance of feature D is substantially the same type of pattern layout. Further, each of features A-D may be different than the other features. Further, metrology targets are marked as targets 1, 2 and 3. As will be appreciated, each instance of target 1 is substantially the same type of metrology target, each instance of target 2 is substantially the same type of metrology target, and each instance of target 3 is substantially the same type of metrology target. In an embodiment, each of metrology targets 1-3 may be different than the other targets (e.g., differ in terms of pitch of target periodic structures, differ in terms of segmentation of features of target periodic structures, differ in terms of duty cycle, etc.). As is known, the device pattern features and metrology targets are transferred to the substrate using a lithographic process. In the case of a metrology target for overlay, the targets 1, 2 and 3 can be a target for a lower layer on the substrate or a target for an upper layer on the substrate, wherein the target 1, 2, or 3 generally lies over a corresponding target in a lower layer or a corresponding target in an upper layer will generally lie over the target 1, 2, or 3.
  • At 1110, a simulation is performed of transfer of the patterning device pattern to the substrate, e.g., at various conditions of the patterning process such as a certain amount of perturbations from nominal conditions of the patterning process. For example, the patterning simulation method of FIG. 13 can be used to generate a predicted transfer of the patterning device pattern (including the one or more metrology targets) to the substrate. Similarly, measurement of the one or more metrology target created as part of the simulated patterning process is also simulated, for example, at multiple measurement beam wavelengths and/or multiple measurement polarizations. For example, the measurement can be simulated using the measurement simulation method of FIG. 14. Based on these simulations, a parameter of interest (e.g., overlay) for each of the one or more metrology targets and the one or more device pattern features as simulated to be produced on the substrate is determined and a relation of the parameter between the one or more metrology targets and the one or more device features of the patterning device pattern as simulated to be produced on the substrate is determined from the simulated results. For example, depending on the pattern transfer conditions and for overlay as the parameter of interest, a device pattern feature shift can be related to an overlay target shift. In an embodiment, for the purposes of the relationship, the parameter of interest of the one or more metrology targets can be different than the parameter of interest of the one or more device features; for the parameter of interest for the one or more metrology targets can be overlay while the parameter of interest of the one or more device features is edge placement error. Additionally or alternatively, other relationships can be established such as one or more optical aberration sensitivities of the parameter of interest, one or more focus sensitivities of the parameter of interest, one or more dose sensitivities of the parameter of interest, one or more pitch dependencies of the parameter of interest, etc.
  • At 1130, measurements of the applicable parameter of interest are obtained from one or more substrates onto which the patterning device pattern has been transferred using the patterning process for which the simulations at 1110 are performed. In an embodiment, the measurements are obtained from across a substrate having a plurality of instances of the patterning device pattern transferred thereto. In an embodiment, the measurements are obtained from a plurality of substrates onto each of which the patterning device pattern has been transferred a plurality of times. In an embodiment, the measurements are obtained from the one or more metrology targets as transferred to the one or more substrates as part of the patterning process. In an embodiment, the parameter of interest is overlay and the measurements are overlay measurements obtained using ADI and/or AEI of the one or more metrology targets. In an embodiment, the overlay measurements are obtained at multiple measurement beam wavelengths and/or multiple measurement beam polarizations.
  • At 1120, the measurements of the parameter of interest from the one or more metrology targets are matched to the simulated results. That is, using the one or more relations determined from the simulations, a measured parameter of interest can be translated into an equivalent value of the applicable parameter of interest (e.g., the same parameter of interest or a different one) for a device pattern feature. For example, in the context of overlay, a measurement of overlay from a metrology target can be translated to an expected overlay of an associated device pattern feature using the one or more simulated relations. In an embodiment, the metrology measurements are obtained across the substrate and thus will likely have varying values due to local conditions (e.g., substrate unflatness, variation in etching, etc.) at the various locations across the substrate. So, in an embodiment, a map across at least part of a substrate of the predicted parameter of interest for each of one or more specific device pattern features can be obtained. In an embodiment, the parameter of interest can be an error or residual of a parameter, e.g., CD error. Considering the overlay example, a map of predicted overlay of a specific device pattern feature across at least part of a substrate can be determined by matching metrology target overlay measurements taken from across the at least part of the substrate to the specific device pattern feature created across the at least part of the substrate using the one or more simulated relations. A similar overlay map can be created for each of another plurality of specific device pattern features, each device pattern feature having instances thereof spread across at least part of the substrate. In an embodiment, the overlay map is effectively a spatial distribution of overlay vectors across the at least part of the substrate, each vector having a direction of the overlay and a magnitude of the overlay. The result then is that the data can be combined to yield a predicted parameter of interest (e.g., overlay, edge placement error, etc.) substrate map per device pattern feature. In an embodiment, the substrate map can be constructed based on full chip information, and so can be densely sampled over the field/substrate.
  • At 1140, measurements of one or more patterning device pattern features are obtained from one or more substrates onto which the patterning device pattern has been transferred using the patterning process for which the simulations at 1110 have been performed. In an embodiment, the measurements are obtained from across a substrate having a plurality of instances of the patterning device pattern transferred thereto. In an embodiment, the measurements are obtained from a plurality of substrates onto each of which the patterning device pattern has been transferred a plurality of times. In an embodiment, the measurements are on-device measurements. That is, the measurements are of device features themselves rather than those obtained from one or more metrology targets around the device pattern. In an embodiment, the on-device measurements are obtained using an ion beam (e.g., electron beam) measurement technique, such as electron microscopy. In an embodiment, the measurements are edge placements, CDs, etc. of device pattern features.
  • At 1150, based on the on-device measurements, the relation between simulated device pattern features (e.g., determined from the simulations described above for 1110) and the measured parameter of interest (e.g., determined at 1130 and matched with the simulated device pattern features at 1120) can be correlated. This correlation can be done by analysis of on-device measurement of the device features. For example, in the context of overlay, edge placement errors determined from on-device measurements can be identified for features for which an overlay has been predicted based on a relation between the simulated device pattern features and the measured overlay from an associated metrology target and the predicted overlay can be correlated to the measured on-device edge placement errors. As an example, an error in a distance between edges of adjacent features that were created in separate patterning process executions can be correlated to the predicted overlay. The correlation can then be used to translate the predicted overlay into a more accurate prediction of the overlay and/or the edge placement error of the device feature. And so, per patterning device pattern feature, a fairly highly predictive and accurate parameter of interest (e.g., overlay, edge placement error, etc.) substrate map can be constructed per device pattern feature.
  • Optionally, the parameter of interest substrate maps per device pattern feature can have other associated data. For example, the maps may include information regarding a cross-dependency with a control parameter within the patterning process, wherein the control parameter is a parameter that can be set in an apparatus used by the patterning process to change the performance of at least part of the patterning process. In an embodiment, the control parameter is a parameter that controls operation of the lithographic apparatus. In an embodiment, the control parameter is a parameter to control focus, projection system aberrations, etc. within the lithographic apparatus. So, the parameter of interest substrate maps can include one or more cross-dependencies with one or more lithographic apparatus control parameters (e.g., focus, projection system operation, etc.). This cross-dependency can then enable an appropriate correction to be made as the parameter of interest of a certain feature of the device pattern may have a different change in value in response to a change in a value of the control parameter than another feature of the device pattern.
  • While reference has been made to a plurality of maps, it will be appreciated that one or more of the maps can be combined into a combination map (which is considered as a plurality of maps combined together in combining data structure). In an embodiment, all the maps can be combined into a combination map or a subset of the maps can be combined into a combination map with one or more remaining separate maps. For example, a substrate map per device pattern feature for each of a plurality of device pattern features can be translated into a combination substrate map that combines the data along with an associated sampling per one or more device pattern features included in the combination substrate map. That is, based on the combination substrate map, the data for the one or more relevant device pattern features can be selected out based on the applicable sampling definition.
  • So, in steps 1120 and 1150, measurements 1130 of a parameter of interest (using one or more metrology targets) have been translated into an applicable parameter of interest map (e.g., which parameter of interest is the same or different than the measured parameter of interest) per device pattern feature using computational lithography and metrology simulations and on-device measurements 1140. For example in the context of overlay, overlay measurements using one or more overlay metrology targets can be translated into an overlay map per device pattern feature using computational lithography and metrology simulations (feed-forward) and on-device measurements (feed-back). To put this technique into context, the measurements 1130 can be obtained relatively quickly and can be obtained relatively sparsely across the substrate. Moreover, the one or more metrology targets are designed to act essentially as a proxy for the behavior of the device pattern features but are not measurements of the actual behavior of the device pattern features. So, at step 1120, the relatively sparse measurements of a parameter of interest using the one or more metrology targets are converted by use of one or more simulated relations into a value of a (same or different) parameter of interest corresponding to one or more device pattern features. In particular, the sparse measurements are matched to various device pattern features to provide a predicted value of a parameter of interest for each of a plurality of device pattern features, effectively yielding a parameter of interest substrate map per device pattern feature. Desirably, the result is a denser representation of a parameter of interest across the substrate by converting the metrology target measurements of the parameter of interest to each of a plurality of device pattern features, wherein each of the device pattern features can have multiple instances thereof with a field/die and will have multiple instances thereof across the plurality of dies/fields across the substrate. Now, to reflect the actual behavior of the device pattern features, on-device measurements are obtained, which on-device measurements can be relatively sparse as well. Using the on-device measurements, a correlation between the on-device measurements and a relation between the simulated pattern features and the measured metrology target measurements can be established to enable more accurate prediction of the parameter of interest for the device pattern features. That correlation can be used to improve the predicted parameter of interest for a device pattern feature to yield a fairly highly predictive and accurate parameter of interest substrate map per device pattern feature.
  • At 1160 through 1180, the substrate maps per device pattern feature can be used to make a correction in an aspect (e.g., an apparatus (such as a lithographic apparatus, an etching tool, a deposition tool, etc.), a sub-process (e.g., a pattern step, an etching step, etc.), a consumable used (e.g., a patterning device), etc.) of the patterning process. The correction can be a feedforward or feedback correction. In an embodiment, the correction is made in the lithographic apparatus using one or more control parameters of the lithographic apparatus (e.g., control parameter of dose, a control parameter of focus (e.g., control of Z position of the substrate), a control parameter of alignment (e.g., control of a X and/or Y position of the substrate), a control parameter of optical aberration, etc.
  • At 1160, where there are a plurality of parameter of interest substrate maps (each map corresponding to a device pattern feature), a subset of one or more device pattern features from the plurality of device pattern features can be selected based on the applicable parameter of interest substrate maps and on data regarding an expected or actual behavior of at least part of the patterning process. For example, one or more critical device pattern features can be identified and then the respective parameter of interest substrate map(s) of the one or more critical device pattern features can be used in correction of at least part of the patterning process.
  • In an embodiment, the expected or actual behavior data comprises data 1167 from an apparatus 1180 used in the patterning process, such as, in the case of a lithographic apparatus, data regarding the actual or expected X, Y and/or Z position of the substrate (e.g., in the form of moving average and/or moving standard deviation information, in the form of substrate height or unflatness information, in the form of alignment errors, etc.), regarding the optical aberration (e.g., measured values of aberration in the form of, e.g., Zernike aberration specifications), regarding the dose (e.g., dose errors, which can be measured by a sensor in the apparatus), etc. The behavior data can be output from the apparatus as measured values and/or as data generated as part of the control of the apparatus. The behavior data can be collected from processing of prior substrates for use in control of the processing of one or more subsequent substrates. The behavior data can be generated from a mathematical model that predicts the behavior based on certain input (e.g., data from prior processing of substrates). In an embodiment, the behavior data comprises a range of behaviors, such as a range or set of behaviors around or adjacent a nominal behavior.
  • Using the behavior data, an expected impact of the behavior on the parameter of interest represented by the substrate maps of the device pattern features can be determined. For example, in the context of overlay and a lithographic apparatus, it can be calculated, for example, what a certain dose error, optical aberration, position error, etc. will cause in terms of overlay based on sensitivities of overlay to one or more of those behaviors (which sensitivities can be determined by simulation or experimentation). That calculated overlay can be processed with a plurality of overlay substrate maps, each overlay substrate map being per device pattern feature, to determine which one device pattern features are likely to be produced out of specification (e.g., likely to be defective) in the face of the behavior of the at least part of the patterning process. Thresholds can be applied to identify which one or more device pattern features are likely to be produced out of specification as a result of the behavior. As a simple example, an overlay substrate map for a particular device pattern feature may identify that in a certain region of the substrate the device pattern feature is expected to have an overlay of 2 nm in the positive X direction and a specification for overlay for device pattern feature may be set at 4 nm (i.e., an overlay of 4 nm or less is acceptable for this device pattern feature). Then, if the actual or expected behavior is determined to cause a 1-3 nm overlay in the positive X direction, then this device pattern feature can be considered critical since the 2 nm of overlay from the substrate map plus the 1-3 nm overlay from the actual or expected behavior is likely to cause the device pattern feature to be out of specification. Of course, a different analysis can be performed.
  • The result of the analysis of 1160 is a set 1163 of parameter substrate maps, wherein each map is for a respective device pattern feature identified as a critical device pattern feature. This is schematically shown in FIG. 12 as a set of substrate maps of overlay (in this example) for each of features A, B, C and D, which are each identified in this example as critical features. As seen in FIG. 12, each of the overlay substrate maps show overlay vectors for its associated device pattern feature across the substrate. It can be seen that in some regions the vectors are relatively large in magnitude, making the device pattern feature critical particular depending on the behavior of the patterning process.
  • Now, the analysis 1160 can further determine weights for the parameter substrate maps, such as the maps identified as being for critical device pattern features. The weights can more heavily weight a parameter substrate map for a first particular device pattern feature relative to a parameter substrate map for a second particular device pattern feature as part of determining a correction to be made. For example, it can be determined that in view of the actual or expected behavior that the first device pattern feature is more likely to be out of specification, is expected to be further out of specification, etc. than the second device pattern feature. Accordingly, the parameter substrate map for the first device pattern feature can be more heavily weighted in the correction analysis than the parameter substrate map for the second device pattern feature. Thus, one or more weights 1165 can be produced and may be provided along with the set 1163 of parameter substrate maps, wherein each map is for a respective device pattern feature identified as a critical device pattern feature.
  • At 1170, one or more corrections of at least part of the patterning process based on one or more parameter of interest substrate maps, each map being per device pattern feature, are determined. For example, the corrections can be calculated using the set 1163 of parameter substrate maps, wherein each map is for a respective device pattern feature identified as a critical device pattern feature. Additionally or alternatively, the corrections can factor in the one or more weights 1165, e.g., a weighed metric such a1*F1+ . . . +an*Fn can be used, wherein a corresponds to the weight, F corresponds to the parameter substrate map for a particular device pattern feature (e.g., a critical feature) and n is the number of device pattern features. In an embodiment, the corrections can factor in an actual or expected behavior of at least part of the patterning process.
  • At 1180, the one or more corrections can be applied by an apparatus used in the patterning process. In an embodiment, the corrections can be converted/translated for use in the apparatus. In an embodiment, the analysis of any one or more of steps 1110, 1120, 1150, 1160 and/or 1170 can be performed in the apparatus at 1180.
  • So, in an embodiment, a correction can be determined, based on at least the substrate maps (in, for example, a weighted fashion), that is within the capabilities of the one or more correction mechanisms of the patterning process system to yield improved creation of the device pattern features. For example, in the case of a lithographic apparatus, the parameter substrate maps can be used to create values of one or more control parameters (e.g., focus, dose, optical aberration, X, Y and/or Z position, etc.) of the lithographic apparatus that effect a correction to the production of one or more of the device pattern features. In an embodiment, the parameter substrate maps enable lithographic apparatus pattern transfer control based on device pattern feature weighting so as to target the corrections to the most critical device pattern features.
  • In an embodiment, the parameter substrate map for a particular device feature can be used with one or more other parameter substrate maps (which may be device feature specific or not) of a different parameter of interest for the purposes of design, determining a correction, etc. For example, a substrate map of overlay can be used with a substrate map of focus and/or CD (which substrate map can be specific for the particular feature of the overlay map or can be generic) for overlay correction. For example, a defocus from a focus substrate map might provide information for the purposes of correction of an overlay shift due to, e.g., projection system aberrations.
  • In review, there is provided for the creation of a parameter of interest (e.g., overlay) substrate map per device pattern feature by combination of computational lithography and metrology simulation (e.g., a combination of a full chip computational lithography with a metrology target measurement simulation), metrology target measurements (e.g., ADI/AEI overlay measurements) and on-device measurements. Effectively, there is provided a computational intra-field sampling to provide an improved representation of the parameter of interest across the substrate. With the one or more substrate maps, a correction can be made in the patterning process based on the one or more substrate maps to help ameliorate the parameter of interest for one or more particular device pattern features. For example, the correction may be made in the lithographic apparatus (e.g., correction of X position, Y position, and/or Z position of the substrate, correction of optical aberrations, correction of dose, etc.). In an embodiment, a plurality of substrate maps (each map corresponding to a different device pattern feature) is used in a weighted combination to make the correction. Thus, a device pattern feature-weighted feedback can be provided to an appropriate apparatus in the patterning process to enable an appropriate correction.
  • In an overlay embodiment, the technique described herein can use computational lithography to predict overlay/pattern shift (e.g., alignment) of particular device pattern features based on, e.g., device pattern layout information and patterning process parameters (such as optical aberrations, dose, stage position, etc.). Overlay/alignment data from metrology targets is then used to anchor predicted overlay/alignment of the device pattern features at selected locations. Then, device pattern feature specific dense overlay/alignment maps are created based on the predicted dense overlay (from the dense computational lithography) and sparse overlay/alignment measurements. On-device measurements can be used to correlate the sparse overlay/alignment measurements to the predicted dense overlay. Using those device pattern feature specific maps, corrections can be generated. For example, maps for critical device pattern features can be identified based on actual or expected patterning process behavior and used in the correction. Further, weights can be assigned to certain maps so as to enable targeting of specific device pattern features (such as more critical device pattern features).
  • This parameter of interest substrate map per device pattern feature technique can yield one or more benefits. For example, it can yield a reduction of parameter of interest accuracy errors with respect to the applicable device pattern features by a combining the relative high density obtainable from the simulations with a verification using by measured data (e.g., metrology target data and on-device measurement data). Further, this technique can be use limited parameter measurement sampling because the spatial information can be obtained via extrapolation based on the simulations and can yield higher spatial resolution of parameter information. The substrate map per device pattern feature also enables separation of different processing fingerprints depending on the device pattern feature. Different device pattern features can have a different inter-field and/or intra-field fingerprint and so the separation of the fingerprint enables improved targeting of errors using correction mechanisms within the patterning process system. Further, weighted correction of device pattern features enables, for example, more tailored intra-field corrections which can be adapted to the capabilities of the correction mechanisms within the patterning process system.
  • In an embodiment, the metrology targets are positioned close to the device pattern features to reduce or minimize differences in optical aberrations when creating the device pattern features and the metrology targets. But, in an embodiment, the simulations can be used to “bridge” any differences by appropriate metrology target selection and sensitivity analysis. As a result, fewer metrology targets may be needed to predict the behavior of the device pattern features.
  • In an embodiment, the computational metrology simulation can be used to design metrology targets that are optimized toward the behavior of certain device pattern features. That is, the pitch, segmentation, etc. of certain metrology targets can be selected so that a parameter of interest measured from those targets is highly (e.g., most) representative of certain device pattern features relative to other device pattern features, such as critical device pattern features. Accordingly, the device pattern feature may have a variety of different metrology target types, with different metrology target types being more representative of one or more certain device pattern features than of other device pattern features.
  • In an embodiment, the on-device measurement can be used to verify the correctness of the computational lithography and/or to calibrate a computational lithography model.
  • The results of the methods herein (or another result (e.g., a defect prediction) derived from the results of the methods herein) can be used for various purposes including control of a process in the patterning process or an apparatus therein, monitoring of substrates produced by the patterning process, design of a process or apparatus of the patterning process, etc. For example, the results or another result derived therefrom can be used to alter an apparatus or process of the patterning process for the further processing of the substrate or for the processing of another substrate. For example, the results can be used to predict a defect. Prediction of a defect can be used, for example, to control a metrology tool to inspect the affected area and/or alter an apparatus or process of the patterning process for the further processing of the substrate or for the processing of another substrate. Further, the results can be used to design the patterning process by, e.g., deriving a dose recipe for correction of the lithographic apparatus, enabling design of the patterning device and its pattern, setup of a process, etc. Further, the results can be used in model calibration, e.g., calibration of an optical proximity correction model, a source-mask optimization model, a lithographic manufacturing check model, a resist model, an imaging model, a measurement model (e.g., models the process of measuring), etc. The results can be used to determine one or more variables of a process (e.g., best exposure and/or best dose) which can then be used for various purposes. As will be appreciated, there can be many other uses.
  • An exemplary flow chart for modelling and/or simulating parts of a patterning process is illustrated in FIG. 13. As will be appreciated, the models may represent a different patterning process and need not comprise all the models described below. A source model 1200 represents optical characteristics (including radiation intensity distribution, bandwidth and/or phase distribution) of the illumination of a patterning device. The source model 1200 can represent the optical characteristics of the illumination that include, but not limited to, numerical aperture settings, illumination sigma (σ) settings as well as any particular illumination shape (e.g. off-axis radiation shape such as annular, quadrupole, dipole, etc.), where σ (or sigma) is outer radial extent of the illuminator.
  • A projection optics model 1210 represents optical characteristics (including changes to the radiation intensity distribution and/or the phase distribution caused by the projection optics) of the projection optics. The projection optics model 1210 can represent the optical characteristics of the projection optics, including aberration, distortion, one or more refractive indexes, one or more physical sizes, one or more physical dimensions, etc.
  • The patterning device/design layout model module 1220 captures how the design features are laid out in the pattern of the patterning device and may include a representation of detailed physical properties of the patterning device, as described, for example, in U.S. Pat. No. 7,587,704, which is incorporated by reference in its entirety. In an embodiment, the patterning device/design layout model module 1220 represents optical characteristics (including changes to the radiation intensity distribution and/or the phase distribution caused by a given design layout) of a design layout (e.g., a device design layout corresponding to a feature of an integrated circuit, a memory, an electronic device, etc.), which is the representation of an arrangement of features on or formed by the patterning device. Since the patterning device used in the lithographic projection apparatus can be changed, it is desirable to separate the optical properties of the patterning device from the optical properties of the rest of the lithographic projection apparatus including at least the illumination and the projection optics. The objective of the simulation is often to accurately predict, for example, edge placements and CDs, which can then be compared against the device design. The device design is generally defined as the pre-OPC patterning device layout, and will be provided in a standardized digital file format such as GDSII or OASIS.
  • An aerial image 1230 can be simulated from the source model 1200, the projection optics model 1210 and the patterning device/design layout model 1220. An aerial image (AI) is the radiation intensity distribution at substrate level. Optical properties of the lithographic projection apparatus (e.g., properties of the illumination, the patterning device and the projection optics) dictate the aerial image.
  • A resist layer on a substrate is exposed by the aerial image and the aerial image is transferred to the resist layer as a latent “resist image” (RI) therein. The resist image (RI) can be defined as a spatial distribution of solubility of the resist in the resist layer. A resist image 1250 can be simulated from the aerial image 1230 using a resist model 1240. The resist model can be used to calculate the resist image from the aerial image, an example of which can be found in U.S. Patent Application Publication No. US 2009-0157360, the disclosure of which is hereby incorporated by reference in its entirety. The resist model typically describes the effects of chemical processes which occur during resist exposure, post exposure bake (PEB) and development, in order to predict, for example, contours of resist features formed on the substrate and so it typically related only to such properties of the resist layer (e.g., effects of chemical processes which occur during exposure, post-exposure bake and development). In an embodiment, the optical properties of the resist layer, e.g., refractive index, film thickness, propagation and polarization effects—may be captured as part of the projection optics model 1210.
  • So, in general, the connection between the optical and the resist model is a simulated aerial image intensity within the resist layer, which arises from the projection of radiation onto the substrate, refraction at the resist interface and multiple reflections in the resist film stack. The radiation intensity distribution (aerial image intensity) is turned into a latent “resist image” by absorption of incident energy, which is further modified by diffusion processes and various loading effects. Efficient simulation methods that are fast enough for full-chip applications approximate the realistic 3-dimensional intensity distribution in the resist stack by a 2-dimensional aerial (and resist) image.
  • In an embodiment, the resist image can be used an input to a post-pattern transfer process model module 1260. The post-pattern transfer process model 1260 defines performance of one or more post-resist development processes (e.g., etch, development, etc.).
  • Simulation of the patterning process can, for example, predict contours, CDs, edge placement (e.g., edge placement error), etc. in the resist and/or etched image. Thus, the objective of the simulation is to accurately predict, for example, edge placement, and/or aerial image intensity slope, and/or CD, etc. of the printed pattern. These values can be compared against an intended design to, e.g., correct the patterning process, identify where a defect is predicted to occur, etc. The intended design is generally defined as a pre-OPC design layout which can be provided in a standardized digital file format such as GDSII or OASIS or other file format.
  • Thus, the model formulation describes most, if not all, of the known physics and chemistry of the overall process, and each of the model parameters desirably corresponds to a distinct physical or chemical effect. The model formulation thus sets an upper bound on how well the model can be used to simulate the overall manufacturing process.
  • An exemplary flow chart for modelling and/or simulating a metrology process is illustrated in FIG. 14. As will be appreciated, the following models may represent a different metrology process and need not comprise all the models described below (e.g., some may be combined). A source model 1300 represents optical characteristics (including radiation intensity distribution, radiation wavelength, polarization, etc.) of the illumination of a metrology target. The source model 1300 can represent the optical characteristics of the illumination that include, but not limited to, wavelength, polarization, illumination sigma (σ) settings (where σ (or sigma) is a radial extent of illumination in the illuminator), any particular illumination shape (e.g. off-axis radiation shape such as annular, quadrupole, dipole, etc.), etc.
  • A metrology optics model 1310 represents optical characteristics (including changes to the radiation intensity distribution and/or the phase distribution caused by the metrology optics) of the metrology optics. The metrology optics 1310 can represent the optical characteristics of the illumination of the metrology target by metrology optics and the optical characteristics of the transfer of the redirected radiation from the metrology target toward the metrology apparatus detector. The metrology optics model can represent various characteristics involving the illumination of the target and the transfer of the redirected radiation from the metrology target toward the detector, including aberration, distortion, one or more refractive indexes, one or more physical sizes, one or more physical dimensions, etc.
  • A metrology target model 1320 can represent the optical characteristics of the illumination being redirected by the metrology target (including changes to the illumination radiation intensity distribution and/or phase distribution caused by the metrology target). Thus, the metrology target model 1320 can model the conversion of illumination radiation into redirected radiation by the metrology target. Thus, the metrology target model can simulate the resulting illumination distribution of redirected radiation from the metrology target. The metrology target model can represent various characteristics involving the illumination of the target and the creation of the redirected radiation from the metrology, including one or more refractive indexes, one or more physical sizes of the metrology, the physical layout of the metrology target, etc. Since the metrology target used can be changed, it is desirable to separate the optical properties of the metrology target from the optical properties of the rest of the metrology apparatus including at least the illumination and projection optics and the detector. The objective of the simulation is often to accurately predict, for example, intensity, phase, etc., which can then be used to derive a parameter of interest of the patterning process, such overlay, CD, focus, etc.
  • A pupil or aerial image 1330 can be simulated from the source model 1300, the metrology optics model 1310 and the metrology target model 1320. A pupil or aerial image is the radiation intensity distribution at the detector level. Optical properties of the metrology optics and metrology target (e.g., properties of the illumination, the metrology target and the metrology optics) dictate the pupil or aerial image.
  • A detector of the metrology apparatus is exposed to the pupil or aerial image and detects one or more optical properties (e.g., intensity, phase, etc.) of the pupil or aerial image. A detection model module 1320 represents how the radiation from the metrology optics is detected by the detector of the metrology apparatus. The detection model can describe how the detector detects the pupil or aerial image and can include signal to noise, sensitivity to incident radiation on the detector, etc. So, in general, the connection between the metrology optics model and the detector model is a simulated pupil or aerial image, which arises from the illumination of the metrology target by the optics, redirection of the radiation by the target and transfer of the redirected radiation to the detectors. The radiation distribution (pupil or aerial image) is turned into detection signal by absorption of incident energy on the detector.
  • Simulation of the metrology process can, for example, predict spatial intensity signals, spatial phase signals, etc. at the detector or other calculated values from the detection system, such as an overlay, CD, etc. value based on the detection by the detector of the pupil or aerial image. Thus, the objective of the simulation is to accurately predict, for example, detector signals or derived values such as overlay, CD, corresponding to the metrology target. These values can be compared against an intended design value to, e.g., correct the patterning process, identify where a defect is predicted to occur, etc.
  • Thus, the model formulation describes most, if not all, of the known physics and chemistry of the overall metrology process, and each of the model parameters desirably corresponds to a distinct physical and/or chemical effect in the metrology process.
  • As discussed above and particularly with respect to FIG. 12, a parameter map (also referred as high density map or hybrid density maps in the present disclosure) can be generated per substrate based on sparsely sampled metrology data and data from one or more apparatus of the patterning process. As the data from the one or more apparatus is available for all the substrates, it enables generation of parameter maps for all the substrates while measurements are performed for only few substrate (e.g., 2 out of 25 in the lot of substrate). The advantage of using the parameter map (i.e., the high density map) over using only metrology data is the availability of apparatus data for all substrates, which allows a more accurate representation of the parameter at each location of each substrate compared to representation of the parameter obtained based only on metrology data, In an embodiment, the parameter maps can represent derived parameters. Further, the parameter maps can be used to determine corrections/control/adjustment that can be further applied to one or more apparatus of the patterning process.
  • However, such control may be based on sparsely sampled data on a substrate, which may not be representative for an entire lot of substrates having been subject to the patterning process. Such sparsely sampled data based control may miss correctable components which could be derived from the available metrology and apparatus data of each substrate out of the entire lot of substrates. Hence, in an embodiment and as already described to some extent with respect to FIG. 12, an improved correction analysis technique and subsequent control strategy can be advantageous to generate/print accurate product patterns including the critical features within the product patterns. In the following methods, a correction of the patterning process is based on a representative quality of the patterning process. In the present disclosure, a quality may be represented by either a parameter map or a correction corresponding to the parameter map. Accordingly, the representative quality being either a representative parameter map or a representative correction obtained for a lot of substrate. The method for correction to the patterning process based on the representative map or the representative correction is discussed in detail as follows.
  • FIG. 15 schematically shows a flow for a method, according to an embodiment. As discussed above, a plurality of parameter maps 1600 of a parameter of the patterning process can be obtained and/or generated by combining the metrology data 1601 and data 1602 from an apparatus used in the patterning process. In an embodiment, the parameter maps 1600 can be obtained and/or generated for a lot of substrates. Such parameter maps 1600 comprise information associated with variations introduced by different apparatuses, process sequence, and/or non-uniformity in the substrate itself during the patterning process. For example, a substrate from the lot of substrates during processing may include a non-uniformity/irregularities/impurity (e.g., a bump, a speck of dust, etc.) which may not be similar to other substrates from the lot. Then, a control based on such irregular substrate may affect patterning of the other substrate in the next lot and/or the same lot. In another example, the apparatus of the patterning process may include irregularity which may disappear and/or be fixed over a period of time. Such effects due to irregularities in the substrate itself or the apparatus can be identified by analyzing a plurality of parameter maps 1600 and appropriate and/or more accurate corrections can be determined. Thus, the plurality of parameter maps 1600 provide richer data of the patterning process for correction analysis compared to a sparsely sampled data collected for a substrate.
  • In an embodiment, data 1602 can be for one or more of apparatuses such as a scanner, an etcher tool or a CMP of the patterning process. Data 1602 of an apparatus can include parameters and/or setting information of the apparatus such as level and alignment information of a scanner, aberration of the projection system, dose of the illumination system, etc. As such, data 1602 can capture variations introduced due to changes in the apparatus, for example, due to use, maintenance activity, change in a component of the apparatus, etc. Data 1602 can provide local apparatus specific information that may affect a substrate being processed at the apparatus of the patterning process. For example, out of 25 substrates in a lot of substrates, 12 substrates may be processed at a first scanner and 13 substrates may be processed at a second scanner. Each scanner may introduce particular error and/or may be controlled differently. Such information can be revealed from the parameter maps 1600 and data 1602, which can be further used to define accurate corrections, for example, for a next lot of substrates, wherein the corrections can compensate for the errors in the previous processing to eventually generate accurate and consistent product patterns on the substrates.
  • In process 1604, a representative parameter map 1605 is selected from the parameter maps 1600. The representative parameter map may be described in several different manners. For example, the representative parameter map can be that parameter map which shows the least susceptibility to substrate level variations. In another example, it can be a weighted summation of a plurality of parameter maps, where high weight is given to parameter maps with relatively less variation (e.g., variation of the parameter across the substrate) within the plurality of parameter maps, to generate a parameter map in which the substrate level variations may be dampened. In yet another example, a representative map may be generated, for example, based on the weighted parameter map and a parameter map which shows the best match (e.g., more than 95% match with the weighted parameter map) may be selected from the plurality of the parameter maps to be represented as the representative parameter map.
  • In an embodiment, the selection of the representative map may include determining an average parameter map of the plurality of parameter maps 1600 and selecting a parameter map from the plurality of maps 1600 that is closest to the average parameter map as the representative map 1605. For example, the average map may be determined as a sum of each of the plurality of parameter maps (e.g., 25 parameter maps for a lot of substrates having 25 substrates) divided by a total number of parameter maps (or substrates, e.g., 25). In an embodiment, an average parameter map may be computed for each apparatus of the patterning process. For example, if 12 out of 25 substrates are processed at a first lithographic apparatus, then a first average parameter map may be based on the parameter maps for those 12 substrates. Similarly, a second average parameter map may be determined based on the remaining 13 substrates, for example, processed at a second lithographic apparatus. Then, a selection of the representative map 1605 may be specific to the second lithographic apparatus.
  • In an embodiment, the selection of representative parameter map 1605 can be based on, for example, a weighted averaging of the parameter maps 1600. In an embodiment, a weighted summation of a plurality of parameter maps may be determined, where high weight is given to parameter maps with relatively less variation within the plurality of parameter maps, to generate a weighted parameter map in which the substrate level variations may be dampened. The variation may be inter-substrate (i.e., across the substrate) or intra-substrate (i.e., between two different substrates). For example, parameter maps for each of substrates 1-10 may be assigned a weight of 0.05, each of substrates 11-20 may be assigned a weight of 0.04, and each of substrates 21-25 may be assigned a weight of 0.02. In another example, some substrates (e.g., 1-2) may be assigned no weight (i.e., some parameter maps may be considered outliers due). Then, the representative parameter map may be selected from the plurality of parameter maps that best matches (e.g., more than 95%) the weighted average map.
  • In one embodiment, a weighted parameter map may be generated, where a higher weight may be assigned to the parameter maps obtained from more recent parameter maps of one or more substrates. For example, a lot of substrates can include 25 substrates, where one or more substrates may be processed sequentially and/or in parallel from 1 to 25. So, a relatively higher weight can be assigned to more recent substrates (e.g., substrates 20 through 25 in case of sequential processing) and a low weight to substrates processed earlier such as substrates 1 thorough 5. Accordingly, the weighted average of the parameter maps can be computed. Thus, capturing changes in more recent processing that may be directly applicable to the next lot of substrates. In one embodiment, the weighted parameter map can be the representative parameter map 1605. In an embodiment, the weighted parameter map can be compared with the plurality of parameter maps 1600 to select the representative parameter map 1605 that has high correlation with the weighted parameter map. For example, the weighted parameter map can match (e.g., 95% match) with the parameter map of a 22nd substrate out of the 25 substrates. As such, the parameter map of the 22nd substrate may be selected as the representative parameter map 1605.
  • In an embodiment, the weights can be assigned based on a correction potential of the apparatus such as the scanner, the etcher tool or the CMP. A correction potential refers to an ability to correct a certain fingerprint associated with a parameter (focus/CD/overlay) across a substrate. For example, the scanner includes several control parameter (e.g., level, focus, dose, exposure, etc.) capable of correcting an intra-field fingerprint (e.g., CD within a field of exposure at a die level). The scanner may also be capable of correcting fingerprints across the wafer (e.g., at a larger spatial scale within an inter-field such as correcting average CD of field 1 compared to field 12).
  • In embodiment, an etcher may also be capable of correcting, for example, CD fingerprints, but typically not at small spatial scales (e.g., intra-field is typically not feasible, except maybe when a field is accidentally located at a certain location). The etcher may however be capable of correcting a radial fingerprint of (after-etch) parameter (e.g., CD). This may be relatively harder to achieve using a scanner. For example, a correction potential of scanner may be limited to a dose correction of approximately ±7% which may not be sufficient to compensate a strong radial CD fingerprint; in such a case an etcher-based correction may be preferred.
  • Furthermore, in an embodiment, weights can be assigned based on confidence level in the metrology measurements of each substrate and/or the apparatus. For example, the confidence level may differ per coordinate on the substrate or per substrate due to difference in an amount of data of metrology contributing to that substrate or that coordinate due to sampling density differences between substrates. In another example, a confidence level of metrology at a first scanner can be greater than that of a second scanner. In another example, a confidence level in metrology at a scanner can be greater than at an etcher tool and so on.
  • In one embodiment, more than one representative map may be selected. For example, the 12 substrates processed at the first scanner may have similar parameter maps, while the 13 substrates processed at the second scanner may have a different parameter maps. In such case, a first representative map can be used for substrates that will be processed at the first scanner, and a second representative map (different from the first representative map) may be used for the substrates that will be processed at the second scanner.
  • In process 1606, based on the representative parameter map 1605 and the data 1602 from the apparatus, a correction 1607 can determined. As such, the correction 1607 can potentially rectify for most commonly occurring issues throughout the process for the next lot of substrates. For example, the correction 1607 can include configuration of a new setting of the apparatus (e.g., a scanner, an etching tool, a CMP, a deposition tool, etc.) used in the patterning process of a next lot of substrates. In an embodiment, the correction can be with respect to the subsequent apparatus that a substrate (e.g., within the same lot of substrates) may visit. For example, if the substrate will visit the first etching tool after the first scanner and the etching tool has a limited potential for correction, then while determining the correction such limitation can be anticipated and the correction at the scanner can be defined accordingly to compensate for the limitation of the etching tool.
  • Based on above correction, the patterning of the substrates in successive lots or within the same lot can be improved. In an embodiment, the correction 1607 can include a modification of the scanner setting (e.g., modification of the control of X, Y or Z motion of an object such as the substrate, specific correction of a projection system aberration (e.g., using an actuator or other wavefront manipulation device in the projection system), change of an illumination dose for exposure of a substrate (e.g., by controlling a radiation source and/or using a dose modulation device in the illumination system), etc.). It can be appreciated that the correction factors can be similar to that discussed earlier with respect to FIG. 12, having correction values/control strategy determined based on the representative parameter map.
  • In process 1610, the correction 1607 can be applied to the apparatus, and/or other apparatus of the patterning process for a next lot of substrates. Thus, improving the accuracy and consistency of patterning of the substrates in successive lots.
  • In an embodiment, one or more corrections can be determined for a plurality of parameter maps and a representative correction can be selected, as discussed with respect to a method in FIG. 16.
  • In FIG. 16, the parameter maps 1700 (similar to the parameter maps 1600) of a parameter of the patterning process can be obtained and/or generated as discussed earlier, using metrology data and data 1704 (similar to data 1602) from an apparatus of the patterning process. Further, one or more corrections 1702 for the parameter maps can be obtained, for example, using the method discussed earlier with respect to FIG. 12. In an embodiment, a first correction of the one or more corrections 1702 can be related to a first group of parameter maps having similar characteristics, a second correction can be related to a second group of parameter maps having similar characteristics, and so on.
  • From the one or more corrections 1702, a representative correction 1707 can be selected, in process 1706. The selection of the representative correction 1707 can be based on, for example, a weighted averaging of the one or more corrections 1702, and/or a correction potential of the apparatus, in a similar manner as discussed in FIG. 15. For example, a higher weight may be assigned to recent corrections on recent substrate (e.g., 22-25 substrates) and a lower weight can be assigned to corrections to earlier substrates (e.g., 1-5 substrates) of the previous lot (e.g., having 25 substrates). In another example, a relatively higher weight may be assigned to corrections at an apparatus (e.g., scanner) having a higher correction potential compared to other apparatuses (e.g., etcher, CMP, etc.).
  • In process 1708, a correction 1709 is determined based on the representative correction 1707 and the data 1704 from the apparatus. The correction 1707 can include configuration of a modified setting of an apparatus for a next lot of substrates that will be processed. It can be appreciated that the correction factors can be similar to that discussed earlier with respect to FIGS. 13 and 15, having possibly correction values/control strategy determined based on the representative parameter map.
  • In process 1710, the correction 1709 can be applied to the apparatus, and/or other apparatus of the patterning process for a next lot of substrates. Thus, improving the patterning of the substrates in successive lots.
  • The above techniques can be further extended to apply corrections at a substrate level as discussed in a method of FIG. 17A. In an embodiment as shown in FIG. 17A, the method can determine a correction at a substrate level using measurements of a current substrate (i.e., a substrate being processed) and data collected including the parameter maps for a previously processed lot of substrates.
  • A plurality of parameter maps 1800 (similar to 1600 and 1700) of a parameter of the patterning process for previously processed substrates can be obtained and/or generated from the metrology data and data 1802 (similar to 1602 and 1704) from an apparatus of the patterning process. In an embodiment, the plurality of parameter maps 1800 can be categorized (or labelled) based on specific fingerprint of the parameter as shown in FIG. 17B by way of non-limiting examples. For example, a first category includes a fingerprint 1811 along the edge of the substrate, a second category includes three fingerprints 1821, 1823, and 1825, a third category includes a butterfly-like region 1831, a fourth category includes a fingerprint 1841 spread along a diameter, and a fifth category includes a fingerprint 1851 spread in a cross manner. The fingerprint can be, for example, cumulative error due to the patterning process, a parameter such as overlay, or other parameters of interest. Each category of the plurality of parameter maps can have same or different number of substrates. For example, in the above example, the first category can include 8 parameter maps, the second category can include 3 parameter maps, the third category can include 10 parameter maps, and the fourth and fifth category can include 2 parameter maps, respectively. In an embodiment, the categorization may be performed using a clustering algorithm (e.g., k-means) where one or more of the plurality of parameter maps having a similar first mean parameter values at one or more locations may be labelled/categorized into one category. Similarly, one or more parameter maps of the plurality of parameter maps having second mean parameter values may be categorized/labelled as a second category. In an embodiment, a principal component analysis may be employed on the plurality of parameter maps to analyze and identify different fingerprints and further characterize the presence of the fingerprints in the various maps by means of a clustering algorithm like k-means.
  • Additionally, measurements 1802 of a current substrate in a current lot of substrates can be obtained from the metrology tools. The measurements 1802 of the current substrate can include, for example, a substrate surface profile (data from a level sensor), alignment data, etc. measured in an apparatus, for example, a scanner. The measurements 1802 of the current substrate provide information about the substrate condition that enables identification of substrate specific corrections. For example, the current substrate may include an irregularity along an edge, or at a center. Each such condition may relate to different category of parameter maps and corresponding correction factors. As such, based on the condition of the current substrate an appropriate correction can be defined.
  • In an embodiment, in process, 1806, the measurements 1802 of the current substrate can be used to identify the category of the current substrate, for example, by comparing the measurements 1802 with the parameter maps 1800 (e.g., as shown in FIG. 17B) and identifying a matching parameter map 1807.
  • In an embodiment, the measurements 1802 of the current substrate can be converted to a current substrate map 1805 of a parameter corresponding to the plurality of the parameter maps 1800. The current substrate map 1805 generated from the measurements 1802 may be less accurate compared to the parameter map 1800 since the current substrate map is obtained/generated from data of only part of the patterning process. For example, when only level sensor data is available and information on focus is missing, then a substrate map based on only level sensor data may be less accurate than a substrate map based on both level sensor and focus data. The current substrate map 1805 can be further compared to the parameter maps 1800 to identify the matching parameter map 1807.
  • For example, a first current substrate of a current lot of substrates being processed can be similar to the second category of substrate of a previously processed lot. In another example, a second substrate of the current lot can be similar to the fourth category of substrate of the previously processed. Thus, the matching parameter map 1807 can be different for different substrates, and consequently the correction.
  • Alternatively or additionally, based on the measurements 1802 of the current substrate data and the data 1804 from the apparatus, a simulation and/or modelling can be performed and a modelled parameter map 1803 can be obtained and/or generated. Such modelled parameter map 1803 not only accounts for the current substrate specific data, but also data from the apparatuses at which the current substrate will be processed that allows prediction of any potential error that may occur at an apparatus in the patterning process on the current substrate. The modelled parameter map 1803 can be a predicted parameter map that may be observed at the end of the patterning process of the current substrate.
  • Further, the modelled parameter map 1803 can be compared with the parameter maps 1800, in process 1806, to identify a matching parameter map 1807 from the parameter maps 1800. The comparison can involve identifying a parameter map from the parameter maps 1800 that closely matches (e.g., more than 95% match) the modelled parameter map 1803. Such matching parameter map 1807 can be specific to the current substrate. Furthermore, the matching parameter map 1807 may or may not be matching one or more of the parameter maps 1800 of the previously processed substrates. For example, a first modelled parameter map of the first current substrate can be similar to the first category of the parameter map or more particularly of an eleventh substrate of a previously processed lot of substrates. In another example, a second modelled parameter map of the second current substrate can be similar to the second category of the parameter map or more particularly of a twenty second substrate of a previously processed lot of substrates.
  • In process 1808, based on the matching parameter map 1807, a correction 1809 can be determined. Clearly, each of the categories of the parameter maps can have different corrections. In addition, the measurements 1802 of the current substrate can enable a local correction that may appear on the current substrates. The local correction can be configured to compensate for additional error observed other than that seen in the identified categories. For example, the measurements 1802 and/or the current substrate map 1805 can indicate a local error (e.g., significant overlay in the middle of the substrate) in addition to, for example, the overlay of the first category of parameter map. Then, such local error can also be factored in to the correction 1809.
  • In an embodiment, the correction 1809 can include configuration of a modified setting of the apparatus and/or a subsequent apparatus at which the current substrate will be processed. It can be appreciated that the correction factors can be similar to that discussed earlier with respect to FIGS. 13, 16 and 17, having possibly different correction values/control strategy since the correction is determined based on a different representative map.
  • Furthermore, in process 1810, the correction 1809 can be applied to the apparatus, and/or other apparatus of the patterning process. Thus, improving the patterning of the current substrate within the lot of substrates being processed.
  • Alternatively or additionally, in an embodiment, one or more corrections can be determined for a plurality of parameter maps and/or the one or more corrections can be categorized. Based on the measurements 1802 of a current substrate can be converted into the current substrate map and a current substrate correction for the current substrate map can be determined. The current correction can be further compared with the one or more corrections to identify the category of correction and further determine and/or modify the current substrate correction to generate the correction 1809.
  • For the above discussed methods, the methods can further include the following categorization and corresponding corrections. In an embodiment, the above methods can further involve obtaining and/or categories of substrates and determining corrections, which may be similar or different, for each category. In an embodiment, one or more categories of substrates may be obtained and/or generated based on the plurality of the parameter maps. In one embodiment, the categories may be defined based on one or more apparatuses at which a substrate is processed during the patterning process. For example, substrates processed at the first scanner may belong to a first category, and substrates processed at the second scanner may belong to a second category. In another example, substrates processed at the first scanner and a first CMP can be one category, substrates processed at the second scanner and a first etcher can be another category, and so on.
  • For each such categories and based on the representative parameter map or the representative correction, a correction specific to a category can be defined. Furthermore, for a substrate level correction, the current substrate can be assigned a category from the identified category based on the measurements. For the identified category, the corresponding correction can be selected as a representative correction.
  • Because the amount of actual (i.e., measured) metrology data used is relatively sparse, as opposed to computationally derived metrology data, the measurement data is prone to noise performance impacts. Furthermore, there may be a disproportional impact of non-systematic factors on the monitoring and control strategy. It is therefore proposed, in an embodiment, to employ the methods herein to filter for one or more systematic contributors (each being a representative quality) in the metrology data (the plurality of qualities), such that non-systematic contributors are filtered out, and/or separately considered. This should be done on a per-contributor basis; for example individual contributors to overlay behavior may comprise stage errors, lens aberrations, alignment (errors) and level sensor height maps. The relevant contributors will vary depending on the parameter of interest, the apparatuses used and their correction capability etc., but may include any contributor to errors and/or control of the parameter of interest. As such, the plurality of qualities may comprise, a plurality of parameter maps, each parameter map relating to an individual contributor. As with other embodiments described herein, the qualities may be corrections, i.e., associated with each contributor, and the representative quality may be a representative correction.
  • To do this, It is proposed to associate each individual contributor (for example a level sensor contributor, an alignment contributor etc.) to a consistency characteristic describing how a certain spatial fingerprint (e.g., an overlay fingerprint) evolves, for example, across layers and/or chucks and/or lots and/or substrates, thereby categorizing the contributor as systematic or non-systematic, and/or assigning a weighting based on the consistency characteristic.
  • A control metric may be determined based on a mapping of the plurality of contributors to a performance parameter (e.g., overlay/CD/EPE/Focus/Dose), with each contributor being processed/filtered and/or weighted according to its consistency characteristic. The systematic and/or non-systematic fingerprint information of lot, chuck and substrate per contributor in Computational Metrology data, can be used as input for subsequent lots, chucks and substrates and/or as input for subsequent layers.
  • FIG. 18 comprises a flow diagram illustrating such a filtering method. The individual contributor parameter maps 1900 undergo a filtering step 1910 to filter for systematic (or non-systematic) contributors, e.g., per lot, chuck and substrate, based on a determined consistency characteristic. The consistency characteristic may, for example, be based on a comparison with (e.g., systematic) prior contributor information/parameter maps 1920 from prior layers, substrates and/or lots. Based on the consistency characteristic, the filtering step may determine whether a contributor is systematic or non-systematic in a binary classification (e.g., according to a threshold for the consistency characteristic); or it may determine the degree to which a contributor is systematic (e.g., to determine a weighting for the contributor). The output of this step is a plurality of filtered individual contributor parameter maps 1940, e.g., systematic (and/or non-systematic) individual contributor parameter maps 1940, optionally with an associated weighting for each map. These systematic (and/or non-systematic) individual contributor parameter maps 1940 can be fed back 1950 in a feedback control loop (along with prior systematic (or non-systematic) contributor information 1970) for a subsequent lot. For example, in an embodiment only the systematic contributor information is used in the control loop, each comprising a representative quality or being combined into a representative quality (e.g., a systematic contributor parameter map). Alternatively or in addition, the filtered contributors may be combined according to a weighting, e.g., with greater weighting being given to more systematic contributors. As such, an output representative quality may be a weighted combination of the systematic contributors, with weighting set according to the consistency characteristic. Additionally, the filtered individual contributor information (representative quality) can be fed forward 1960 in a control loop for a subsequent layer.
  • As already described, the filtering step 1910 may filter out the non-systematic contributors such that the control is based only on systematic contributors. However, it is possible to filter out systematic contributors and use the non-systematic contributors in a control or monitoring action, or separate and use both systematic contributors and non-systematic contributors in a control or monitoring action. It is also possible to determine the degree to which a contributor is systematic (e.g., based on the consistency characteristic) and assign an appropriate weighting. The weighting may additionally, or alternatively, be based on other factors such as correction potential of an associated apparatus and/or a metrology confidence level, as already described in other embodiments.
  • It is therefore proposed to capture systematic (and optionally non-systematic) parameter maps (e.g., fingerprints) of lot, chuck and/or substrate for all contributors (e.g., in a computational metrology method).
  • In numerous embodiments, The systematic (and non-systematic) fingerprint contributors can be determined:
      • in a time-based manner per group/lot/chuck/substrate;
      • locally and/or globally per group/lot/chuck/substrate;
      • intra-lot per group/lot/chuck/substrate;
      • based on inter-lot information;
      • based on grouping; and/or
      • according to weights based on (weighted) averaging and taking correction potential scanner/etcher into account; for example weights could be assigned based on metrology confidence level per substrate or measurement.
  • FIG. 19 illustrates an illustrative method for performing the filtering step 1910 in a feed-forward control method (layer-to-layer). The contributor parameter maps 1975 of a target layer is compared 1985 to the corresponding contributor parameter maps 1980 of a previous layer to determine a consistency characteristic for each contributor according to their similarity (e.g., a correlation metric based on a correlation of the contributor parameter maps 1975 and contributor parameter maps 1980). The contributor parameter maps having a higher degree of similarity can be used in a feed forward method 1990 (possibly as a weighted combination) for control of the target layer on subsequent substrates based on metrology of the pervious layer.
  • FIG. 20 comprise a flow diagram of such a method in greater detail. FIG. 20(a) shows a set-up phase and FIG. 20(b) shows the main computational metrology phase. In the set up phase, contributor parameter maps 2010 a, 2010 b, 2010 c and measured parameter values 2020 are fed into a weighting algorithm 2030. Weighting algorithm 2030 then calculates appropriate weights 2040 for each contributor parameter map. To provide a specific overlay example, the contributor parameter maps 2010 a, 2010 b, 2010 c may comprise a leveling contribution 2010 a, a dynamics contribution 2010 b and an alignment contribution 2010 c. The weighting algorithm 2030 outputs weights 2040 for each of these, respectively wlvl, wdyn and Wal. The weights 2040 may comprise linear weights, and may be defined per contributor for each direction. In an embodiment, the weights are calculated using multivariate normal regression.
  • FIG. 20(b) shows the computational metrology flow. The appropriate contributor parameter maps 2010 a′, 2010 b′, 2010 c′ are measured and a weighted combination of the contributor parameter maps 2010 a′, 2010 b′, 2010 c′ is calculated 2050 based on the weights calculated at step 2030. For example, using the specific overlay example described in the preceding paragraph, the combination may be wlvllvl+wdyndyn+walal, where lvl, dyn and al are the contributor parameter maps 2010 a′, 2010 b′, 2010 c′ respectively. A control action 2060 is performed based on the weighted combination, e.g., a correction fed back or fed-forward in a per-substrate or per-lot control loop, or a correction fed-forward for a subsequent layer based on the metrology of a previous layer.
  • As explained FIG. 22 describes a method to obtain a combined contributor fingerprint 2205. The method described in FIG. 22 may also be utilized to update any of the previously described contributor parameter maps (1975, 1985, 2010 a, etc.).
  • In an embodiment a method for determining corrections to a patterning process is disclosed, the method comprising: obtaining a plurality of qualities of the patterning process, wherein the plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process, wherein the parameter maps each relate to a contributor of the patterning process; selecting, by a hardware computer system, a representative quality from the plurality of qualities, wherein the representative quality is a representative parameter map selected from the plurality of parameter maps; and determining, by the hardware computer system, a correction to the patterning process based on the representative quality.
  • In another embodiment the method as disclosed in the previous paragraph further comprises: modelling at least one parameter map to obtain a modelled representation of at least one contributor; and combining the at least one modelled parameter map and the at least one parameter map to obtain at least one updated representation of the at least one contributor.
  • In another embodiment the method as disclosed in the previous paragraph further comprises using the updated representation of the at least one contributor as an input for controlling a processing apparatus such as an etch tool, CMP tool, deposition tool and/or a lithographic apparatus.
  • In another embodiment the method as disclosed in the previous paragraph further comprises the generation of a suitable control recipe for providing control of said processing apparatus.
  • In another embodiment the method as disclosed in the previous paragraph comprises generating of a Control Per Exposure (CPE) instruction and/or sub-recipe containing information for individual control of exposure fields provided to a substrate using the lithographic apparatus.
  • In another embodiment the modelling as used in any of the previous embodiments uses a global model configured to describe lower order behavior of a processing variable across a substrate.
  • FIG. 21 is a block diagram that illustrates a computer system 100 which can assist in implementing methods and flows disclosed herein. Computer system 100 includes a bus 102 or other communication mechanism for communicating information, and a processor 104 (or multiple processors 104 and 105) coupled with bus 102 for processing information. Computer system 100 also includes a main memory 106, such as a random access memory (RAM) or other dynamic storage device, coupled to bus 102 for storing information and instructions to be executed by processor 104. Main memory 106 also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by processor 104. Computer system 100 further includes a read only memory (ROM) 108 or other static storage device coupled to bus 102 for storing static information and instructions for processor 104. A storage device 110, such as a magnetic disk or optical disk, is provided and coupled to bus 102 for storing information and instructions.
  • Computer system 100 may be coupled via bus 102 to a display 112, such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user. An input device 114, including alphanumeric and other keys, is coupled to bus 102 for communicating information and command selections to processor 104. Another type of user input device is cursor control 116, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor 104 and for controlling cursor movement on display 112. This input device typically has two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), that allows the device to specify positions in a plane. A touch panel (screen) display may also be used as an input device.
  • According to one embodiment, portions of the process may be performed by computer system 100 in response to processor 104 executing one or more sequences of one or more instructions contained in main memory 106. Such instructions may be read into main memory 106 from another computer-readable medium, such as storage device 110. Execution of the sequences of instructions contained in main memory 106 causes processor 104 to perform the process steps described herein. One or more processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory 106. In an alternative embodiment, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
  • The term “computer-readable medium” as used herein refers to any medium that participates in providing instructions to processor 104 for execution. Such a medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media include, for example, optical or magnetic disks, such as storage device 110. Volatile media include dynamic memory, such as main memory 106. Transmission media include coaxial cables, copper wire and fiber optics, including the wires that comprise bus 102. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications. Common forms of computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read.
  • Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor 104 for execution. For example, the instructions may initially be borne on a magnetic disk of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem. A modem local to computer system 100 can receive the data on the telephone line and use an infrared transmitter to convert the data to an infrared signal. An infrared detector coupled to bus 102 can receive the data carried in the infrared signal and place the data on bus 102. Bus 102 carries the data to main memory 106, from which processor 104 retrieves and executes the instructions. The instructions received by main memory 106 may optionally be stored on storage device 110 either before or after execution by processor 104.
  • Computer system 100 also desirably includes a communication interface 118 coupled to bus 102. Communication interface 118 provides a two-way data communication coupling to a network link 120 that is connected to a local network 122. For example, communication interface 118 may be an integrated services digital network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line. As another example, communication interface 118 may be a local area network (LAN) card to provide a data communication connection to a compatible LAN. Wireless links may also be implemented. In any such implementation, communication interface 118 sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
  • Network link 120 typically provides data communication through one or more networks to other data devices. For example, network link 120 may provide a connection through local network 122 to a host computer 124 or to data equipment operated by an Internet Service Provider (ISP) 126. ISP 126 in turn provides data communication services through the worldwide packet data communication network, now commonly referred to as the “Internet” 128. Local network 122 and Internet 128 both use electrical, electromagnetic or optical signals that carry digital data streams. The signals through the various networks and the signals on network link 120 and through communication interface 118, which carry the digital data to and from computer system 100, are example forms of carrier waves transporting the information.
  • Computer system 100 can send messages and receive data, including program code, through the network(s), network link 120, and communication interface 118. In the Internet example, a server 130 might transmit a requested code for an application program through Internet 128, ISP 126, local network 122 and communication interface 118. One such downloaded application may provide for the illumination optimization of the embodiment, for example. The received code may be executed by processor 104 as it is received, and/or stored in storage device 110, or other non-volatile storage for later execution. In this manner, computer system 100 may obtain application code in the form of a carrier wave.
  • Embodiments of the disclosure may be implemented in hardware, firmware, software, or any combination thereof. Embodiments of the disclosure may also be implemented as instructions stored on a machine-readable medium, which may be read and executed by one or more processors. A machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computing device). For example, a machine-readable medium may include read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other forms of propagated signals (e.g. carrier waves, infrared signals, digital signals, etc.), and others. Further, firmware, software, routines, instructions may be described herein as performing certain actions. However, it should be appreciated that such descriptions are merely for convenience and that such actions in fact result from computing devices, processors, controllers, or other devices executing the firmware, software, routines, instructions, etc.
  • In block diagrams, illustrated components are depicted as discrete functional blocks, but embodiments are not limited to systems in which the functionality described herein is organized as illustrated. The functionality provided by each of the components may be provided by software or hardware modules that are differently organized than is presently depicted, for example such software or hardware may be intermingled, conjoined, replicated, broken up, distributed (e.g. within a data center or geographically), or otherwise differently organized. The functionality described herein may be provided by one or more processors of one or more computers executing code stored on a tangible, non-transitory, machine readable medium. In some cases, third party content delivery networks may host some or all of the information conveyed over networks, in which case, to the extent information (e.g., content) is said to be supplied or otherwise provided, the information may be provided by sending instructions to retrieve that information from a content delivery network.
  • Unless specifically stated otherwise, as apparent from the discussion, it is appreciated that throughout this specification discussions utilizing terms such as “processing,” “computing,” “calculating,” “determining” or the like refer to actions or processes of a specific apparatus, such as a special purpose computer or a similar special purpose electronic processing/computing device.
  • The reader should appreciate that the present application describes several inventions. Rather than separating those inventions into multiple isolated patent applications, applicants have grouped these inventions into a single document because their related subject matter lends itself to economies in the application process. But the distinct advantages and aspects of such inventions should not be conflated. In some cases, embodiments address all of the deficiencies noted herein, but it should be understood that the inventions are independently useful, and some embodiments address only a subset of such problems or offer other, unmentioned benefits that will be apparent to those of skill in the art reviewing the present disclosure. Due to costs constraints, some inventions disclosed herein may not be presently claimed and may be claimed in later filings, such as continuation applications or by amending the present claims. Similarly, due to space constraints, neither the Abstract nor the Summary sections of the present document should be taken as containing a comprehensive listing of all such inventions or all aspects of such inventions.
  • It should be understood that the description and the drawings are not intended to limit the invention to the particular form disclosed, but to the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the present invention as defined by the appended claims.
  • Further embodiments are disclosed in the list of numbered clauses below:
    • 1. A method for determining correction to a patterning process, the method comprising:
  • obtaining a plurality of qualities of the patterning process;
  • selecting, by a hardware computer system, a representative quality from the plurality of qualities; and
  • determining, by the hardware computer system, a correction to the patterning process based on the representative quality.
    • 2. The method of clause 1, wherein the plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process, and
  • wherein the representative quality is a representative parameter map selected from the plurality of parameter maps.
    • 3. The method of clause 1, wherein the plurality of qualities is one or more corrections corresponding to a plurality of parameter maps of the patterning process for a lot of substrates processed during the patterning process, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process, and
  • wherein the representative quality is a representative correction selected from one or more corrections to the patterning process.
    • 4. The method of any of clauses 1-3, wherein the selecting of the representative quality is based on weighted averaging of the plurality of the qualities.
    • 5. The method of any of clauses 1-4, wherein the selecting of the representative quality is based on a correction potential of the apparatus of the patterning process.
    • 6. The method of any of clauses 1-5, wherein the correction comprises correction to the apparatus for a subsequent layer of the same substrate, the apparatus for substrates of a subsequent lot of substrates, a subsequent apparatus for substrates within said lot of substrates to be processed at the subsequent apparatus, and/or correction to at least one other apparatus of the patterning process.
    • 7. The method of any of clauses 2-6, wherein the parameter maps each relate to an individual contributor of the patterning process, and said method optionally further comprises determining a consistency characteristic for each parameter map based on a comparison of parameter maps relating to different layers and/or substrates during the patterning process for each contributor.
    • 8. The method of clause 7, wherein said method comprises determining whether a contributor is systematic based on the consistency characteristic.
    • 9. The method of clause 8, comprising using only parameter maps corresponding to contributors determined as systematic and/or assigning a greater weighting to contributors determined as systematic when selecting said representative quality.
    • 10. The method of any of clauses 7-9, wherein said comparison of parameter maps to determine said consistency characteristic comprises determining the degree of variation between the parameter maps.
    • 11. The method of any of clauses 7-10, wherein said comparison of parameter maps to determine said consistency characteristic is based on a correlation between the parameter maps.
    • 12. The method of any of clauses 2-11, wherein the parameter of the patterning process is an overlay, a critical dimension, a focus, and/or an edge placement error.
    • 13. The method of any of clauses 2-12, wherein the apparatus is a scanner.
    • 14. The method of clause 13, wherein the data related to the scanner include levelling data, alignment data, aberration data associated with a projection system, and/or reticle phase.
    • 15. The method of any of clauses 2-14, wherein the plurality of parameter maps comprises parameter maps for one or more parameters including an overlay, a critical dimension, focus, and/or an edge placement error.
    • 16. The method of any of clauses 2-15, wherein each parameter map of the plurality of parameter maps is a high-density map of a parameter, the high-density map is generated, by modelling and/or simulation of a contribution of one or more processing variables of the patterning process to the parameter.
    • 17. The method of clause 16, wherein the processing variable is a focus and/or a dose.
    • 18. A method for applying correction to a patterning process, the method comprising:
  • obtaining (i) a representative quality selected from a plurality of qualities of the patterning process, and (ii) a correction based on the representative quality; and
  • applying, by a hardware computer system, the correction to the patterning process for, a subsequent layer of the same substrate, substrates within the lot of substrate, and/or for substrates of a subsequent lot of substrate.
    • 19. The method of clause 18, wherein the plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process, and
  • wherein the representative quality is a representative parameter map selected from the plurality of parameter maps.
    • 20. The method of clause 18, wherein the plurality of qualities is one or more corrections corresponding to a plurality of parameter maps of the patterning process for a lot of substrates processed during the patterning process, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process, and
  • wherein the representative quality is a representative correction selected from one or more corrections to the patterning process.
    • 21. The method of any of clauses 18-20, wherein the selecting of the representative quality is based on weighted averaging of the plurality of the qualities.
    • 22. The method of clause 19 or 20, wherein the selecting of the representative quality is based on a correction potential of the apparatus of the patterning process.
    • 23. The method of any of clauses 18-22, wherein the parameter maps each relate to an individual contributor of the patterning process.
    • 24. A method for determining correction to a patterning process, the method comprising:
  • obtaining (i) a plurality of qualities of the patterning process for a previously processed lot of substrates, (ii) measurements of a current substrate, and (iii) a current quality based on the measurements of the current substrate;
  • identifying, by a hardware computer system, a matching quality from the plurality of qualities based on a comparison between the current quality and the plurality of qualities; and
  • determining, by the hardware computer system, a correction to the patterning process based on the matching quality.
    • 25. The method of clause 24, wherein
  • the plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process,
  • the current quality is a current substrate map generated from the measurements of the current substrate, and
  • the matching quality is a matching parameter map identified from the plurality of parameter maps based on a comparison between the current substrate map and the plurality of parameter maps.
    • 26. The method of clause 24, wherein
  • the plurality of qualities is one or more corrections corresponding to a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process,
  • the current quality is a current correction based on a current substrate map generated from the measurements of the current substrate, and
  • the matching quality is a matching correction map identified from the one or more corrections based on a comparison between the current correction and the one or more corrections.
    • 27. The method of clause 25, further comprising:
  • obtaining a modelled parameter map, by modelling and/or simulation, based on the measurements of the current substrate and data of the apparatus of the patterning process; and
  • identifying, by the hardware computer system, the matching parameter map from the plurality of parameter maps based on a comparison between the modelled parameter map and the plurality of parameter maps; and
  • determining, by the hardware computer system, the correction to the patterning process based on the matching parameter map.
    • 28. The method of any of clauses 25-27, further comprising:
  • obtaining one or more categories of substrates based on the plurality of the parameter maps, and/or one or more corrections corresponding to the one more categories of substrates;
  • identifying, by the hardware computer system, a substrate category from the one or more categories of substrates based on the measurements of the current substrate; and
  • selecting, by the hardware computer system, the correction to the patterning process corresponding to the identified category of the substrate.
    • 29. The method of any of clauses 24-28, wherein the selecting of the matching quality is based on weighted averaging of the plurality of the qualities.
    • 30. The method of any of clauses 24-29, wherein the selecting of the matching quality is based on a correction potential of the apparatus of the patterning process.
    • 31. The method of any of clauses 24-30, wherein the correction comprises correction to a subsequent apparatus for substrates within said lot of substrate, correction to the apparatus for substrates of a subsequent lot of substrate, and/or correction to other apparatus of the patterning process.
    • 32. A method for applying correction to a patterning process, the method comprising:
  • obtaining (i) a matching quality from a plurality of qualities of the patterning process for a previously processed substrate, the matching quality identified based on a comparison between a current quality and the plurality of qualities, the current quality is based on measurements of the current substrate, and (ii) a correction based on the matching quality; and
  • applying, by a hardware computer system, the correction to the patterning process for the current substrate.
    • 33. The method of clause 32, wherein
  • the plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process,
  • the current quality is a current substrate map generated from the measurements of the current substrate, and
  • the matching quality is a matching parameter map identified from the plurality of parameter maps based on a comparison between the current substrate map and the plurality of parameter maps.
    • 34. The method of clause 32, wherein
  • the plurality of qualities is one or more corrections corresponding to a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of an apparatus of the patterning process,
  • the current quality is a current correction based on a current substrate map generated from the measurements of the current substrate, and
  • the matching quality is a matching correction map identified from the one or more corrections based on a comparison between the current correction and the one or more corrections.
    • 35. The method according to clause 33, further comprising:
  • obtaining a modelled parameter map, by modelling and/or simulation, based on the measurements of the current substrate and data of apparatus of the patterning process; and
  • identifying, by the hardware computer system, the matching parameter map from the plurality of parameter maps based on a comparison between the modelled parameter map and the plurality of parameter maps; and
  • determining, by the hardware computer system, the correction to the patterning process based on the matching parameter map.
    • 36. The method according to clause 34, further comprising:
  • obtaining one or more categories of substrates based on the plurality of the parameter maps, and/or one or more corrections corresponding to the one more categories of substrates; and
  • identifying, by the hardware computer system, a substrate category from the one or more categories of substrates based on the measurements of the current substrate; and
  • selecting, by the hardware computer system, the correction corresponding to the identified category of the substrate.
    • 37. The method of any of clauses 32-36, wherein the selecting of the matching quality is based on weighted averaging of the plurality of the qualities.
    • 38. The method of any of clauses 32-37, wherein the selecting of the matching quality is based on a correction potential of the apparatus of the patterning process.
    • 39. The method of any of clauses 32-38, wherein the correction comprises correction to a subsequent apparatus for substrates within said lot of substrate, correction to the apparatus for substrates of a subsequent lot of substrate, and/or correction to other apparatus of the patterning process.
    • 40. A method to obtain a combined modelled and measured contribution (2205) to metrology data (2201), the method comprising:
  • obtaining metrology data (2201) and a contribution (2202) to the metrology data (2201), the contribution (2202) associated with a particular processing step, processing variable and/or processing apparatus;
  • removing the contribution (2202) from the metrology data (2201) to obtain a derived contribution (2203) to the metrology data (2201);
  • using a model (2200) to upsample the derived contribution (2203) to obtain a modelled contribution (2204); and
  • combining the derived contribution (2203) and the modeled contribution (2204) to obtain a combined contribution (2205).
    • 41. The method of clause 40, further comprising using the combined contribution (2205) as an input for controlling a processing apparatus, such as an etch tool, CMP tool, deposition tool and/or a lithographic apparatus.
    • 42. The method of clause 41, wherein the controlling is achieved by generation of a suitable control recipe for a processing apparatus.
    • 43. The method of clause 42, wherein the control recipe is generated for a lithographic apparatus and comprises a Control Per Exposure (CPE) instruction and/or sub-recipe containing information for individual control of exposure fields provided to a substrate using the lithographic apparatus.
    • 44. The method of clause 40, wherein the model (2200) is a global model configured to describe lower order behavior of a processing variable across a substrate.
    • 45. A method for determining correction to a patterning process, the method comprising:
  • obtaining a plurality of qualities derived from metrology data and data of an apparatus used in the patterning process;
  • selecting, by a hardware computer system, a representative quality from the plurality of qualities; and
  • determining, by the hardware computer system, a correction to the patterning process based on the representative quality.
    • 46. The method of clause 45, wherein the plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from the metrology data and the data of the apparatus used in the patterning process, and
  • wherein the representative quality is a representative parameter map selected from the plurality of parameter maps.
    • 47. The method of clause 45, wherein the plurality of qualities is one or more corrections corresponding to a plurality of parameter maps of the patterning process for a lot of substrates processed during the patterning process, each parameter map of the plurality of parameter maps being generated from the metrology data and from the data of an apparatus used in the patterning process, and
  • wherein the representative quality is a representative correction selected from one or more corrections to the patterning process.
    • 48. The method of clause 45, wherein the selecting of the representative quality is based on weighted averaging of the plurality of the qualities.
    • 49. The method of clause 45, wherein the selecting of the representative quality is based on a correction potential of the apparatus used in the patterning process.
    • 50. The method of clause 45, wherein the correction comprises a correction to the patterning process for one or more of: a subsequent layer on a processed substrate, a subsequent lot of substrates, a subsequent processing apparatus for substrates within said lot of substrates to be processed using the subsequent apparatus, and/or correction to at least one other processing apparatus used in the patterning process.
    • 51. The method of clause 46, wherein the parameter maps each relate to an individual contributor of the patterning process, and said method further comprises determining a consistency characteristic for each parameter map based on a comparison of parameter maps relating to different layers and/or substrates during the patterning process for each contributor.
    • 52. The method of clause 51, further comprising determining whether a contributor is systematic based on the consistency characteristic.
    • 53. The method of clause 52, wherein either only parameter maps are used that correspond to contributors determined as systematic or a greater weighting is assigned to contributors determined as systematic when selecting said representative quality.
    • 54. The method of clause 51, wherein said comparison of parameter maps to determine said consistency characteristic comprises determining the degree of variation between the parameter maps.
    • 55. The method of clause 51, wherein said comparison of parameter maps to determine said consistency characteristic is based on a correlation between the parameter maps.
    • 56. The method of clause 46, wherein the parameter of the parameter map is an overlay, a critical dimension, a focus, and/or an edge placement error.
    • 57. The method of clause 46, wherein the apparatus is a lithographic apparatus (scanner).
    • 58. The method of clause 57, wherein the data related to the scanner include levelling data, alignment data, aberration data associated with a projection system, and/or reticle phase.
    • 59. The method of clause 58, wherein each parameter map of the plurality of parameter maps is a high-density map of a parameter, the high-density map is generated, by modelling and/or simulation of a contribution of one or more processing variables of the patterning process to the parameter.
    • 60. The method of clause 7, further comprising: modelling at least one parameter map to obtain a modelled representation of at least one contributor; and combining the at least one modelled parameter map and the at least one parameter map to obtain at least one updated representation of the at least one contributor.
    • 61. The method of clause 60, wherein the updated representation of the at least one contributor is used as an input for controlling a processing apparatus such as an etch tool, CMP tool, deposition tool and/or a lithographic apparatus.
    • 62. The method of clause 61, wherein the controlling is achieved by generation of a suitable control recipe for said processing apparatus.
    • 63. The method of clause 62, wherein the control recipe is suitable for a lithographic apparatus and comprises a Control Per Exposure (CPE) instruction and/or sub-recipe containing information for individual control of exposure fields provided to a substrate using the lithographic apparatus.
    • 64. The method of any of clauses 60 to 63, wherein the modelling uses a global model configured to describe lower order behavior of a processing variable across a substrate.
  • Modifications and alternative embodiments of various aspects of the invention will be apparent to those skilled in the art in view of this description. Accordingly, this description and the drawings are to be construed as illustrative only and are for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It is to be understood that the forms of the invention shown and described herein are to be taken as examples of embodiments. Elements and materials may be substituted for those illustrated and described herein, parts and processes may be reversed, change in order or omitted, certain features may be utilized independently, and embodiments or features of embodiments may be combined, all as would be apparent to one skilled in the art after having the benefit of this description of the invention. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as described in the following claims. Headings used herein are for organizational purposes only and are not meant to be used to limit the scope of the description.
  • As used throughout this application, the word “may” is used in a permissive sense (i.e., meaning having the potential to), rather than the mandatory sense (i.e., meaning must). The words “include”, “including”, and “includes” and the like mean including, but not limited to. As used throughout this application, the singular forms “a,” “an,” and “the” include plural referents unless the content explicitly indicates otherwise. Thus, for example, reference to “an” element or “a” element includes a combination of two or more elements, notwithstanding use of other terms and phrases for one or more elements, such as “one or more.” The term “or” is, unless indicated otherwise, non-exclusive, i.e., encompassing both “and” and “or.” Terms describing conditional relationships, e.g., “in response to X, Y,” “upon X, Y,”, “if X, Y,” “when X, Y,” and the like, encompass causal relationships in which the antecedent is a necessary causal condition, the antecedent is a sufficient causal condition, or the antecedent is a contributory causal condition of the consequent, e.g., “state X occurs upon condition Y obtaining” is generic to “X occurs solely upon Y” and “X occurs upon Y and Z.” Such conditional relationships are not limited to consequences that instantly follow the antecedent obtaining, as some consequences may be delayed, and in conditional statements, antecedents are connected to their consequents, e.g., the antecedent is relevant to the likelihood of the consequent occurring. Statements in which a plurality of attributes or functions are mapped to a plurality of objects (e.g., one or more processors performing steps A, B, C, and D) encompasses both all such attributes or functions being mapped to all such objects and subsets of the attributes or functions being mapped to subsets of the attributes or functions (e.g., both all processors each performing steps A-D, and a case in which processor 1 performs step A, processor 2 performs step B and part of step C, and processor 3 performs part of step C and step D), unless otherwise indicated. Further, unless otherwise indicated, statements that one value or action is “based on” another condition or value encompass both instances in which the condition or value is the sole factor and instances in which the condition or value is one factor among a plurality of factors. Unless otherwise indicated, statements that “each” instance of some collection have some property should not be read to exclude cases where some otherwise identical or similar members of a larger collection do not have the property, i.e., each does not necessarily mean each and every.
  • To the extent certain U.S. patents, U.S. patent applications, or other materials (e.g., articles) have been incorporated by reference, the text of such U.S. patents, U.S. patent applications, and other materials is only incorporated by reference to the extent that no conflict exists between such material and the statements and drawings set forth herein. In the event of such conflict, any such conflicting text in such incorporated by reference U.S. patents, U.S. patent applications, and other materials is specifically not incorporated by reference herein.
  • While specific embodiments of the disclosure have been described above, it will be appreciated that the embodiments may be practiced otherwise than as described.

Claims (21)

1.-15. (canceled)
16. A computer program product comprising a non-transitory computer-readable medium having instructions therein, the instructions, when executed by a computer system, configured to cause the computer system to at least:
obtain a plurality of qualities associated with a parameter of a device manufacturing process;
filter the plurality of qualities to obtain a plurality of contributors to the parameter associated with one or more apparatuses used in the device manufacturing process; and
map the plurality of contributors to a performance parameter associated with one or more substrates subject to the device manufacturing process.
17. The computer program product of claim 16, wherein the plurality of qualities comprise metrology data or are derived from metrology data.
18. The computer program product of claim 17, wherein the instructions configured to cause the computer system to filter the plurality of qualities are configured to cause the computer system to remove non-systematic contributors to the metrology data.
19. The computer program product of claim 18, wherein each contributor out of the plurality of contributors is a systematic contributor to the metrology data.
20. The computer program product of claim 16, wherein each quality out of the plurality of qualities relates to an individual contributor to the parameter.
21. The computer program product of claim 16, wherein the performance parameter is the same as the parameter of the device manufacturing process.
22. The computer program product of claim 16, wherein the instructions configured to cause the computer system to filter the plurality of qualities are further configured to cause the computer system to filter the plurality of qualities based on prior contributor information or prior qualities associated with one or more prior substrates processed by the device manufacturing process.
23. The computer program product of claim 16, wherein the performance parameter is selected from: overlay, critical dimension (CD), edge placement error (EPE), focus, and/or dose.
24. The computer program product of claim 16, wherein the instructions configured to cause the computer system to map the plurality of contributors to the performance parameter are further configured to cause the computer system to weight each contributor out of the plurality of contributors based on its degree of being systematic.
25. The computer program product of claim 16, wherein the instructions are further configured to cause the computer system to determine a control metric based on the mapping of the contributors to the performance parameter, wherein the control metric is configured to be used in a control loop of the device manufacturing process.
26. The computer program product of claim 16, wherein the instructions configured to cause the computer system to filter the plurality of qualities are configured to cause the computer system to filter the plurality of qualities based on a correction potential of an apparatus used in the device manufacturing process.
27. The computer program product of claim 16, wherein the plurality of qualities is a plurality of parameter maps, each parameter map of the plurality of parameter maps being generated from metrology data and from data of the one or more apparatuses used in the device manufacturing process.
28. The computer program product of claim 27, wherein the parameter maps each relate to an individual contributor to the device manufacturing process, and the instructions are further configured to cause the computer system to determine a consistency characteristic for each parameter map based on a comparison of parameter maps relating to different layers and/or substrates processed during the device manufacturing process.
29. The computer program product of claim 28, wherein the instructions are further configured to cause the computer system to determine whether a contributor is systematic based on the consistency characteristic.
30. The computer program product of claim 16, wherein the plurality of qualities is one or more corrections corresponding to a plurality of parameter maps of the device manufacturing process for a lot of substrates processed during the device manufacturing process, each parameter map of the plurality of parameter maps being generated from metrology data and from data of the one or more apparatuses used in the device manufacturing process.
31. A method comprising:
obtaining a plurality of qualities associated with a parameter of a device manufacturing process;
filtering, by a hardware computer system, the plurality of qualities to obtain a plurality of contributors to the parameter associated with one or more apparatuses used in the device manufacturing process; and
mapping, by the hardware computer system, the plurality of contributors to a performance parameter associated with one or more substrates subject to the device manufacturing process.
32. The method of claim 31, wherein the plurality of qualities comprise metrology data or are derived from metrology data and the instructions configured to cause the computer system to filter the plurality of qualities are configured to cause the computer system to remove non-systematic contributors to the metrology data.
33. The method of claim 31, wherein each quality out of the plurality of qualities relates to an individual contributor to the parameter.
34. The method of claim 31, wherein the performance parameter is the same as the parameter of the device manufacturing process.
35. The method of claim 31, wherein the filtering of the plurality of qualities is based on prior contributor information or prior qualities associated with one or more prior substrates processed by the device manufacturing process.
US17/874,582 2017-12-19 2022-07-27 Computational metrology based correction and control Pending US20220365446A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/874,582 US20220365446A1 (en) 2017-12-19 2022-07-27 Computational metrology based correction and control

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762607777P 2017-12-19 2017-12-19
EP18200014 2018-10-12
EP18200014.1 2018-10-12
PCT/EP2018/081872 WO2019120826A1 (en) 2017-12-19 2018-11-20 Computational metrology based correction and control
US202016954384A 2020-06-16 2020-06-16
US17/874,582 US20220365446A1 (en) 2017-12-19 2022-07-27 Computational metrology based correction and control

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
US16/954,384 Continuation US11448973B2 (en) 2017-12-19 2018-11-20 Computational metrology based correction and control
PCT/EP2018/081872 Continuation WO2019120826A1 (en) 2017-12-19 2018-11-20 Computational metrology based correction and control

Publications (1)

Publication Number Publication Date
US20220365446A1 true US20220365446A1 (en) 2022-11-17

Family

ID=64453485

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/954,384 Active 2039-03-02 US11448973B2 (en) 2017-12-19 2018-11-20 Computational metrology based correction and control
US17/874,582 Pending US20220365446A1 (en) 2017-12-19 2022-07-27 Computational metrology based correction and control

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US16/954,384 Active 2039-03-02 US11448973B2 (en) 2017-12-19 2018-11-20 Computational metrology based correction and control

Country Status (7)

Country Link
US (2) US11448973B2 (en)
EP (1) EP3729197A1 (en)
JP (2) JP2021508078A (en)
KR (2) KR20230048170A (en)
CN (2) CN115294068A (en)
TW (4) TWI710863B (en)
WO (1) WO2019120826A1 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3364247A1 (en) * 2017-02-17 2018-08-22 ASML Netherlands B.V. Methods & apparatus for monitoring a lithographic manufacturing process
WO2019121491A1 (en) * 2017-12-22 2019-06-27 Asml Netherlands B.V. Patterning process improvement involving optical aberration
CN114207527A (en) * 2019-08-20 2022-03-18 Asml荷兰有限公司 Method for controlling semiconductor manufacturing process
WO2021225587A1 (en) * 2020-05-06 2021-11-11 Kla Corporation Inter-step feedforward process control in the manufacture of semiconductor devices
CN115552334A (en) * 2020-05-08 2022-12-30 Asml荷兰有限公司 Method and apparatus for diagnosing unobserved operating parameters
KR20220099005A (en) * 2021-01-05 2022-07-12 삼성전자주식회사 Semiconductor device manufacturing method using thereof
KR20240035804A (en) * 2021-07-20 2024-03-18 에이에스엠엘 네델란즈 비.브이. Data mapping methods and programs for low-dimensional data analysis
EP4134745A1 (en) * 2021-08-12 2023-02-15 ASML Netherlands B.V. A method for modeling measurement data over a substrate area and associated apparatuses
EP4231096A1 (en) * 2022-02-21 2023-08-23 ASML Netherlands B.V. Methods of metrology
WO2023156143A1 (en) * 2022-02-21 2023-08-24 Asml Netherlands B.V. Methods of metrology
TWI827023B (en) * 2022-04-27 2023-12-21 華邦電子股份有限公司 Semiconductor manufacturing apparatus and semiconductor manufacturing method thereof

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6470230B1 (en) * 2000-01-04 2002-10-22 Advanced Micro Devices, Inc. Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
DE60319462T2 (en) 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographic apparatus and method for making an article
EP1496397A1 (en) * 2003-07-11 2005-01-12 ASML Netherlands B.V. Method and system for feedforward overlay correction of pattern induced distortion and displacement, and lithographic projection apparatus using such a method and system
CN1947062A (en) * 2004-02-23 2007-04-11 Asml荷兰有限公司 Method to determine the value of process parameters based on scatterometry data
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7649614B2 (en) 2005-06-10 2010-01-19 Asml Netherlands B.V. Method of characterization, method of characterizing a process operation, and device manufacturing method
EP1744217B1 (en) * 2005-07-12 2012-03-14 ASML Netherlands B.V. Method of selecting a grid model for correcting grid deformations in a lithographic apparatus and lithographic assembly using the same
JP2007027219A (en) 2005-07-13 2007-02-01 Nikon Corp Optimizing method and display method
US7587704B2 (en) 2005-09-09 2009-09-08 Brion Technologies, Inc. System and method for mask verification using an individual mask error model
JP5194800B2 (en) 2006-01-26 2013-05-08 株式会社ニコン Overlay management method and apparatus, processing apparatus, measurement apparatus and exposure apparatus, device manufacturing system and device manufacturing method, program, and information recording medium
SG169372A1 (en) * 2006-02-01 2011-03-30 Applied Materials Israel Ltd Il Method and system for evaluating a variation in a parameter of a pattern
JP2007318036A (en) 2006-05-29 2007-12-06 Toshiba Corp System for controlling semiconductor manufacturing equipment, method for extracting abnormal factor of semiconductor manufacturing equipment, and its control method
DE102007038702A1 (en) 2006-08-31 2008-07-31 Advanced Micro Devices, Inc., Sunnyvale Method and system for reducing overlay errors in exposure fields using APC control strategies
NL1036189A1 (en) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
NL1036245A1 (en) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method or diffraction based overlay metrology.
NL1036597A1 (en) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
JP5237690B2 (en) 2008-05-16 2013-07-17 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
WO2011012624A1 (en) 2009-07-31 2011-02-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system, and lithographic processing cell
SG178368A1 (en) 2009-08-24 2012-04-27 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
EP2392970A3 (en) 2010-02-19 2017-08-23 ASML Netherlands BV Method and apparatus for controlling a lithographic apparatus
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
NL2008702A (en) 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
US10295993B2 (en) 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
US9053284B2 (en) * 2013-09-04 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for overlay control
NL2013745A (en) 2013-12-05 2015-06-08 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, models for error correction, computer program products for implementing such methods & apparatus.
CN109283800B (en) * 2014-02-12 2021-01-01 Asml荷兰有限公司 Optimization method of process window
KR102028712B1 (en) * 2015-04-10 2019-10-04 에이에스엠엘 네델란즈 비.브이. Method and apparatus for inspection and measurement
KR20180115299A (en) * 2016-02-22 2018-10-22 에이에스엠엘 네델란즈 비.브이. Separation of contributions to measurement data
KR102162174B1 (en) 2016-03-11 2020-10-07 에이에스엠엘 네델란즈 비.브이. Method of calculating corrections to control manufacturing process, measurement apparatus, device manufacturing method and modeling method
WO2018153711A1 (en) 2017-02-22 2018-08-30 Asml Netherlands B.V. Computational metrology

Also Published As

Publication number Publication date
CN111512235B (en) 2022-08-05
KR20200086366A (en) 2020-07-16
TWI756889B (en) 2022-03-01
US20210080837A1 (en) 2021-03-18
JP7443431B2 (en) 2024-03-05
TW201937301A (en) 2019-09-16
WO2019120826A1 (en) 2019-06-27
JP2021508078A (en) 2021-02-25
TW202219498A (en) 2022-05-16
EP3729197A1 (en) 2020-10-28
TWI785884B (en) 2022-12-01
KR20230048170A (en) 2023-04-10
US11448973B2 (en) 2022-09-20
TWI710863B (en) 2020-11-21
TW202121067A (en) 2021-06-01
TW202311852A (en) 2023-03-16
TWI821031B (en) 2023-11-01
CN115294068A (en) 2022-11-04
JP2022140566A (en) 2022-09-26
KR102517966B1 (en) 2023-04-03
CN111512235A (en) 2020-08-07

Similar Documents

Publication Publication Date Title
US20220365446A1 (en) Computational metrology based correction and control
US20210349395A1 (en) Computational metrology
US20230221654A1 (en) Computational metrology based sampling scheme
US11143971B2 (en) Control based on probability density function of parameter
US11287748B2 (en) Guided patterning device inspection
EP3441819A1 (en) Computational metrology

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED