US20210305205A1 - Edge-trimming methods for wafer bonding and dicing - Google Patents

Edge-trimming methods for wafer bonding and dicing Download PDF

Info

Publication number
US20210305205A1
US20210305205A1 US16/835,578 US202016835578A US2021305205A1 US 20210305205 A1 US20210305205 A1 US 20210305205A1 US 202016835578 A US202016835578 A US 202016835578A US 2021305205 A1 US2021305205 A1 US 2021305205A1
Authority
US
United States
Prior art keywords
wafer
edge
trimming
bonding
peripheral region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US16/835,578
Other versions
US11482506B2 (en
Inventor
Feng-Chien Hsieh
Hsin-Chi Chen
Kuo-Cheng Lee
Mu-Han Cheng
Yun-Wei Cheng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/835,578 priority Critical patent/US11482506B2/en
Priority to DE102020110287.9A priority patent/DE102020110287A1/en
Priority to KR1020200083612A priority patent/KR102445596B1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHENG, MU-HAN, CHEN, HSIN-CHI, CHENG, Yun-wei, HSIEH, FENG-CHIEN, LEE, KUO-CHENG
Priority to TW110104560A priority patent/TWI761071B/en
Priority to CN202110172516.4A priority patent/CN113471082A/en
Publication of US20210305205A1 publication Critical patent/US20210305205A1/en
Priority to US17/853,803 priority patent/US20220336411A1/en
Publication of US11482506B2 publication Critical patent/US11482506B2/en
Application granted granted Critical
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/185Joining of semiconductor bodies for junction formation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80009Pre-treatment of the bonding area
    • H01L2224/8003Reshaping the bonding area in the bonding apparatus, e.g. flattening the bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer

Definitions

  • Wafer thinning is used in conjunction with wafer bonding to provide a semiconductor chip including a vertical stack of at least two semiconductor dies.
  • a first wafer including first semiconductor devices may be bonded to a second wafer including second semiconductor devices.
  • One of the two bonded wafers may be thinned after bonding. Bonded and thinned semiconductor wafers may be subsequently diced to form multiple semiconductor chips, which may have higher density, multiple functions, and/or faster operational speed provided through vertical bonding of at least two semiconductor dies.
  • Edge regions of a wafer that do not include bonded portions of the semiconductor dies may be edge-trimmed during a wafer thinning process to prevent the bonded wafer assembly from peeling.
  • Prior art methods for edge-trimming typically use multiple edge-trimming processes after grinding the backside of one of the two wafers in a bonded assembly.
  • the multiple edge-trimming processes use sequentially decreasing edge offset to minimize wafer chipping.
  • Such wafer edge-trimming methods may induce more sources for film peeling, and have additional issues such as a short trim lifetime, long edge-trimming process duration, high processing cost, and high demand on edge-trimming tool capacity.
  • FIG. 1A is a vertical cross-sectional view of a first wafer according to a first embodiment of the present disclosure.
  • FIG. 1B is a top-down view of the first wafer of FIG. 1A .
  • FIG. 1C is a magnified vertical cross-sectional view of region C of FIG. 1A .
  • FIG. 2A is a vertical cross-sectional view of the first wafer after a first edge-trimming process according to the first embodiment of the present disclosure.
  • FIG. 2B is a top-down view of the first wafer of FIG. 2A .
  • FIG. 3A is a vertical cross-sectional view of a second wafer according to the first embodiment of the present disclosure.
  • FIG. 3B is a top-down view of the first wafer of FIG. 3A .
  • FIG. 4A is a vertical cross-sectional view of a first exemplary structure after bonding the first wafer to the second wafer according to the first embodiment of the present disclosure.
  • FIG. 4B is a top-down view of the first exemplary structure of FIG. 4A .
  • FIG. 5A is a vertical cross-sectional view of the first exemplary structure after a first thinning process that grinds the backside of the first wafer according to the first embodiment of the present disclosure.
  • FIG. 5B is a top-down view of the first exemplary structure of FIG. 5A .
  • FIG. 6A is a vertical cross-sectional view of the first exemplary structure after a second thinning process that thins the first wafer according to the first embodiment of the present disclosure.
  • FIG. 6B is a top-down view of the first exemplary structure of FIG. 5A .
  • FIG. 7A is a vertical cross-sectional view of the first exemplary structure after performing a terminal edge-trimming process according to the first embodiment of the present disclosure.
  • FIG. 7B is a top-down view of the first exemplary structure of FIG. 7A .
  • FIG. 7C is a magnified vertical cross-sectional view of a peripheral region of the first exemplary structure of FIGS. 7A and 7B .
  • FIG. 8 is a magnified vertical cross-sectional view of the first exemplary structure after formation of through-substrate via cavities according to the first embodiment of the present disclosure.
  • FIG. 9 is a magnified vertical cross-sectional view of the first exemplary structure after formation of through-substrate via structures and backside bonding pads according to the first embodiment of the present disclosure.
  • FIG. 10A is a vertical cross-sectional view of the first exemplary structure at the processing step of die singulation according to the first embodiment of the present disclosure.
  • FIG. 10B is a top-down view of the first exemplary structure of FIG. 10A .
  • FIG. 11A is a vertical cross-sectional view of a second wafer after a second edge-trimming process according to a second embodiment of the present disclosure.
  • FIG. 11B is a top-down view of the first wafer of FIG. 11A .
  • FIG. 12A is a vertical cross-sectional view of a second exemplary structure after bonding the first wafer to the second wafer according to the second embodiment of the present disclosure.
  • FIG. 12B is a top-down view of the second exemplary structure of FIG. 12A .
  • FIG. 13A is a vertical cross-sectional view of the second exemplary structure after a first thinning process that grinds the backside of the first wafer according to the second embodiment of the present disclosure.
  • FIG. 13B is a top-down view of the second exemplary structure of FIG. 13A .
  • FIG. 14A is a vertical cross-sectional view of the second exemplary structure after a second thinning process that thins the first wafer according to the second embodiment of the present disclosure.
  • FIG. 14B is a top-down view of the second exemplary structure of FIG. 14A .
  • FIG. 15A is a vertical cross-sectional view of the second exemplary structure after performing a terminal edge-trimming process according to the second embodiment of the present disclosure.
  • FIG. 15B is a top-down view of the second exemplary structure of FIG. 15A .
  • FIG. 16 is a first flowchart illustrating steps for forming an exemplary structure according to an embodiment of the present disclosure.
  • FIG. 17 is a second flowchart illustrating steps for forming an exemplary structure according to an embodiment of the present disclosure.
  • FIG. 18 is a third flowchart illustrating steps for forming an exemplary structure according to an embodiment of the present disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • the present disclosure is directed to generally to semiconductor devices, and specifically to a method of edge-trimming wafers during a wafer bonding and dicing process.
  • the methods of the present disclosure minimize the volume of edge-trimmed portions of semiconductor substrates during a wafer bonding process.
  • a front-side peripheral portion of a first semiconductor substrate may be edge-trimmed in a pre-bonding edge-trimming process without edge-trimming a backside peripheral portion of the first semiconductor substrate.
  • the depth of edge-trimming into the first semiconductor substrate may be significantly less, such as less than 50 microns.
  • the volume of a peripheral portion of the first semiconductor substrate that may be removed during the pre-bonding edge-trimming process of the present disclosure may be significantly decreased.
  • the methods of the present disclosure may reduce the amount of trimmed material portions of a wafer. Such reduction in the amount of trimmed material portions reduces the processing time and the processing cost for the wafer edge-trimming processes used during a bonding process, and increases the lifetime of a edge-trimming tool.
  • peripheral portions of material layers located on bonding sides of the wafers may be effectively removed prior to bonding a pair of wafers.
  • a second semiconductor substrate to be bonded to the first semiconductor substrate may be optionally edge-trimmed prior to bonding with the first semiconductor substrate.
  • Material portions located at peripheral portions of the semiconductor wafers and having weaker adhesion to the semiconductor substrates may be removed prior to bonding during the pre-bonding edge-trimming processes.
  • the pre-bonding edge-trimming processes may reduce flaking or peeling of material portions during, or after, the wafer bonding process.
  • the first wafer 100 includes a first semiconductor substrate 108 , which may comprise a commercially available semiconductor substrate such as a single crystalline silicon substrate having a diameter of 200 mm, 300 mm, or 450 mm and having a thickness in a range from 600 microns to 1 mm, although lesser and greater thicknesses may also be used.
  • the first semiconductor substrate 108 may have a planar front surface and a planar backside surface that are parallel to each other.
  • the edge of the first semiconductor substrate 108 may have edge rounding.
  • a convex surface that changes the direction by 180 degrees in a vertical cross-sectional view may extend around the entire periphery of the first semiconductor substrate 108 .
  • the convex surface may connect the planar front surface and the planar backside surface of first semiconductor substrate 108 so that the first semiconductor substrate 108 is devoid of any sharp edge.
  • the first semiconductor substrate 108 may include a respective commercially available single crystalline silicon substrate having a same diameter.
  • the first wafer 100 may be provided by forming semiconductor devices on the first semiconductor substrate 108 and by forming first interconnect-level structures (L 0 -L 6 ) and a first pad-level structure LP.
  • the semiconductor devices formed on the first semiconductor substrate 108 are herein referred to as first semiconductor devices.
  • the first interconnect-level structures (L 0 -L 6 ) may be formed on the first semiconductor substrate 108 , and are incorporated into the first wafer 100 .
  • An embodiment is described with first interconnect-level structures (L 0 -L 6 ). However, one of skill in the art would understand that greater or lesser interconnect-level structures are within the contemplated scope of disclosure.
  • the first interconnect-level structures (L 0 -L 6 ) and the first pad-level structure LP may be laterally offset from the outermost periphery of the first wafer 100 by a lateral offset distance, which may be in a range from 0.2 mm to 1 mm, although lesser and greater distances may also be used.
  • the lateral offset between the first interconnect-level structures (L 0 -L 6 ) and the outermost periphery of the first wafer 100 is referred to as an edge exclusion distance.
  • the first interconnect-level structures (L 0 -L 6 ) include dielectric material layers, which are herein referred to as first interconnect-level dielectric layers.
  • the first interconnect-level dielectric layers having formed therein metal interconnect structures, which are herein referred to as first metal interconnect structures.
  • the first wafer 100 comprises first metal interconnect structures formed within the first interconnect-level dielectric layers.
  • the first pad-level structure LP includes a pad-level dielectric layer and bonding pads formed within the first pad-level dielectric layer.
  • the first pad-level structure LP may be formed on top of the first-wafer interconnect-level structures (L 0 -L 6 ).
  • FIG. 1C illustrates semiconductor devices 330 and interconnect-level structures (L 0 -L 6 ) that may be formed on the first semiconductor substrate 108 .
  • the first semiconductor substrate 108 may include a bulk semiconductor substrate such as a silicon substrate in which the semiconductor material layer continuously extends from a top surface of the first semiconductor substrate 108 to a bottom surface of the first semiconductor substrate 108 , or a semiconductor-on-insulator layer including a semiconductor material layer as a top semiconductor layer overlying a buried insulator layer (such as a silicon oxide layer) and a handle semiconductor substrate.
  • Semiconductor devices 330 such as field effect transistors may be formed on, and/or in, the first semiconductor substrate 108 .
  • shallow trench isolation structures 12 may be formed in an upper portion of the first semiconductor substrate 108 by forming shallow trenches and subsequently filling the shallow trenches with a dielectric material such as silicon oxide.
  • Various doped wells may be formed in various regions of the upper portion of the first semiconductor substrate 108 by performing masked ion implantation processes.
  • Gate structures 20 may be formed over the top surface of the first semiconductor substrate 108 by depositing and patterning a gate dielectric layer, a gate electrode layer, and a gate cap dielectric layer.
  • Each gate structure 20 may include a vertical stack of a gate dielectric 22 , a gate electrode 24 , and a dielectric gate cap 28 , which is herein referred to as a gate stack ( 22 , 24 , 28 ).
  • Ion implantation processes may be performed to form extension implant regions, which may include source extension regions and drain extension regions.
  • Dielectric gate spacers 26 may be formed around the gate stacks ( 22 , 24 , 28 ). Each assembly of a gate stack ( 22 , 24 , 28 ) and a dielectric gate spacer 26 constitutes a gate structure 20 .
  • Additional ion implantation processes may be performed using the gate structures 20 as self-aligned implantation masks to form deep active regions, which may include deep source regions and deep drain regions. Upper portions of the deep active regions may overlap with portions of the extension implantation regions.
  • Each combination of an extension implantation region and a deep active region constitutes an active region 14 , which may be a source region or a drain region depending on electrical biasing.
  • a semiconductor channel 15 may be formed underneath each gate stack ( 22 , 24 , 28 ) between a neighboring pair of active regions 14 .
  • Metal-semiconductor alloy regions 18 may be formed on the top surface of each active region 14 .
  • Field effect transistors may be formed on the first semiconductor substrate 108 .
  • Each field effect transistor may include a gate structure 20 , a semiconductor channel 15 , a pair of active regions 14 (one of which functions as a source region and another of which functions as a drain region), and optional metal-semiconductor alloy regions 18 .
  • a complementary metal-oxide-semiconductor (CMOS) circuit may be provided on the first semiconductor substrate 108 , which may include a periphery circuit for the array(s) of resistive memory elements to be subsequently formed.
  • CMOS complementary metal-oxide-semiconductor
  • CMOS complementary metal-oxide-semiconductor
  • the interconnect-level structures may include a contact-level structure L 0 , a first interconnect-level structure L 1 , a second interconnect-level structure L 2 , a third interconnect-level structure L 3 , a fourth interconnect-level structure L 4 , a fifth interconnect-level structure L 5 , and a sixth interconnect-level structure L 6 .
  • the contact-level structure L 0 may include a planarization dielectric layer 31 A including a planarizable dielectric material such as silicon oxide and various contact via structures 41 V contacting a respective one of the active regions 14 or the gate electrodes 24 and formed within the planarization dielectric layer 31 A.
  • the first interconnect-level structure L 1 may include a first interconnect-level dielectric layer 31 B and first metal lines 41 L formed within the first interconnect-level dielectric layer 31 B.
  • the first interconnect-level dielectric layer 31 B is also referred to as a first line-level dielectric layer.
  • the first metal lines 41 L may contact a respective one of the contact via structures 41 V.
  • the second interconnect-level structure L 2 may include a second interconnect-level dielectric layer 32 .
  • the second interconnect-level dielectric layer 32 may include a stack of a first via-level dielectric material layer and a second line-level dielectric material layer or a line-and-via-level dielectric material layer.
  • the second interconnect-level dielectric layer 32 having formed therein second interconnect-level metal interconnect structures ( 42 V, 42 L), which may include first metal via structures 42 V and second metal lines 42 L. Top surfaces of the second metal lines 42 L may be coplanar with the top surface of the second interconnect-level dielectric layer 32 .
  • the third interconnect-level metal interconnect structures ( 43 V, 43 L) may include second metal via structures 43 V and third metal lines 43 L formed within third interconnect-level dielectric layer 33 .
  • Additional interconnect-level structures (L 4 , L 5 , L 6 ) may be subsequently formed.
  • the additional interconnect-level structures (L 4 , L 5 , L 6 ) may include a fourth interconnect-level structure L 4 , a fifth interconnect-level structure L 5 , and a sixth interconnect-level structure L 6 .
  • the fourth interconnect-level structure L 4 may include a fourth interconnect-level dielectric layer 34 having formed therein fourth interconnect-level metal interconnect structures ( 44 V, 44 L), which may include third metal via structures 44 V and fourth metal lines 44 L.
  • the fifth interconnect-level structure L 5 may include a fifth interconnect-level dielectric layer 35 having formed therein fifth interconnect-level metal interconnect structures ( 45 V, 45 L), which may include fourth metal via structures 45 V and fifth metal lines 45 L.
  • the sixth interconnect-level structure L 6 may include a sixth interconnect-level dielectric layer 36 having formed therein sixth interconnect-level metal interconnect structures ( 46 V, 46 L), which may include fifth metal via structures 46 V and sixth metal lines 46 L.
  • a pad-level structure LP which is herein referred to as a second pad-level structure, may be formed above the interconnect-level structures (L 0 -L 6 ).
  • the pad-level structure LP may include a pad-level dielectric layer 37 having formed therein terminal metal via structures 47 V and metal bonding pads 47 B.
  • the metal bonding pads 47 B may be configured for metal-to-metal bonding (such as copper-to-copper bonding).
  • Each interconnect-level dielectric layer may be referred to as an interconnect-level dielectric (ILD) layer 30 .
  • Each interconnect-level metal interconnect structures may be referred to as a metal interconnect structure 40 .
  • Each combination of a metal via structure and an overlying metal line located within a same interconnect-level structure (L 1 -L 6 ) may be formed sequentially as two distinct structures by using two single damascene processes, or may be simultaneously formed as a unitary structure using a dual damascene process.
  • Each of the metal interconnect structure 40 may include a respective metallic liner (such as a layer of TiN, TaN, or WN having a thickness in a range from 2 nm to 20 nm) and a respective metallic fill material (such as W, Cu, Co, Mo, Ru, other elemental metals, or an alloy or a combination thereof).
  • a respective metallic liner such as a layer of TiN, TaN, or WN having a thickness in a range from 2 nm to 20 nm
  • a respective metallic fill material such as W, Cu, Co, Mo, Ru, other elemental metals, or an alloy or a combination thereof.
  • Various etch stop dielectric layers and dielectric capping layers may be inserted between vertically neighboring pairs of ILD layers 30 , or may be incorporated into one or more of the ILD layers 30 .
  • the present disclosure is described using an embodiment in which a set of seven interconnect-level structures (L 0 -L 6 ) are formed, embodiments are expressly contemplated herein in which a different number of interconnect-level structures is used in the first wafer 100 .
  • the number of interconnect-level structures (L 0 -L 6 ) within the first wafer 100 may be in a range from 1 to 20, such as from 2 to 10, although lesser and greater number of interconnect level structures may also be used.
  • the first wafer 100 may comprise a first two-dimensional array of first semiconductor dies.
  • the first semiconductor dies may be arranged as a periodic two-dimensional array, and may be laterally spaced from one another by dicing channels.
  • a first pre-bonding edge-trimming process may be performed to trim a front-side peripheral region of the first wafer 100 .
  • An edge-trimming process generally refers to a process in which an edge portion of a substrate is removed along the entire circumference of the substrate.
  • the first pre-bonding edge-trimming process may remove an annular peripheral portion of the first wafer 100 that is located within a first edge-trimming width tw 1 from the outermost periphery of the first wafer 100 , and is located within a first edge-trimming depth td 1 from a horizontal plane including the top surface of the first wafer 100 .
  • a commercial wafer edge-trimming tool may be used to perform the first pre-bonding edge-trimming process.
  • the first pre-bonding edge-trimming process forms an annular horizontal edge-trimming surface within a horizontal plane located at the first edge-trimming depth td 1 from the front surface of the first wafer 100 . Further, the first pre-bonding edge-trimming process forms a first cylindrical sidewall on the first wafer 100 at a location that is laterally offset from the outermost periphery of the first wafer 100 by the first edge-trimming width tw 1 .
  • the first edge-trimming width tw 1 may be in a range from 0.1 mm to 5.0 mm, although lesser and greater first edge-trimming widths may also be used.
  • the first edge-trimming width tw 1 may be greater than the edge exclusion distance, and is less than a terminal edge-trimming width of a post-bonding edge-trimming process to be used.
  • the first edge-trimming depth td 1 may be in a range from 10 microns to 50 microns, although lesser and greater first edge-trimming depths may also be used.
  • the first edge-trimming depth td 1 is greater than a thickness to which the first wafer 100 is thinned during a post-bonding thinning process, and is less than 50%, such as less than 15%, of the thickness of the first wafer 100 .
  • the second wafer 200 includes a second semiconductor substrate 208 , which may comprise a commercially available semiconductor substrate such as a single crystalline silicon substrate having a diameter of 200 mm, 300 mm, or 450 mm and having a thickness in a range from 600 microns to 1 mm, although lesser and greater thicknesses may also be used.
  • the second semiconductor substrate 208 has a planar front surface and a planar backside surface that are parallel to each other. The edge of the second semiconductor substrate 208 may have edge rounding.
  • the second semiconductor substrate 208 may include a respective commercially available single crystalline silicon substrate having a same diameter.
  • the second wafer 200 may be provided by forming semiconductor devices on the second semiconductor substrate 208 and by forming second interconnect-level structures (L 0 -L 6 ) and a second pad-level structure LP.
  • the semiconductor devices formed on the second semiconductor substrate 208 are herein referred to as second semiconductor devices.
  • the second interconnect-level structures (L 0 -L 6 ) may be formed on the second semiconductor substrate 208 , and are incorporated into the second wafer 200 .
  • the second interconnect-level structures (L 0 -L 6 ) and the second pad-level structure LP may be laterally offset from the outermost periphery of the second wafer 200 by a lateral offset distance, which may be in a range from 0.2 mm to 1 mm, although lesser and greater lateral offset distance may also be used.
  • the lateral offset between the second interconnect-level structures (L 0 -L 6 ) and the outermost periphery of the second wafer 200 is an edge exclusion distance.
  • the second interconnect-level structures (L 0 -L 6 ) include dielectric material layers, which are herein referred to as second interconnect-level dielectric layers.
  • the second interconnect-level dielectric layers having formed therein metal interconnect structures, which are herein referred to as second metal interconnect structures.
  • the second wafer 200 comprises second metal interconnect structures formed within the second interconnect-level dielectric layers.
  • the second pad-level structure LP includes a pad-level dielectric layer and bonding pads formed within the second pad-level dielectric layer.
  • the second pad-level structure LP may be formed on top of the second-wafer interconnect-level structures (L 0 -L 6 ).
  • the second wafer 200 may include CMOS devices and/or any other semiconductor device (such as memory devices, radio-frequency devices, image-sensing devices, passive devices, etc.) as second semiconductor devices. While the present disclosure is described using an embodiment in which a set of seven interconnect-level structures (L 0 -L 6 ) are formed in the second wafer 200 , embodiments are expressly contemplated herein in which a different number of interconnect-level structures is used.
  • the second wafer 200 comprises a second two-dimensional array of second semiconductor dies having a same two-dimensional periodicity as the first two-dimensional array of first semiconductor dies in the first wafer 100 .
  • the first wafer 100 and the second wafer 200 may be bonded to each other by bonding a front surface of the first wafer 100 to a front surface of the second wafer 200 .
  • the second bonding pads formed within the second pad-level dielectric layer of the second wafer 200 may be bonded to the first bonding pads formed within the first pad-level dielectric layer of the first wafer 100 .
  • Metal-to-metal bonding (such as copper-to-copper bonding) may be used.
  • the assembly of the first wafer 100 and the second wafer 200 may be annealed at an elevated temperature in a range from 250 degrees Celsius to 450 degrees Celsius to induce metal-to-metal bonding between the first bonding pads and the second bonding pads.
  • the first pad-level dielectric layer and the second pad-level dielectric layer may include silicon oxide, and oxide-to-oxide bonding between the first pad-level dielectric layer and the second pad-level dielectric layer may be induced prior to metal-to-metal bonding by annealing the assembly of the first wafer 100 and the second wafer 200 at an elevated temperature in a range from 150 degrees Celsius to 350 degrees Celsius while the first metal pads contact the second metal pads and the first pad-level dielectric layer contacts the second pad-level dielectric layer.
  • the backside of the first wafer 100 may be thinned by performing at least one wafer thinning process.
  • a first wafer thinning process may be performed to thin the first wafer 100 down to a first thickness t 1 that is greater than the first edge-trimming depth td 1 .
  • the first wafer thinning process may comprise, and/or may consist of, a wafer grinding process that grinds the backside of the first wafer 100 .
  • the first thickness t 1 may be in a range from 10 microns to 60 microns, such as from 15 microns to 50 microns, although lesser and greater thickness may also be used.
  • Selecting the first thickness t 1 to be greater than the first edge-trimming depth td 1 provides the advantage of avoiding grinding of the portion of the first wafer 100 that includes the annular horizontal surface that is vertically offset from the bonding interface by the first edge-trimming depth td 1 , i.e., the annular horizontal surface that is formed by the first pre-bonding edge-trimming process. Thus, fragmentation and chipping of edge portions of the first wafer 100 from the vicinity of the annular planar surface formed during the first pre-bonding edge-trimming process may be avoided.
  • a second thinning process that further thins the first wafer 100 may be performed.
  • the second wafer thinning process thins the first wafer 100 down to a second thickness t 2 that is less than the first edge-trimming depth td 1 using an thinning process that is less prone to wafer chipping than a grinding process.
  • the second wafer thinning process may use an isotropic etch process that etches the material on the backside of the remaining portion of the first wafer 100 , and/or may use a chemical mechanical polishing (CMP) process.
  • CMP chemical mechanical polishing
  • the second wafer thinning process may include an isotropic etch process that uses an “HNA” etchant, which includes a mixture of hydrofluoric acid, nitric acid, and acetic acid.
  • the HNA etchant may include a 1:3:8 volume mixture of hydrofluoric acid, nitric acid, and acetic acid, which may provide an etch rate for single crystalline silicon in a range from 1 micron per minute to 3 micron per minute depending on the temperature of the etchant and the doping of the single crystalline silicon.
  • the second thickness t 2 may be in a range from 2 microns to 10 microns, although lesser and greater thickness may also be used.
  • the at least one wafer thinning process may be performed to remove untrimmed portions of the first wafer 100 , i.e., the portion of the first wafer 100 that is not laterally edge-trimmed during the first pre-bonding edge-trimming process.
  • the untrimmed portions of the first wafer 100 may be portions of the first wafer 100 that are vertically spaced from the horizontal plane including the bonding interface between the first wafer 100 and the second wafer 200 by a greater vertical distance than the first edge-trimming depth td 1 .
  • the at least one wafer thinning process forms a backside surface of the first semiconductor substrate 108 within a horizontal plane that may be vertically offset from the bonding interface between the first wafer 100 and the second wafer 200 by a vertical spacing that is less than the first edge-trimming depth td 1 .
  • the second thickness t 2 is less than the first edge-trimming depth td 1 .
  • the annular horizontal surface of the first wafer 100 that may be formed during the first pre-bonding edge-trimming process may be removed during the second thinning process without chipping or fragmenting the portion of the first wafer 100 that is adjoined to the annular horizontal surface.
  • the sidewalls of the first wafer 100 may be laterally offset inward from the sidewalls of the second wafer 200 that are adjoined to the horizontal plane including the bonding interface between the first wafer 100 and the second wafer 200 .
  • a terminal edge-trimming process may be performed, which is also referred to as a post-bonding edge-trimming process.
  • the post-bonding edge-trimming process edge-trims the first wafer 100 and a front-side peripheral region of the second wafer 200 .
  • Portions of the first wafer 100 and the second wafer 200 that are located within a terminal edge-trimming width twt from the outermost periphery of the bonded assembly of the first wafer 100 and the second wafer 200 (which is the outermost periphery of the second wafer 200 ) and within a terminal edge-trimming depth tdt from the horizontal plane including the backside surface of the first wafer 100 may be removed during the post-bonding edge-trimming process.
  • the post-bonding edge-trimming process forms a terminal cylindrical sidewall on the first wafer 100 and on the front-side peripheral region of the second wafer 200 at a location that is laterally offset from the outermost periphery of the second wafer 200 by the terminal edge-trimming width twt.
  • the terminal edge-trimming width twt is greater than the first edge-trimming width tw 1 .
  • the terminal edge-trimming width twt may be in a range from 1.0 mm to 10.0 mm, although lesser and greater width may also be used.
  • the post-bonding edge-trimming process may form an annular horizontal surface on the second wafer 200 .
  • the annular horizontal surface may be formed within a horizontal plane that may be vertically spaced from the horizontal plane including the bonding interface between the first wafer 100 and the second wafer 200 by a vertical spacing in a range from 5 microns 100 microns, although lesser and greater vertical spacing may also be used.
  • the terminal edge-trimming depth tdt may be a sum of the second thickness t 2 and an additional depth in a range from 10 microns to 50 microns, although lesser and greater depth may also be used.
  • the post-bonding edge-trimming process removes only thin peripheral portions of the first wafer 100 and the second wafer 200 .
  • a very smooth profile can be obtained for the trimmed sidewalls and the trimmed annular horizontal surface of the bonded assembly as schematically illustrated in the inset of FIG. 7C .
  • the timed sidewalls and the trimmed annular horizontal surface can have very small surface roughness such as root mean square surface roughness less than 10 nm, such as less than 3 nm.
  • an upper portion of an exemplary first wafer 100 is illustrated in a magnified view.
  • An encapsulation dielectric layer 130 may be subsequently formed on the backside surface of the first wafer 100 .
  • the encapsulation dielectric layer 130 may include a dielectric material that may protect the bonded assembly ( 100 , 200 ) during a subsequent singulation process in which each bonded pair of a first semiconductor die in the first wafer 100 and a second semiconductor die in the second wafer 200 is singulated into a discrete structure.
  • the encapsulation dielectric layer 130 may include silicon nitride or a high dielectric constant (high-k) dielectric material having a dielectric constant greater than 7.9 (such as aluminum oxide, hafnium oxide, tantalum oxide, titanium oxide, etc.). Other suitable materials are within the contemplated scope of disclosure.
  • the thickness of the encapsulation dielectric layer 130 may be in a range from 50 nm to 500 nm, although lesser and greater thicknesses may also be used.
  • a photoresist layer (not shown) may be applied over the horizontal portion of the encapsulation dielectric layer 130 that overlie the first semiconductor substrate 108 , and may be lithographically patterned to form openings therethrough.
  • An anisotropic etch process may be performed to etch through unmasked portions of the encapsulation dielectric layer 130 , the first semiconductor substrate 108 , and a subset of the interconnect-level dielectric layers 30 such that a horizontal surface of an underlying metallic pad structure may be physically exposed.
  • the metallic pad structures may comprise one of the metal lines of the second wafer 200 (such as the first metal lines 41 L, the second metal lines 42 L, the third metal lines 43 L, etc.).
  • Through-substrate via cavities 139 may be formed through the first semiconductor substrate 108 within each die area of the first wafer 100 .
  • a dielectric material such as silicon oxide may be conformally deposited, and may be subsequently anisotropically etched to form through-substrate insulating spacers 146 .
  • the lateral thickness of each through-substrate insulating spacer 146 may be in a range from 100 nm to 500 nm, although lesser and greater thicknesses may also be used.
  • At least one conductive material may be deposited in the through-substrate via cavities 139 and over the top surface of the encapsulation dielectric layer 130 .
  • a metallic liner material such as TiN, TaN, and/or WN
  • at least one metallic fill material such as Cu, Mo, Ru, W, Al, or a combination thereof
  • the at least one metallic fill material may fill the through-substrate via cavities 139 .
  • An underbump metallurgy (UBM) layer stack may be deposited over the at least one metallic fill material.
  • Layer stacks that may be used for the UBM layer stack include, but are not limited to, stacks of Cr/Cr—Cu/Cu/Au, Cr/Cr—Cu/Cu, TiW/Cr/Cu, Ti/Ni/Au, and Cr/Cu/Au. Other suitable materials are within the contemplated scope of disclosure.
  • the UBM layer stack and the at least one conductive material may be subsequently patterned, for example, by applying and patterning a photoresist layer and by transferring the pattern in the photoresist layer through the horizontal portions of the UBM layer stack and the at least one conductive material.
  • Each remaining portion of the at least one conductive material located within a respective one of the through-substrate via cavities 139 constitutes a through-substrate via structure 148 .
  • Each remaining portion of the at least one conductive material and the UBM layer stack that overlie the horizontal plane including the distal horizontal surface of the encapsulation dielectric layer 130 comprises a bonding pad 160 , which may be an external bonding pad on which a solder ball may be attached for wire bonding or C4 bonding.
  • the UBM layer stack may be omitted and the at least one conductive material may include copper or a copper alloy as a metallic fill material.
  • the bonding pads 160 may have a copper surface that may be subsequently used for copper-to-copper bonding with copper bonding pads formed within another wafer in a subsequent wafer-to-wafer bonding process that forms a bonded assembly of three wafers.
  • the exemplary structure includes a bonded assembly ( 100 , 200 ) comprising a first wafer 100 bonded to a second wafer 200 at a bonding interface.
  • a straight cylindrical sidewall may vertically extend from a backside surface of the first wafer 100 over a periphery of the bonding interface to a peripheral region of the second wafer 200 .
  • the first wafer 100 may comprise a first two-dimensional array of first semiconductor dies having a two-dimensional periodicity
  • the second wafer 200 comprises a second two-dimensional array of second semiconductor dies having a same two-dimensional periodicity as the first two-dimensional array of first semiconductor dies.
  • the bonded assembly of the first wafer 100 and the second wafer 200 may be diced along dicing channels into a plurality of bonded semiconductor chips.
  • the bonded assembly ( 100 , 200 ) may be diced with a dicing saw along dicing channels DC.
  • the dicing channels DC may include first dicing channels that are parallel to one another and laterally extend along a first horizontal direction, and second dicing channels that are parallel to one another and laterally extend along a second horizontal direction that is perpendicular to the first horizontal direction.
  • Each of the dicing channels DC may be located between neighboring pairs of semiconductor dies within the first wafer 100 and between neighboring pairs of semiconductor dies within the second wafer.
  • Each semiconductor chip 300 that may be singulated by the dicing process may include a first semiconductor die that is a singulated portion of the first wafer 100 and a second semiconductor die that is a singulated portion of the second wafer 200 .
  • each semiconductor chip 300 may include a stack of a first semiconductor die and a second semiconductor die that are bonded to each other through metal-to-metal bonding between first bonding pads in a first pad-level dielectric layer of the first semiconductor die and second bonding pads in a second pad-level dielectric layer of the second semiconductor die.
  • each of the bonded semiconductor chips formed by dicing of the bonded assembly may comprise a bonded pair of a respective one of the first semiconductor dies and a respective one of the second semiconductor dies.
  • Additional bonding pads 160 which may be external bonding pads including a respective UBM layer stack, may be provided on the backside of the second semiconductor die.
  • a second wafer 200 according to a second embodiment of the present disclosure is illustrated, which may be derived from the second wafer 200 of the first embodiment of FIGS. 3A and 3B by performing a second pre-bonding edge-trimming process.
  • the second pre-bonding edge-trimming process edge-trims a front-side peripheral region of the second wafer 200 .
  • the second pre-bonding edge-trimming process may remove an annular peripheral portion of the second wafer 200 that is located within a second edge-trimming width tw 2 from the outermost periphery of the second wafer 200 , and is located within a second edge-trimming depth td 2 from a horizontal plane including the top surface (i.e., a bonding-side surface) of the second wafer 200 .
  • a commercial wafer edge-trimming tool may be used to perform the second pre-bonding edge-trimming process.
  • the second pre-bonding edge-trimming process forms an annular horizontal edge-trimming surface within a horizontal plane located at the second edge-trimming depth td 2 from the front surface of the second wafer 200 .
  • the second pre-bonding edge-trimming process forms a second cylindrical sidewall on the second wafer 200 at a location that is laterally offset from the outermost periphery of the second wafer 200 by the second edge-trimming width tw 2 .
  • the second edge-trimming width tw 2 may be in a range from 0.1 mm to 5.0 mm, although lesser and greater second edge-trimming widths may also be used.
  • the second edge-trimming width tw 2 may be greater than the edge exclusion distance of the second wafer 200 , and is less than a terminal edge-trimming width of a post-bonding edge-trimming process to be used.
  • the second edge-trimming depth td 2 may be in a range from 5 microns to 20 microns, although lesser and greater second edge-trimming depths may also be used.
  • the first wafer 100 may be prepared using the same methods as in the first embodiment.
  • a first pre-bonding edge-trimming process may be performed on the first wafer 100 in the same manner as in the first embodiment.
  • the second pre-bonding edge-trimming process may be an additional pre-bonding edge-trimming process that may be performed to the second wafer 200 prior to bonding the front surface of the first wafer 100 to the front surface of the second wafer 200 .
  • the second pre-bonding edge-trimming process may form a second cylindrical sidewall on the second wafer 200 at a location that is laterally offset from an outermost periphery of the second wafer 200 by the second edge-trimming width tw 2 .
  • the first wafer 100 and the second wafer 200 may be bonded to each other by bonding a front surface of the first wafer 100 to a front surface of the second wafer 200 .
  • the second bonding pads formed within the second pad-level dielectric layer of the second wafer 200 may be bonded to the first bonding pads formed within the first pad-level dielectric layer of the first wafer 100 .
  • Metal-to-metal bonding (such as copper-to-copper bonding) may be used. The same bonding process may be used as in the first embodiment.
  • the backside of the first wafer 100 may be thinned by performing at least one wafer thinning process.
  • a first wafer thinning process may be performed to thin the first wafer 100 down to a first thickness t 1 that is greater than the first edge-trimming depth td 1 .
  • the first wafer thinning process may comprise, and/or may consist of, a wafer grinding process that grinds the backside of the first wafer 100 .
  • the first thickness t 1 may be in a range from 10 microns to 60 microns, such as from 15 microns to 50 microns.
  • Selecting the first thickness t 1 to be greater than the first edge-trimming depth td 1 provides the advantage of avoiding grinding of the portion of the first wafer 100 that includes the annular horizontal surface that is vertically offset from the bonding interface by the first edge-trimming depth td 1 , i.e., the annular horizontal surface that is formed by the first pre-bonding edge-trimming process. Thus, fragmentation and chipping of edge portions of the first wafer 100 from the vicinity of the annular planar surface formed during the first pre-bonding edge-trimming process may be avoided.
  • a second thinning process that further thins the first wafer 100 may be performed.
  • the second wafer thinning process thins the first wafer 100 down to a second thickness t 2 that is less than the first edge-trimming depth td 1 using an thinning process that is less prone to wafer chipping than a grinding process.
  • the second wafer thinning process may use an isotropic etch process that etches the material on the backside of the remaining portion of the first wafer 100 , and/or may use a chemical mechanical polishing (CMP) process.
  • the second wafer thinning process may include an isotropic etch process that uses the HNA etchant described above.
  • the second thickness t 2 may be in a range from 2 microns to 10 microns.
  • the at least one wafer thinning process may be performed to remove untrimmed portions of the first wafer 100 , i.e., the portion of the first wafer 100 that is not laterally edge-trimmed during the first pre-bonding edge-trimming process.
  • the at least one wafer thinning process forms a backside surface of the first semiconductor substrate 108 within a horizontal plane that is vertically offset from the bonding interface between the first wafer 100 and the second wafer 200 by a vertical spacing that is less than the first edge-trimming depth td 1 .
  • the annular horizontal surface of the first wafer 100 that is formed during the first pre-bonding edge-trimming process may be removed during the second thinning process without chipping or fragmenting the portion of the first wafer 100 that is adjoined to the annular horizontal surface.
  • a terminal edge-trimming process may be performed, which is also referred to as a post-bonding edge-trimming process.
  • the post-bonding edge-trimming process edge-trims the first wafer 100 and a front-side peripheral region of the second wafer 200 .
  • Portions of the first wafer 100 and the second wafer 200 that are located within a terminal edge-trimming width twt from the outermost periphery of the bonded assembly of the first wafer 100 and the second wafer 200 (which is the outermost periphery of the second wafer 200 ) and within a terminal edge-trimming depth tdt from the horizontal plane including the backside surface of the first wafer 100 are removed during the post-bonding edge-trimming process.
  • the post-bonding edge-trimming process forms a terminal cylindrical sidewall on the first wafer 100 and on the front-side peripheral region of the second 200 at a location that is laterally offset from the outermost periphery of the second wafer 200 by the terminal edge-trimming width twt.
  • the terminal edge-trimming width twt is greater than the first edge-trimming width tw 1 , and is greater than the second edge-trimming width tw 2 .
  • the terminal edge-trimming width twt may be in a range from 1.0 mm to 10.0 mm.
  • the post-bonding edge-trimming process may form an annular horizontal surface on the second wafer 200 .
  • the annular horizontal surface may be formed within a horizontal plane that is vertically spaced from the horizontal plane including the bonding interface between the first wafer 100 and the second wafer 200 by a vertical spacing that is greater than the second edge-trimming depth td 2 .
  • the annular horizontal surface formed on the second wafer 200 by the post-bonding edge-trimming process may be formed within a horizontal plane that is vertically spaced from the horizontal plane including the bonding interface between the first wafer 100 and the second wafer 200 by a vertical spacing in a range from 5 microns 100 microns.
  • the terminal edge-trimming depth tdt may be a sum of the second thickness t 2 and an additional depth in a range from 10 microns to 50 microns.
  • processing steps of FIGS. 8, 9, and 10A and 10B may be performed to dice the bonded assembly of the first wafer 100 and the second wafer 200 into a plurality of bonded semiconductor chips including a respective pair of a first semiconductor die and a second semiconductor die.
  • a first flowchart illustrates steps that may be used to form one of the exemplary structures of the present disclosure.
  • a front-side peripheral region of a first wafer 100 may be edge-trimmed by performing a pre-bonding edge-trimming process (such as the first pre-bonding edge-trimming process of FIGS. 2A and 2B ).
  • a front surface of the first wafer 100 may be bonded to a front surface of a second wafer 200 .
  • a backside of the first wafer 100 may be thinned by performing at least one wafer thinning process.
  • the first wafer 100 and a front-side peripheral region of the second wafer 200 may be edge-trimmed by performing a post-bonding edge-trimming process.
  • a second flowchart illustrates steps that may be used to form one of the exemplary structures of the present disclosure.
  • a front-side peripheral region of a first wafer 100 may be edge-trimmed by performing a first pre-bonding edge-trimming process.
  • a front-side peripheral region of a second wafer 200 may be edge-trimmed by performing a second pre-bonding edge-trimming process.
  • a bonded assembly ( 100 , 200 ) may be formed by bonding a front surface of the first wafer 100 to a front surface of the second wafer 200 .
  • untrimmed portions of the first wafer 100 may be removed by thinning a backside of the first wafer 100 in the bonded assembly ( 100 , 200 ) using at least one thinning process.
  • a backside surface of the first wafer 100 adjoins a cylindrical sidewall formed during the first pre-bonding edge-trimming process.
  • the bonded assembly ( 100 , 200 ) may be edge-trimmed by performing a post-bonding edge-trimming process that trims the first wafer 100 and the front-side peripheral region of the second wafer 200 (without edge-trimming the backside peripheral region of the second wafer 200 ).
  • a third flowchart illustrates steps that may be used to form one of the exemplary structures of the present disclosure.
  • a first wafer 100 including a first two-dimensional array of first semiconductor dies may be provided.
  • a second wafer 200 including a second two-dimensional array of second semiconductor dies having a same two-dimensional periodicity as the first two-dimensional array of first semiconductor dies may be provided.
  • a front-side peripheral region of the first wafer may be edge-trimmed by performing a pre-bonding edge-trimming process (such as the first pre-bonding edge-trimming process of FIGS. 2A and 2B ).
  • a bonded assembly ( 100 , 200 ) may be formed by bonding a front surface of the first wafer 100 to a front surface of a second wafer 200 .
  • Each of the first semiconductor dies is bonded to a respective one of the second semiconductor dies.
  • a backside of the first wafer 100 may be thinned by performing at least one wafer thinning process.
  • the first wafer 100 and a front-side peripheral region of the second wafer 200 may be edge-trimmed by performing a post-bonding edge-trimming process.
  • the bonded assembly ( 100 , 200 ) may be diced into a plurality of bonded semiconductor chips.
  • Each of the bonded semiconductor chips comprises a bonded pair of a respective one of the first semiconductor dies and a respective one of the second semiconductor dies.
  • the various structures and methods of the present disclosure may be used to provide effective edge-trimming for two wafers that are bonded to form a bonded assembly.
  • the vertical extent of a first pre-bonding edge-trimming process is limited to an upper peripheral region of a first wafer 100 , and may have a first edge-trimming depth td 1 in a range from 10 microns to 50 microns, and thus, may be less than 10% of the entire thickness of the first wafer.
  • a vertical extent of the second pre-bonding edge-trimming process is limited to an upper peripheral region of the second wafer 200 , and may have a second edge-trimming depth td 2 in a range from 5 microns to 20 microns.
  • the vertical extent of a post-bonding edge-trimming process is limited to a terminal edge-trimming depth tdt, which may be the same as the sum of the second thickness t 2 and a vertical depth in a range from 10 microns to 50 microns.
  • Each edge-trimming process may have a vertical extent that is significantly less than the thickness of a wafer prior to thinning, which may be in a range from 725 microns to 825 microns.
  • the methods of the present disclosure reduces the total processing time used for edge-trimming processes, reduces the total processing cost for edge-trimming processes, and provides high wafer processing capacity for the edge-trimming processes with a moderate number of processing tools.
  • the methods of the present disclosure effectively reduces peeling of interconnect-level structures (L 0 -L 6 ) and pad-level structures LP in the first wafer 100 and the second wafer 200 prior to, and/or after, bonding the first wafer 100 with the second wafer 200 .
  • the effectiveness of the edge-trimming may last throughout the bonding process and the dicing process. Therefore, the methods of the present disclosure provide a cost-effective and reliable edge-trimming during bonding and wafer dicing.

Abstract

A front-side peripheral region of a first wafer may be edge-trimmed by performing a first pre-bonding edge-trimming process. A second wafer to be bonded with the first wafer is provided. Optionally, a front-side peripheral region of the second wafer may be edge-trimmed by performing a second pre-bonding edge-trimming process. A front surface of the first wafer is bonded to a front surface of a second wafer to form a bonded assembly. A backside of the first wafer is thinned by performing at least one wafer thinning process. The first wafer and a front-side peripheral region of the second wafer may be edge-trimmed by performing a post-bonding edge-trimming process. The bonded assembly may be subsequently diced into bonded semiconductor chips.

Description

    BACKGROUND
  • Wafer thinning is used in conjunction with wafer bonding to provide a semiconductor chip including a vertical stack of at least two semiconductor dies. A first wafer including first semiconductor devices may be bonded to a second wafer including second semiconductor devices. One of the two bonded wafers may be thinned after bonding. Bonded and thinned semiconductor wafers may be subsequently diced to form multiple semiconductor chips, which may have higher density, multiple functions, and/or faster operational speed provided through vertical bonding of at least two semiconductor dies. Edge regions of a wafer that do not include bonded portions of the semiconductor dies may be edge-trimmed during a wafer thinning process to prevent the bonded wafer assembly from peeling. Prior art methods for edge-trimming typically use multiple edge-trimming processes after grinding the backside of one of the two wafers in a bonded assembly. The multiple edge-trimming processes use sequentially decreasing edge offset to minimize wafer chipping. Such wafer edge-trimming methods may induce more sources for film peeling, and have additional issues such as a short trim lifetime, long edge-trimming process duration, high processing cost, and high demand on edge-trimming tool capacity.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1A is a vertical cross-sectional view of a first wafer according to a first embodiment of the present disclosure.
  • FIG. 1B is a top-down view of the first wafer of FIG. 1A.
  • FIG. 1C is a magnified vertical cross-sectional view of region C of FIG. 1A.
  • FIG. 2A is a vertical cross-sectional view of the first wafer after a first edge-trimming process according to the first embodiment of the present disclosure.
  • FIG. 2B is a top-down view of the first wafer of FIG. 2A.
  • FIG. 3A is a vertical cross-sectional view of a second wafer according to the first embodiment of the present disclosure.
  • FIG. 3B is a top-down view of the first wafer of FIG. 3A.
  • FIG. 4A is a vertical cross-sectional view of a first exemplary structure after bonding the first wafer to the second wafer according to the first embodiment of the present disclosure.
  • FIG. 4B is a top-down view of the first exemplary structure of FIG. 4A.
  • FIG. 5A is a vertical cross-sectional view of the first exemplary structure after a first thinning process that grinds the backside of the first wafer according to the first embodiment of the present disclosure.
  • FIG. 5B is a top-down view of the first exemplary structure of FIG. 5A.
  • FIG. 6A is a vertical cross-sectional view of the first exemplary structure after a second thinning process that thins the first wafer according to the first embodiment of the present disclosure.
  • FIG. 6B is a top-down view of the first exemplary structure of FIG. 5A.
  • FIG. 7A is a vertical cross-sectional view of the first exemplary structure after performing a terminal edge-trimming process according to the first embodiment of the present disclosure.
  • FIG. 7B is a top-down view of the first exemplary structure of FIG. 7A.
  • FIG. 7C is a magnified vertical cross-sectional view of a peripheral region of the first exemplary structure of FIGS. 7A and 7B.
  • FIG. 8 is a magnified vertical cross-sectional view of the first exemplary structure after formation of through-substrate via cavities according to the first embodiment of the present disclosure.
  • FIG. 9 is a magnified vertical cross-sectional view of the first exemplary structure after formation of through-substrate via structures and backside bonding pads according to the first embodiment of the present disclosure.
  • FIG. 10A is a vertical cross-sectional view of the first exemplary structure at the processing step of die singulation according to the first embodiment of the present disclosure.
  • FIG. 10B is a top-down view of the first exemplary structure of FIG. 10A.
  • FIG. 11A is a vertical cross-sectional view of a second wafer after a second edge-trimming process according to a second embodiment of the present disclosure.
  • FIG. 11B is a top-down view of the first wafer of FIG. 11A.
  • FIG. 12A is a vertical cross-sectional view of a second exemplary structure after bonding the first wafer to the second wafer according to the second embodiment of the present disclosure.
  • FIG. 12B is a top-down view of the second exemplary structure of FIG. 12A.
  • FIG. 13A is a vertical cross-sectional view of the second exemplary structure after a first thinning process that grinds the backside of the first wafer according to the second embodiment of the present disclosure.
  • FIG. 13B is a top-down view of the second exemplary structure of FIG. 13A.
  • FIG. 14A is a vertical cross-sectional view of the second exemplary structure after a second thinning process that thins the first wafer according to the second embodiment of the present disclosure.
  • FIG. 14B is a top-down view of the second exemplary structure of FIG. 14A.
  • FIG. 15A is a vertical cross-sectional view of the second exemplary structure after performing a terminal edge-trimming process according to the second embodiment of the present disclosure.
  • FIG. 15B is a top-down view of the second exemplary structure of FIG. 15A.
  • FIG. 16 is a first flowchart illustrating steps for forming an exemplary structure according to an embodiment of the present disclosure.
  • FIG. 17 is a second flowchart illustrating steps for forming an exemplary structure according to an embodiment of the present disclosure.
  • FIG. 18 is a third flowchart illustrating steps for forming an exemplary structure according to an embodiment of the present disclosure.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • The present disclosure is directed to generally to semiconductor devices, and specifically to a method of edge-trimming wafers during a wafer bonding and dicing process.
  • Generally, the methods of the present disclosure minimize the volume of edge-trimmed portions of semiconductor substrates during a wafer bonding process. A front-side peripheral portion of a first semiconductor substrate may be edge-trimmed in a pre-bonding edge-trimming process without edge-trimming a backside peripheral portion of the first semiconductor substrate. Compared to a prior art method in which an entirety of a periphery of a semiconductor substrate is edge-trimmed after bonding to another substrate and prior to thinning the semiconductor substrate, the depth of edge-trimming into the first semiconductor substrate may be significantly less, such as less than 50 microns. As such, the volume of a peripheral portion of the first semiconductor substrate that may be removed during the pre-bonding edge-trimming process of the present disclosure may be significantly decreased. For example, a typical prior art edge-trimming process performed on a bonded pair of 450 mm diameter wafers with an edge-trim width of about 3 mm may have a removal volume of π×450 mm×3 mm×0.825 mm=3,500 mm3=3.5 cm3 for one of the two bonded wafers. In comparison, a pre-bonding edge-trimming process on a 450 mm diameter wafer with a edge-trimming depth of 30 microns and a edge-trimming width of 3 mm according to an illustrative example of an embodiment of the present disclosure removes only π×450 mm×3 mm×0.030 mm=127 mm3=0.127 cm3′ As such, the methods of the present disclosure may reduce the amount of trimmed material portions of a wafer. Such reduction in the amount of trimmed material portions reduces the processing time and the processing cost for the wafer edge-trimming processes used during a bonding process, and increases the lifetime of a edge-trimming tool.
  • Further, in various embodiments, peripheral portions of material layers located on bonding sides of the wafers may be effectively removed prior to bonding a pair of wafers. In one embodiment, a second semiconductor substrate to be bonded to the first semiconductor substrate may be optionally edge-trimmed prior to bonding with the first semiconductor substrate. Material portions located at peripheral portions of the semiconductor wafers and having weaker adhesion to the semiconductor substrates may be removed prior to bonding during the pre-bonding edge-trimming processes. Thus, the pre-bonding edge-trimming processes may reduce flaking or peeling of material portions during, or after, the wafer bonding process. The various features and aspects of the methods of the present disclosure are now described in detail with reference to the drawings.
  • Referring to FIGS. 1A-1C, a first wafer 100 according to an embodiment of the present disclosure is illustrated. The first wafer 100 includes a first semiconductor substrate 108, which may comprise a commercially available semiconductor substrate such as a single crystalline silicon substrate having a diameter of 200 mm, 300 mm, or 450 mm and having a thickness in a range from 600 microns to 1 mm, although lesser and greater thicknesses may also be used. The first semiconductor substrate 108 may have a planar front surface and a planar backside surface that are parallel to each other. The edge of the first semiconductor substrate 108 may have edge rounding. In other words, a convex surface that changes the direction by 180 degrees in a vertical cross-sectional view may extend around the entire periphery of the first semiconductor substrate 108. The convex surface may connect the planar front surface and the planar backside surface of first semiconductor substrate 108 so that the first semiconductor substrate 108 is devoid of any sharp edge. In one embodiment, the first semiconductor substrate 108 may include a respective commercially available single crystalline silicon substrate having a same diameter.
  • The first wafer 100 may be provided by forming semiconductor devices on the first semiconductor substrate 108 and by forming first interconnect-level structures (L0-L6) and a first pad-level structure LP. The semiconductor devices formed on the first semiconductor substrate 108 are herein referred to as first semiconductor devices. The first interconnect-level structures (L0-L6) may be formed on the first semiconductor substrate 108, and are incorporated into the first wafer 100. An embodiment is described with first interconnect-level structures (L0-L6). However, one of skill in the art would understand that greater or lesser interconnect-level structures are within the contemplated scope of disclosure. The first interconnect-level structures (L0-L6) and the first pad-level structure LP may be laterally offset from the outermost periphery of the first wafer 100 by a lateral offset distance, which may be in a range from 0.2 mm to 1 mm, although lesser and greater distances may also be used. The lateral offset between the first interconnect-level structures (L0-L6) and the outermost periphery of the first wafer 100 is referred to as an edge exclusion distance.
  • The first interconnect-level structures (L0-L6) include dielectric material layers, which are herein referred to as first interconnect-level dielectric layers. The first interconnect-level dielectric layers having formed therein metal interconnect structures, which are herein referred to as first metal interconnect structures. Thus, the first wafer 100 comprises first metal interconnect structures formed within the first interconnect-level dielectric layers. The first pad-level structure LP includes a pad-level dielectric layer and bonding pads formed within the first pad-level dielectric layer. The first pad-level structure LP may be formed on top of the first-wafer interconnect-level structures (L0-L6).
  • FIG. 1C illustrates semiconductor devices 330 and interconnect-level structures (L0-L6) that may be formed on the first semiconductor substrate 108. In an illustrative example, the first semiconductor substrate 108 may include a bulk semiconductor substrate such as a silicon substrate in which the semiconductor material layer continuously extends from a top surface of the first semiconductor substrate 108 to a bottom surface of the first semiconductor substrate 108, or a semiconductor-on-insulator layer including a semiconductor material layer as a top semiconductor layer overlying a buried insulator layer (such as a silicon oxide layer) and a handle semiconductor substrate.
  • Semiconductor devices 330 such as field effect transistors may be formed on, and/or in, the first semiconductor substrate 108. For example, shallow trench isolation structures 12 may be formed in an upper portion of the first semiconductor substrate 108 by forming shallow trenches and subsequently filling the shallow trenches with a dielectric material such as silicon oxide. Various doped wells (not expressly shown) may be formed in various regions of the upper portion of the first semiconductor substrate 108 by performing masked ion implantation processes.
  • Gate structures 20 may be formed over the top surface of the first semiconductor substrate 108 by depositing and patterning a gate dielectric layer, a gate electrode layer, and a gate cap dielectric layer. Each gate structure 20 may include a vertical stack of a gate dielectric 22, a gate electrode 24, and a dielectric gate cap 28, which is herein referred to as a gate stack (22, 24, 28). Ion implantation processes may be performed to form extension implant regions, which may include source extension regions and drain extension regions. Dielectric gate spacers 26 may be formed around the gate stacks (22, 24, 28). Each assembly of a gate stack (22, 24, 28) and a dielectric gate spacer 26 constitutes a gate structure 20. Additional ion implantation processes may be performed using the gate structures 20 as self-aligned implantation masks to form deep active regions, which may include deep source regions and deep drain regions. Upper portions of the deep active regions may overlap with portions of the extension implantation regions. Each combination of an extension implantation region and a deep active region constitutes an active region 14, which may be a source region or a drain region depending on electrical biasing. A semiconductor channel 15 may be formed underneath each gate stack (22, 24, 28) between a neighboring pair of active regions 14. Metal-semiconductor alloy regions 18 may be formed on the top surface of each active region 14. Field effect transistors may be formed on the first semiconductor substrate 108. Each field effect transistor may include a gate structure 20, a semiconductor channel 15, a pair of active regions 14 (one of which functions as a source region and another of which functions as a drain region), and optional metal-semiconductor alloy regions 18. A complementary metal-oxide-semiconductor (CMOS) circuit may be provided on the first semiconductor substrate 108, which may include a periphery circuit for the array(s) of resistive memory elements to be subsequently formed. While the present disclosure provides only complementary metal-oxide-semiconductor (CMOS) devices as the first semiconductor devices, it is understood that any other semiconductor device (such as memory devices, radio-frequency devices, image-sensing devices, passive devices, etc.) may be added to, or may replace, the CMOS devices in the first wafer 100.
  • Various interconnect-level structures may be subsequently formed. In an illustrative example, the interconnect-level structures (L0-L6) may include a contact-level structure L0, a first interconnect-level structure L1, a second interconnect-level structure L2, a third interconnect-level structure L3, a fourth interconnect-level structure L4, a fifth interconnect-level structure L5, and a sixth interconnect-level structure L6. The contact-level structure L0 may include a planarization dielectric layer 31A including a planarizable dielectric material such as silicon oxide and various contact via structures 41V contacting a respective one of the active regions 14 or the gate electrodes 24 and formed within the planarization dielectric layer 31A. The first interconnect-level structure L1 may include a first interconnect-level dielectric layer 31B and first metal lines 41L formed within the first interconnect-level dielectric layer 31B. The first interconnect-level dielectric layer 31B is also referred to as a first line-level dielectric layer. The first metal lines 41L may contact a respective one of the contact via structures 41V. The second interconnect-level structure L2 may include a second interconnect-level dielectric layer 32. The second interconnect-level dielectric layer 32 may include a stack of a first via-level dielectric material layer and a second line-level dielectric material layer or a line-and-via-level dielectric material layer. The second interconnect-level dielectric layer 32 having formed therein second interconnect-level metal interconnect structures (42V, 42L), which may include first metal via structures 42V and second metal lines 42L. Top surfaces of the second metal lines 42L may be coplanar with the top surface of the second interconnect-level dielectric layer 32.
  • The third interconnect-level metal interconnect structures (43V, 43L) may include second metal via structures 43V and third metal lines 43L formed within third interconnect-level dielectric layer 33. Additional interconnect-level structures (L4, L5, L6) may be subsequently formed. For example, the additional interconnect-level structures (L4, L5, L6) may include a fourth interconnect-level structure L4, a fifth interconnect-level structure L5, and a sixth interconnect-level structure L6. The fourth interconnect-level structure L4 may include a fourth interconnect-level dielectric layer 34 having formed therein fourth interconnect-level metal interconnect structures (44V, 44L), which may include third metal via structures 44V and fourth metal lines 44L. The fifth interconnect-level structure L5 may include a fifth interconnect-level dielectric layer 35 having formed therein fifth interconnect-level metal interconnect structures (45V, 45L), which may include fourth metal via structures 45V and fifth metal lines 45L. The sixth interconnect-level structure L6 may include a sixth interconnect-level dielectric layer 36 having formed therein sixth interconnect-level metal interconnect structures (46V, 46L), which may include fifth metal via structures 46V and sixth metal lines 46L.
  • A pad-level structure LP, which is herein referred to as a second pad-level structure, may be formed above the interconnect-level structures (L0-L6). The pad-level structure LP may include a pad-level dielectric layer 37 having formed therein terminal metal via structures 47V and metal bonding pads 47B. The metal bonding pads 47B may be configured for metal-to-metal bonding (such as copper-to-copper bonding).
  • Each interconnect-level dielectric layer may be referred to as an interconnect-level dielectric (ILD) layer 30. Each interconnect-level metal interconnect structures may be referred to as a metal interconnect structure 40. Each combination of a metal via structure and an overlying metal line located within a same interconnect-level structure (L1-L6) may be formed sequentially as two distinct structures by using two single damascene processes, or may be simultaneously formed as a unitary structure using a dual damascene process. Each of the metal interconnect structure 40 may include a respective metallic liner (such as a layer of TiN, TaN, or WN having a thickness in a range from 2 nm to 20 nm) and a respective metallic fill material (such as W, Cu, Co, Mo, Ru, other elemental metals, or an alloy or a combination thereof). Various etch stop dielectric layers and dielectric capping layers may be inserted between vertically neighboring pairs of ILD layers 30, or may be incorporated into one or more of the ILD layers 30.
  • While the present disclosure is described using an embodiment in which a set of seven interconnect-level structures (L0-L6) are formed, embodiments are expressly contemplated herein in which a different number of interconnect-level structures is used in the first wafer 100. For example, the number of interconnect-level structures (L0-L6) within the first wafer 100 may be in a range from 1 to 20, such as from 2 to 10, although lesser and greater number of interconnect level structures may also be used. Generally, the first wafer 100 may comprise a first two-dimensional array of first semiconductor dies. The first semiconductor dies may be arranged as a periodic two-dimensional array, and may be laterally spaced from one another by dicing channels.
  • Referring to FIGS. 2A and 2B, a first pre-bonding edge-trimming process may be performed to trim a front-side peripheral region of the first wafer 100. An edge-trimming process generally refers to a process in which an edge portion of a substrate is removed along the entire circumference of the substrate. The first pre-bonding edge-trimming process may remove an annular peripheral portion of the first wafer 100 that is located within a first edge-trimming width tw1 from the outermost periphery of the first wafer 100, and is located within a first edge-trimming depth td1 from a horizontal plane including the top surface of the first wafer 100. A commercial wafer edge-trimming tool may be used to perform the first pre-bonding edge-trimming process. The first pre-bonding edge-trimming process forms an annular horizontal edge-trimming surface within a horizontal plane located at the first edge-trimming depth td1 from the front surface of the first wafer 100. Further, the first pre-bonding edge-trimming process forms a first cylindrical sidewall on the first wafer 100 at a location that is laterally offset from the outermost periphery of the first wafer 100 by the first edge-trimming width tw1. In one embodiment, the first edge-trimming width tw1 may be in a range from 0.1 mm to 5.0 mm, although lesser and greater first edge-trimming widths may also be used. The first edge-trimming width tw1 may be greater than the edge exclusion distance, and is less than a terminal edge-trimming width of a post-bonding edge-trimming process to be used. In one embodiment, the first edge-trimming depth td1 may be in a range from 10 microns to 50 microns, although lesser and greater first edge-trimming depths may also be used. The first edge-trimming depth td1 is greater than a thickness to which the first wafer 100 is thinned during a post-bonding thinning process, and is less than 50%, such as less than 15%, of the thickness of the first wafer 100.
  • Referring to FIGS. 3A and 3B, a second wafer 200 with a second lateral offset according to an embodiment of the present disclosure is illustrated. The second wafer 200 includes a second semiconductor substrate 208, which may comprise a commercially available semiconductor substrate such as a single crystalline silicon substrate having a diameter of 200 mm, 300 mm, or 450 mm and having a thickness in a range from 600 microns to 1 mm, although lesser and greater thicknesses may also be used. The second semiconductor substrate 208 has a planar front surface and a planar backside surface that are parallel to each other. The edge of the second semiconductor substrate 208 may have edge rounding. In one embodiment, the second semiconductor substrate 208 may include a respective commercially available single crystalline silicon substrate having a same diameter.
  • The second wafer 200 may be provided by forming semiconductor devices on the second semiconductor substrate 208 and by forming second interconnect-level structures (L0-L6) and a second pad-level structure LP. The semiconductor devices formed on the second semiconductor substrate 208 are herein referred to as second semiconductor devices. The second interconnect-level structures (L0-L6) may be formed on the second semiconductor substrate 208, and are incorporated into the second wafer 200. The second interconnect-level structures (L0-L6) and the second pad-level structure LP may be laterally offset from the outermost periphery of the second wafer 200 by a lateral offset distance, which may be in a range from 0.2 mm to 1 mm, although lesser and greater lateral offset distance may also be used. The lateral offset between the second interconnect-level structures (L0-L6) and the outermost periphery of the second wafer 200 is an edge exclusion distance.
  • The second interconnect-level structures (L0-L6) include dielectric material layers, which are herein referred to as second interconnect-level dielectric layers. The second interconnect-level dielectric layers having formed therein metal interconnect structures, which are herein referred to as second metal interconnect structures. Thus, the second wafer 200 comprises second metal interconnect structures formed within the second interconnect-level dielectric layers. The second pad-level structure LP includes a pad-level dielectric layer and bonding pads formed within the second pad-level dielectric layer. The second pad-level structure LP may be formed on top of the second-wafer interconnect-level structures (L0-L6).
  • The second wafer 200 may include CMOS devices and/or any other semiconductor device (such as memory devices, radio-frequency devices, image-sensing devices, passive devices, etc.) as second semiconductor devices. While the present disclosure is described using an embodiment in which a set of seven interconnect-level structures (L0-L6) are formed in the second wafer 200, embodiments are expressly contemplated herein in which a different number of interconnect-level structures is used. In one embodiment, the second wafer 200 comprises a second two-dimensional array of second semiconductor dies having a same two-dimensional periodicity as the first two-dimensional array of first semiconductor dies in the first wafer 100.
  • Referring to FIGS. 4A and 4B, the first wafer 100 and the second wafer 200 may be bonded to each other by bonding a front surface of the first wafer 100 to a front surface of the second wafer 200. For example, the second bonding pads formed within the second pad-level dielectric layer of the second wafer 200 may be bonded to the first bonding pads formed within the first pad-level dielectric layer of the first wafer 100. Metal-to-metal bonding (such as copper-to-copper bonding) may be used. For example, the assembly of the first wafer 100 and the second wafer 200 may be annealed at an elevated temperature in a range from 250 degrees Celsius to 450 degrees Celsius to induce metal-to-metal bonding between the first bonding pads and the second bonding pads. In one embodiment, the first pad-level dielectric layer and the second pad-level dielectric layer may include silicon oxide, and oxide-to-oxide bonding between the first pad-level dielectric layer and the second pad-level dielectric layer may be induced prior to metal-to-metal bonding by annealing the assembly of the first wafer 100 and the second wafer 200 at an elevated temperature in a range from 150 degrees Celsius to 350 degrees Celsius while the first metal pads contact the second metal pads and the first pad-level dielectric layer contacts the second pad-level dielectric layer.
  • Referring to FIGS. 5A and 5B, the backside of the first wafer 100 may be thinned by performing at least one wafer thinning process. For example, a first wafer thinning process may be performed to thin the first wafer 100 down to a first thickness t1 that is greater than the first edge-trimming depth td1. In one embodiment, the first wafer thinning process may comprise, and/or may consist of, a wafer grinding process that grinds the backside of the first wafer 100. In one embodiment, the first thickness t1 may be in a range from 10 microns to 60 microns, such as from 15 microns to 50 microns, although lesser and greater thickness may also be used. Selecting the first thickness t1 to be greater than the first edge-trimming depth td1 provides the advantage of avoiding grinding of the portion of the first wafer 100 that includes the annular horizontal surface that is vertically offset from the bonding interface by the first edge-trimming depth td1, i.e., the annular horizontal surface that is formed by the first pre-bonding edge-trimming process. Thus, fragmentation and chipping of edge portions of the first wafer 100 from the vicinity of the annular planar surface formed during the first pre-bonding edge-trimming process may be avoided.
  • Referring to FIGS. 6A and 6B, a second thinning process that further thins the first wafer 100 may be performed. The second wafer thinning process thins the first wafer 100 down to a second thickness t2 that is less than the first edge-trimming depth td1 using an thinning process that is less prone to wafer chipping than a grinding process. For example, the second wafer thinning process may use an isotropic etch process that etches the material on the backside of the remaining portion of the first wafer 100, and/or may use a chemical mechanical polishing (CMP) process. In one embodiment, the second wafer thinning process may include an isotropic etch process that uses an “HNA” etchant, which includes a mixture of hydrofluoric acid, nitric acid, and acetic acid. In an illustrative example, the HNA etchant may include a 1:3:8 volume mixture of hydrofluoric acid, nitric acid, and acetic acid, which may provide an etch rate for single crystalline silicon in a range from 1 micron per minute to 3 micron per minute depending on the temperature of the etchant and the doping of the single crystalline silicon. In one embodiment, the second thickness t2 may be in a range from 2 microns to 10 microns, although lesser and greater thickness may also be used.
  • Generally, the at least one wafer thinning process may be performed to remove untrimmed portions of the first wafer 100, i.e., the portion of the first wafer 100 that is not laterally edge-trimmed during the first pre-bonding edge-trimming process. Specifically, the untrimmed portions of the first wafer 100 may be portions of the first wafer 100 that are vertically spaced from the horizontal plane including the bonding interface between the first wafer 100 and the second wafer 200 by a greater vertical distance than the first edge-trimming depth td1. Thus, the at least one wafer thinning process forms a backside surface of the first semiconductor substrate 108 within a horizontal plane that may be vertically offset from the bonding interface between the first wafer 100 and the second wafer 200 by a vertical spacing that is less than the first edge-trimming depth td1. In other words, the second thickness t2 is less than the first edge-trimming depth td1. As such, the annular horizontal surface of the first wafer 100 that may be formed during the first pre-bonding edge-trimming process may be removed during the second thinning process without chipping or fragmenting the portion of the first wafer 100 that is adjoined to the annular horizontal surface. Due to the first pre-bonding edge-trimming process that may be performed on the first wafer 100 prior to bonding, the sidewalls of the first wafer 100 may be laterally offset inward from the sidewalls of the second wafer 200 that are adjoined to the horizontal plane including the bonding interface between the first wafer 100 and the second wafer 200.
  • Referring to FIGS. 7A-7C, a terminal edge-trimming process may be performed, which is also referred to as a post-bonding edge-trimming process. The post-bonding edge-trimming process edge-trims the first wafer 100 and a front-side peripheral region of the second wafer 200. Portions of the first wafer 100 and the second wafer 200 that are located within a terminal edge-trimming width twt from the outermost periphery of the bonded assembly of the first wafer 100 and the second wafer 200 (which is the outermost periphery of the second wafer 200) and within a terminal edge-trimming depth tdt from the horizontal plane including the backside surface of the first wafer 100 may be removed during the post-bonding edge-trimming process.
  • The post-bonding edge-trimming process forms a terminal cylindrical sidewall on the first wafer 100 and on the front-side peripheral region of the second wafer 200 at a location that is laterally offset from the outermost periphery of the second wafer 200 by the terminal edge-trimming width twt. The terminal edge-trimming width twt is greater than the first edge-trimming width tw1. For example, the terminal edge-trimming width twt may be in a range from 1.0 mm to 10.0 mm, although lesser and greater width may also be used. Further, the post-bonding edge-trimming process may form an annular horizontal surface on the second wafer 200. The annular horizontal surface may be formed within a horizontal plane that may be vertically spaced from the horizontal plane including the bonding interface between the first wafer 100 and the second wafer 200 by a vertical spacing in a range from 5 microns 100 microns, although lesser and greater vertical spacing may also be used. In other words, the terminal edge-trimming depth tdt may be a sum of the second thickness t2 and an additional depth in a range from 10 microns to 50 microns, although lesser and greater depth may also be used.
  • The post-bonding edge-trimming process removes only thin peripheral portions of the first wafer 100 and the second wafer 200. As such, a very smooth profile can be obtained for the trimmed sidewalls and the trimmed annular horizontal surface of the bonded assembly as schematically illustrated in the inset of FIG. 7C. In other words, the timed sidewalls and the trimmed annular horizontal surface can have very small surface roughness such as root mean square surface roughness less than 10 nm, such as less than 3 nm.
  • Referring to FIG. 8, an upper portion of an exemplary first wafer 100 is illustrated in a magnified view. An encapsulation dielectric layer 130 may be subsequently formed on the backside surface of the first wafer 100. The encapsulation dielectric layer 130 may include a dielectric material that may protect the bonded assembly (100, 200) during a subsequent singulation process in which each bonded pair of a first semiconductor die in the first wafer 100 and a second semiconductor die in the second wafer 200 is singulated into a discrete structure. The encapsulation dielectric layer 130 may include silicon nitride or a high dielectric constant (high-k) dielectric material having a dielectric constant greater than 7.9 (such as aluminum oxide, hafnium oxide, tantalum oxide, titanium oxide, etc.). Other suitable materials are within the contemplated scope of disclosure. The thickness of the encapsulation dielectric layer 130 may be in a range from 50 nm to 500 nm, although lesser and greater thicknesses may also be used.
  • A photoresist layer (not shown) may be applied over the horizontal portion of the encapsulation dielectric layer 130 that overlie the first semiconductor substrate 108, and may be lithographically patterned to form openings therethrough. An anisotropic etch process may be performed to etch through unmasked portions of the encapsulation dielectric layer 130, the first semiconductor substrate 108, and a subset of the interconnect-level dielectric layers 30 such that a horizontal surface of an underlying metallic pad structure may be physically exposed. The metallic pad structures may comprise one of the metal lines of the second wafer 200 (such as the first metal lines 41L, the second metal lines 42L, the third metal lines 43L, etc.). Through-substrate via cavities 139 may be formed through the first semiconductor substrate 108 within each die area of the first wafer 100.
  • Referring to FIG. 9, a dielectric material such as silicon oxide may be conformally deposited, and may be subsequently anisotropically etched to form through-substrate insulating spacers 146. The lateral thickness of each through-substrate insulating spacer 146 may be in a range from 100 nm to 500 nm, although lesser and greater thicknesses may also be used. At least one conductive material may be deposited in the through-substrate via cavities 139 and over the top surface of the encapsulation dielectric layer 130. For example, a metallic liner material (such as TiN, TaN, and/or WN) and at least one metallic fill material (such as Cu, Mo, Ru, W, Al, or a combination thereof) may be deposited in remaining volumes of the through-substrate via cavities 139 and over the top surface of the encapsulation dielectric layer 130. The at least one metallic fill material may fill the through-substrate via cavities 139. An underbump metallurgy (UBM) layer stack may be deposited over the at least one metallic fill material. Layer stacks that may be used for the UBM layer stack include, but are not limited to, stacks of Cr/Cr—Cu/Cu/Au, Cr/Cr—Cu/Cu, TiW/Cr/Cu, Ti/Ni/Au, and Cr/Cu/Au. Other suitable materials are within the contemplated scope of disclosure.
  • The UBM layer stack and the at least one conductive material may be subsequently patterned, for example, by applying and patterning a photoresist layer and by transferring the pattern in the photoresist layer through the horizontal portions of the UBM layer stack and the at least one conductive material. Each remaining portion of the at least one conductive material located within a respective one of the through-substrate via cavities 139 constitutes a through-substrate via structure 148. Each remaining portion of the at least one conductive material and the UBM layer stack that overlie the horizontal plane including the distal horizontal surface of the encapsulation dielectric layer 130 comprises a bonding pad 160, which may be an external bonding pad on which a solder ball may be attached for wire bonding or C4 bonding. In an alternative embodiment, the UBM layer stack may be omitted and the at least one conductive material may include copper or a copper alloy as a metallic fill material. In such an embodiment, the bonding pads 160 may have a copper surface that may be subsequently used for copper-to-copper bonding with copper bonding pads formed within another wafer in a subsequent wafer-to-wafer bonding process that forms a bonded assembly of three wafers.
  • Referring to FIGS. 10A and 10B, the exemplary structure includes a bonded assembly (100, 200) comprising a first wafer 100 bonded to a second wafer 200 at a bonding interface. A straight cylindrical sidewall may vertically extend from a backside surface of the first wafer 100 over a periphery of the bonding interface to a peripheral region of the second wafer 200.
  • In one embodiment, the first wafer 100 may comprise a first two-dimensional array of first semiconductor dies having a two-dimensional periodicity, and the second wafer 200 comprises a second two-dimensional array of second semiconductor dies having a same two-dimensional periodicity as the first two-dimensional array of first semiconductor dies. In this case, the bonded assembly of the first wafer 100 and the second wafer 200 may be diced along dicing channels into a plurality of bonded semiconductor chips. For example, the bonded assembly (100, 200) may be diced with a dicing saw along dicing channels DC. The dicing channels DC may include first dicing channels that are parallel to one another and laterally extend along a first horizontal direction, and second dicing channels that are parallel to one another and laterally extend along a second horizontal direction that is perpendicular to the first horizontal direction. Each of the dicing channels DC may be located between neighboring pairs of semiconductor dies within the first wafer 100 and between neighboring pairs of semiconductor dies within the second wafer.
  • Each semiconductor chip 300 that may be singulated by the dicing process may include a first semiconductor die that is a singulated portion of the first wafer 100 and a second semiconductor die that is a singulated portion of the second wafer 200. As such, each semiconductor chip 300 may include a stack of a first semiconductor die and a second semiconductor die that are bonded to each other through metal-to-metal bonding between first bonding pads in a first pad-level dielectric layer of the first semiconductor die and second bonding pads in a second pad-level dielectric layer of the second semiconductor die. Generally, each of the bonded semiconductor chips formed by dicing of the bonded assembly (100, 200) may comprise a bonded pair of a respective one of the first semiconductor dies and a respective one of the second semiconductor dies. Additional bonding pads 160, which may be external bonding pads including a respective UBM layer stack, may be provided on the backside of the second semiconductor die.
  • Referring to FIGS. 11A and 11B, a second wafer 200 according to a second embodiment of the present disclosure is illustrated, which may be derived from the second wafer 200 of the first embodiment of FIGS. 3A and 3B by performing a second pre-bonding edge-trimming process. The second pre-bonding edge-trimming process edge-trims a front-side peripheral region of the second wafer 200. The second pre-bonding edge-trimming process may remove an annular peripheral portion of the second wafer 200 that is located within a second edge-trimming width tw2 from the outermost periphery of the second wafer 200, and is located within a second edge-trimming depth td2 from a horizontal plane including the top surface (i.e., a bonding-side surface) of the second wafer 200. A commercial wafer edge-trimming tool may be used to perform the second pre-bonding edge-trimming process. The second pre-bonding edge-trimming process forms an annular horizontal edge-trimming surface within a horizontal plane located at the second edge-trimming depth td2 from the front surface of the second wafer 200. Further, the second pre-bonding edge-trimming process forms a second cylindrical sidewall on the second wafer 200 at a location that is laterally offset from the outermost periphery of the second wafer 200 by the second edge-trimming width tw2. In one embodiment, the second edge-trimming width tw2 may be in a range from 0.1 mm to 5.0 mm, although lesser and greater second edge-trimming widths may also be used. The second edge-trimming width tw2 may be greater than the edge exclusion distance of the second wafer 200, and is less than a terminal edge-trimming width of a post-bonding edge-trimming process to be used. In one embodiment, the second edge-trimming depth td2 may be in a range from 5 microns to 20 microns, although lesser and greater second edge-trimming depths may also be used.
  • In the second embodiment, the first wafer 100 may be prepared using the same methods as in the first embodiment. Thus, a first pre-bonding edge-trimming process may be performed on the first wafer 100 in the same manner as in the first embodiment. A such, the second pre-bonding edge-trimming process may be an additional pre-bonding edge-trimming process that may be performed to the second wafer 200 prior to bonding the front surface of the first wafer 100 to the front surface of the second wafer 200. Generally, the second pre-bonding edge-trimming process may form a second cylindrical sidewall on the second wafer 200 at a location that is laterally offset from an outermost periphery of the second wafer 200 by the second edge-trimming width tw2.
  • Referring to FIGS. 12A and 12B, the first wafer 100 and the second wafer 200 may be bonded to each other by bonding a front surface of the first wafer 100 to a front surface of the second wafer 200. For example, the second bonding pads formed within the second pad-level dielectric layer of the second wafer 200 may be bonded to the first bonding pads formed within the first pad-level dielectric layer of the first wafer 100. Metal-to-metal bonding (such as copper-to-copper bonding) may be used. The same bonding process may be used as in the first embodiment.
  • Referring to FIGS. 13A and 13B, the backside of the first wafer 100 may be thinned by performing at least one wafer thinning process. For example, a first wafer thinning process may be performed to thin the first wafer 100 down to a first thickness t1 that is greater than the first edge-trimming depth td1. In one embodiment, the first wafer thinning process may comprise, and/or may consist of, a wafer grinding process that grinds the backside of the first wafer 100. In one embodiment, the first thickness t1 may be in a range from 10 microns to 60 microns, such as from 15 microns to 50 microns. Selecting the first thickness t1 to be greater than the first edge-trimming depth td1 provides the advantage of avoiding grinding of the portion of the first wafer 100 that includes the annular horizontal surface that is vertically offset from the bonding interface by the first edge-trimming depth td1, i.e., the annular horizontal surface that is formed by the first pre-bonding edge-trimming process. Thus, fragmentation and chipping of edge portions of the first wafer 100 from the vicinity of the annular planar surface formed during the first pre-bonding edge-trimming process may be avoided.
  • Referring to FIGS. 14A and 14B, a second thinning process that further thins the first wafer 100 may be performed. The second wafer thinning process thins the first wafer 100 down to a second thickness t2 that is less than the first edge-trimming depth td1 using an thinning process that is less prone to wafer chipping than a grinding process. For example, the second wafer thinning process may use an isotropic etch process that etches the material on the backside of the remaining portion of the first wafer 100, and/or may use a chemical mechanical polishing (CMP) process. In one embodiment, the second wafer thinning process may include an isotropic etch process that uses the HNA etchant described above. In one embodiment, the second thickness t2 may be in a range from 2 microns to 10 microns.
  • Generally, the at least one wafer thinning process may be performed to remove untrimmed portions of the first wafer 100, i.e., the portion of the first wafer 100 that is not laterally edge-trimmed during the first pre-bonding edge-trimming process. The at least one wafer thinning process forms a backside surface of the first semiconductor substrate 108 within a horizontal plane that is vertically offset from the bonding interface between the first wafer 100 and the second wafer 200 by a vertical spacing that is less than the first edge-trimming depth td1. The annular horizontal surface of the first wafer 100 that is formed during the first pre-bonding edge-trimming process may be removed during the second thinning process without chipping or fragmenting the portion of the first wafer 100 that is adjoined to the annular horizontal surface.
  • Referring to FIGS. 15A and 15B, a terminal edge-trimming process may be performed, which is also referred to as a post-bonding edge-trimming process. The post-bonding edge-trimming process edge-trims the first wafer 100 and a front-side peripheral region of the second wafer 200. Portions of the first wafer 100 and the second wafer 200 that are located within a terminal edge-trimming width twt from the outermost periphery of the bonded assembly of the first wafer 100 and the second wafer 200 (which is the outermost periphery of the second wafer 200) and within a terminal edge-trimming depth tdt from the horizontal plane including the backside surface of the first wafer 100 are removed during the post-bonding edge-trimming process.
  • The post-bonding edge-trimming process forms a terminal cylindrical sidewall on the first wafer 100 and on the front-side peripheral region of the second 200 at a location that is laterally offset from the outermost periphery of the second wafer 200 by the terminal edge-trimming width twt. The terminal edge-trimming width twt is greater than the first edge-trimming width tw1, and is greater than the second edge-trimming width tw2. For example, the terminal edge-trimming width twt may be in a range from 1.0 mm to 10.0 mm. Further, the post-bonding edge-trimming process may form an annular horizontal surface on the second wafer 200. The annular horizontal surface may be formed within a horizontal plane that is vertically spaced from the horizontal plane including the bonding interface between the first wafer 100 and the second wafer 200 by a vertical spacing that is greater than the second edge-trimming depth td2. For example, the annular horizontal surface formed on the second wafer 200 by the post-bonding edge-trimming process may be formed within a horizontal plane that is vertically spaced from the horizontal plane including the bonding interface between the first wafer 100 and the second wafer 200 by a vertical spacing in a range from 5 microns 100 microns. In other words, the terminal edge-trimming depth tdt may be a sum of the second thickness t2 and an additional depth in a range from 10 microns to 50 microns.
  • Subsequently, the processing steps of FIGS. 8, 9, and 10A and 10B may be performed to dice the bonded assembly of the first wafer 100 and the second wafer 200 into a plurality of bonded semiconductor chips including a respective pair of a first semiconductor die and a second semiconductor die.
  • Referring to FIG. 16, a first flowchart illustrates steps that may be used to form one of the exemplary structures of the present disclosure. At step 1610, a front-side peripheral region of a first wafer 100 may be edge-trimmed by performing a pre-bonding edge-trimming process (such as the first pre-bonding edge-trimming process of FIGS. 2A and 2B). At step 1620, a front surface of the first wafer 100 may be bonded to a front surface of a second wafer 200. Referring to step 1630, a backside of the first wafer 100 may be thinned by performing at least one wafer thinning process. Referring to step 1640, the first wafer 100 and a front-side peripheral region of the second wafer 200 may be edge-trimmed by performing a post-bonding edge-trimming process.
  • Referring to FIG. 17, a second flowchart illustrates steps that may be used to form one of the exemplary structures of the present disclosure. At step 1710, a front-side peripheral region of a first wafer 100 may be edge-trimmed by performing a first pre-bonding edge-trimming process. At step 1720, a front-side peripheral region of a second wafer 200 may be edge-trimmed by performing a second pre-bonding edge-trimming process. At step 1730, a bonded assembly (100, 200) may be formed by bonding a front surface of the first wafer 100 to a front surface of the second wafer 200. At step 1740, untrimmed portions of the first wafer 100 may be removed by thinning a backside of the first wafer 100 in the bonded assembly (100, 200) using at least one thinning process. A backside surface of the first wafer 100 adjoins a cylindrical sidewall formed during the first pre-bonding edge-trimming process. At step 1750, the bonded assembly (100, 200) may be edge-trimmed by performing a post-bonding edge-trimming process that trims the first wafer 100 and the front-side peripheral region of the second wafer 200 (without edge-trimming the backside peripheral region of the second wafer 200).
  • Referring to FIG. 18, a third flowchart illustrates steps that may be used to form one of the exemplary structures of the present disclosure. At step 1810, a first wafer 100 including a first two-dimensional array of first semiconductor dies may be provided. At step 1820, a second wafer 200 including a second two-dimensional array of second semiconductor dies having a same two-dimensional periodicity as the first two-dimensional array of first semiconductor dies may be provided. At step 1830, a front-side peripheral region of the first wafer may be edge-trimmed by performing a pre-bonding edge-trimming process (such as the first pre-bonding edge-trimming process of FIGS. 2A and 2B). At step 1840, a bonded assembly (100, 200) may be formed by bonding a front surface of the first wafer 100 to a front surface of a second wafer 200. Each of the first semiconductor dies is bonded to a respective one of the second semiconductor dies. At step 1850, a backside of the first wafer 100 may be thinned by performing at least one wafer thinning process. At step 1860, the first wafer 100 and a front-side peripheral region of the second wafer 200 may be edge-trimmed by performing a post-bonding edge-trimming process. At step 1870, the bonded assembly (100, 200) may be diced into a plurality of bonded semiconductor chips. Each of the bonded semiconductor chips comprises a bonded pair of a respective one of the first semiconductor dies and a respective one of the second semiconductor dies.
  • The various structures and methods of the present disclosure may be used to provide effective edge-trimming for two wafers that are bonded to form a bonded assembly. The vertical extent of a first pre-bonding edge-trimming process is limited to an upper peripheral region of a first wafer 100, and may have a first edge-trimming depth td1 in a range from 10 microns to 50 microns, and thus, may be less than 10% of the entire thickness of the first wafer. In case a second pre-bonding edge-trimming process is used, a vertical extent of the second pre-bonding edge-trimming process is limited to an upper peripheral region of the second wafer 200, and may have a second edge-trimming depth td2 in a range from 5 microns to 20 microns. The vertical extent of a post-bonding edge-trimming process is limited to a terminal edge-trimming depth tdt, which may be the same as the sum of the second thickness t2 and a vertical depth in a range from 10 microns to 50 microns. Each edge-trimming process may have a vertical extent that is significantly less than the thickness of a wafer prior to thinning, which may be in a range from 725 microns to 825 microns. Thus, the methods of the present disclosure reduces the total processing time used for edge-trimming processes, reduces the total processing cost for edge-trimming processes, and provides high wafer processing capacity for the edge-trimming processes with a moderate number of processing tools. Further, the methods of the present disclosure effectively reduces peeling of interconnect-level structures (L0-L6) and pad-level structures LP in the first wafer 100 and the second wafer 200 prior to, and/or after, bonding the first wafer 100 with the second wafer 200. Thus, the effectiveness of the edge-trimming may last throughout the bonding process and the dicing process. Therefore, the methods of the present disclosure provide a cost-effective and reliable edge-trimming during bonding and wafer dicing.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

1. A method of forming a semiconductor structure, comprising:
edge-trimming a front-side peripheral region of a first wafer by performing a pre-bonding edge-trimming process;
bonding a front surface of the first wafer to a front surface of a second wafer;
thinning a backside of the first wafer by performing at least one wafer thinning process; and
edge-trimming the first wafer and a front-side peripheral region of the second wafer by performing a post-bonding edge-trimming process.
2. The method of claim 1, wherein the pre-bonding edge-trimming process forms an annular horizontal edge-trimming surface within a horizontal plane located at a first edge-trimming depth from the front surface of the first wafer.
3. The method of claim 2, wherein the at least one wafer thinning process forms a backside surface of the first wafer within a horizontal plane that is vertically offset from a bonding interface between the first wafer and the second wafer by a vertical spacing that is less than the first edge-trimming depth.
4. The method of claim 3, wherein the at least one wafer thinning process comprises:
a first wafer thinning process that thins the first wafer down to a first thickness that is greater than the first edge-trimming depth; and
a second wafer thinning process that thins the first wafer down to a second thickness that is less than the first edge-trimming depth.
5. The method of claim 4, wherein:
the first wafer thinning process comprises a wafer grinding process; and
the second wafer thinning process comprises an isotropic etch process.
6. The method of claim 4, wherein:
the first thickness is in a range from 10 microns to 60 microns; and
the second thickness is in a range from 2 microns to 10 microns.
7. The method of claim 1, wherein the pre-bonding edge-trimming process forms a first cylindrical sidewall on the first wafer at a location that is laterally offset from an outermost periphery of the first wafer by a first edge-trimming width.
8. The method of claim 7, wherein the post-bonding edge-trimming process forms a terminal cylindrical sidewall on the first wafer and on the front-side peripheral region of the second wafer at a location that is laterally offset from an outermost periphery of the second wafer by a terminal edge-trimming width that is greater than the first edge-trimming width.
9. The method of claim 8, wherein:
the first edge-trimming width is in a range from 0.1 mm to 5.0 mm; and
the terminal edge-trimming width is in a range from 1.0 mm to 10.0 mm.
10. The method of claim 1, wherein:
the post-bonding edge-trimming process forms an annular horizontal surface on the second wafer; and
the annular horizontal surface is formed within a horizontal plane that is vertically spaced from a horizontal plane including a bonding interface between the first wafer and a second wafer by a vertical spacing in a range from 5 microns 100 microns.
11. The method of claim 1, further comprising edge-trimming the front-side peripheral region of the second wafer by performing an additional pre-bonding edge-trimming process prior to bonding the front surface of the first wafer to the front surface of the second wafer.
12. The method of claim 1, wherein:
the first wafer comprises a first two-dimensional array of first semiconductor dies;
the second wafer comprises a second two-dimensional array of second semiconductor dies having a same two-dimensional periodicity as the first two-dimensional array of first semiconductor dies; and
the method comprises dicing a bonded assembly of the first wafer and the second wafer along dicing channels.
13. A method of forming a semiconductor structure, comprising:
edge-trimming a front-side peripheral region of a first wafer by performing a first pre-bonding edge-trimming process;
edge-trimming a front-side peripheral region of a second wafer by performing a second pre-bonding edge-trimming process;
forming a bonded assembly by bonding a front surface of the first wafer to a front surface of the second wafer;
removing untrimmed portions of the first wafer by thinning a backside of the first wafer in the bonded assembly using at least one thinning process, wherein a backside surface of the first wafer adjoins a cylindrical sidewall formed during the first pre-bonding edge-trimming process; and
edge-trimming the bonded assembly by performing a post-bonding edge-trimming process that trims the first wafer and the front-side peripheral region of the second wafer.
14. The method of claim 13, wherein:
the pre-bonding edge-trimming process forms an annular horizontal edge-trimming surface within a horizontal plane located at a first edge-trimming depth from the front surface of the first wafer; and
the annular horizontal edge-trimming surface is removed during thinning of the backside of the first wafer.
15. The method of claim 14, wherein the first wafer has a thickness that is less than the first edge-trimming depth after the backside of the first wafer in the bonded assembly is thinned.
16. The method of claim 15, wherein the backside of the first wafer in the bonded assembly is thinned by performing:
a first wafer thinning process that thins the first wafer down to a first thickness that is greater than the first edge-trimming depth; and
a second wafer thinning process that thins the first wafer down to a second thickness that is less than the first edge-trimming depth.
17. The method of claim 13, wherein:
the first pre-bonding edge-trimming process forms a first cylindrical sidewall on the first wafer at a location that is laterally offset from an outermost periphery of the first wafer by a first edge-trimming width;
the second pre-bonding edge-trimming process forms a second cylindrical sidewall on the second wafer at a location that is laterally offset from an outermost periphery of the second wafer by a second edge-trimming width; and
the post-bonding edge-trimming process forms a terminal cylindrical sidewall on the first wafer and on the front-side peripheral region of the second wafer at a location that is laterally offset from an outermost periphery of the second wafer by a terminal edge-trimming width that is greater than the first edge-trimming width and is greater than the second edge-trimming width.
18. The method of claim 13, wherein:
the post-bonding edge-trimming process forms an annular horizontal surface on the second wafer; and
the annular horizontal surface is formed within a horizontal plane that is vertically spaced from a horizontal plane including a bonding interface between the first wafer and the second wafer by a vertical spacing in a range from 5 microns 100 microns.
19. A method of forming bonded semiconductor chips, comprising:
providing a first wafer including a first two-dimensional array of first semiconductor dies;
providing a second wafer including a second two-dimensional array of second semiconductor dies having a same two-dimensional periodicity as the first two-dimensional array of first semiconductor dies;
edge-trimming a front-side peripheral region of the first wafer by performing a pre-bonding edge-trimming process;
forming a bonded assembly by bonding a front surface of the first wafer to a front surface of a second wafer, wherein each of the first semiconductor dies is bonded to a respective one of the second semiconductor dies;
thinning a backside of the first wafer by performing at least one wafer thinning process;
edge-trimming the first wafer and a front-side peripheral region of the second wafer by performing a post-bonding edge-trimming process;
dicing the bonded assembly into a plurality of bonded semiconductor chips, wherein each of the bonded semiconductor chips comprises a bonded pair of a respective one of the first semiconductor dies and a respective one of the second semiconductor dies.
20. The method of claim 19, further comprising edge-trimming the front-side peripheral region of the second wafer by performing an additional pre-bonding edge-trimming process prior to bonding the front surface of the first wafer to the front surface of the second wafer.
US16/835,578 2020-03-31 2020-03-31 Edge-trimming methods for wafer bonding and dicing Active US11482506B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US16/835,578 US11482506B2 (en) 2020-03-31 2020-03-31 Edge-trimming methods for wafer bonding and dicing
DE102020110287.9A DE102020110287A1 (en) 2020-03-31 2020-04-15 EDGE TRIMMING PROCESS FOR WAFER BONDING AND SINGULATION
KR1020200083612A KR102445596B1 (en) 2020-03-31 2020-07-07 Edge-trimming methods for wafer bonding and dicing
TW110104560A TWI761071B (en) 2020-03-31 2021-02-05 Method of forming semiconductor structure and method of forming bonded semiconductor chip
CN202110172516.4A CN113471082A (en) 2020-03-31 2021-02-08 Method of forming a semiconductor structure and method of forming a bonded semiconductor wafer
US17/853,803 US20220336411A1 (en) 2020-03-31 2022-06-29 Edge-trimming methods for wafer bonding and dicing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US16/835,578 US11482506B2 (en) 2020-03-31 2020-03-31 Edge-trimming methods for wafer bonding and dicing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/853,803 Division US20220336411A1 (en) 2020-03-31 2022-06-29 Edge-trimming methods for wafer bonding and dicing

Publications (2)

Publication Number Publication Date
US20210305205A1 true US20210305205A1 (en) 2021-09-30
US11482506B2 US11482506B2 (en) 2022-10-25

Family

ID=77658903

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/835,578 Active US11482506B2 (en) 2020-03-31 2020-03-31 Edge-trimming methods for wafer bonding and dicing
US17/853,803 Pending US20220336411A1 (en) 2020-03-31 2022-06-29 Edge-trimming methods for wafer bonding and dicing

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/853,803 Pending US20220336411A1 (en) 2020-03-31 2022-06-29 Edge-trimming methods for wafer bonding and dicing

Country Status (5)

Country Link
US (2) US11482506B2 (en)
KR (1) KR102445596B1 (en)
CN (1) CN113471082A (en)
DE (1) DE102020110287A1 (en)
TW (1) TWI761071B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220375789A1 (en) * 2019-10-31 2022-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-wafer capping layer for metal arcing protection
CN115881622A (en) * 2023-01-29 2023-03-31 合肥晶合集成电路股份有限公司 Wafer bonding method

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220344150A1 (en) * 2021-04-21 2022-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked wafer structure and method for forming the same
US20230178536A1 (en) 2021-12-07 2023-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Trimming and Sawing Processes in the Formation of Wafer-Form Packages
CN114883186B (en) * 2022-07-11 2022-10-18 成都功成半导体有限公司 Wafer back processing method based on temporary bonding and wafer

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080044984A1 (en) * 2006-08-16 2008-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of avoiding wafer breakage during manufacture of backside illuminated image sensors
US10157766B2 (en) * 2014-03-19 2018-12-18 Samsung Electronics Co., Ltd. Method of fabricating a semiconductor device
US10504716B2 (en) * 2018-03-15 2019-12-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor device and manufacturing method of the same
US20200006145A1 (en) * 2018-06-28 2020-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding support structure (and related process) for wafer stacking
US20200090977A1 (en) * 2018-09-14 2020-03-19 Commissariat à l'énergie atomique et aux énergies alternatives Temporary bonding method with thermoplastic adhesive incorporating a rigid ring
US20200111658A1 (en) * 2018-10-03 2020-04-09 Disco Corporation Wafer processing method
US10643853B2 (en) * 2012-02-10 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer thinning apparatus having feedback control and method of using
US20200279730A1 (en) * 2019-02-28 2020-09-03 Nichia Corporation Method of manufacturing semiconductor elements
US10818488B2 (en) * 2017-11-13 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer structure and trimming method thereof
US20200402945A1 (en) * 2019-06-19 2020-12-24 Wuhan Xinxin Semiconductor Manufacturing Co., Ltd. Bonding Structure And Method For Manufacturing The Same
US20210035793A1 (en) * 2019-07-29 2021-02-04 Wuhan Xinxin Semiconductor Manufacturing Co., Ltd. Wafer Thinning Method and Wafer Structure

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0636413B2 (en) * 1990-03-29 1994-05-11 信越半導体株式会社 Manufacturing method of semiconductor element forming substrate
FR2860842B1 (en) * 2003-10-14 2007-11-02 Tracit Technologies PROCESS FOR PREPARING AND ASSEMBLING SUBSTRATES
US7129172B2 (en) * 2004-03-29 2006-10-31 Intel Corporation Bonded wafer processing method
JP4376715B2 (en) * 2004-07-16 2009-12-02 三洋電機株式会社 Manufacturing method of semiconductor device
JP4443379B2 (en) * 2004-10-26 2010-03-31 三洋電機株式会社 Manufacturing method of semiconductor device
TWI303864B (en) * 2004-10-26 2008-12-01 Sanyo Electric Co Semiconductor device and method for making the same
DE102007034306B3 (en) * 2007-07-24 2009-04-02 Austriamicrosystems Ag Semiconductor substrate with via and method for producing a semiconductor substrate with via
WO2009124060A1 (en) 2008-03-31 2009-10-08 Memc Electronic Materials, Inc. Methods for etching the edge of a silicon wafer
FR2935536B1 (en) * 2008-09-02 2010-09-24 Soitec Silicon On Insulator PROGRESSIVE DETOURING METHOD
FR2935535B1 (en) * 2008-09-02 2010-12-10 S O I Tec Silicon On Insulator Tech METHOD FOR JOINT DETOURING.
FR2954585B1 (en) * 2009-12-23 2012-03-02 Soitec Silicon Insulator Technologies METHOD FOR MAKING A HETEROSTRUCTURE WITH MINIMIZATION OF STRESS
FR2955697B1 (en) * 2010-01-25 2012-09-28 Soitec Silicon Insulator Technologies METHOD FOR REALIZING A STRUCTURE
US8193027B2 (en) 2010-02-23 2012-06-05 Air Products And Chemicals, Inc. Method of making a multicomponent film
JP5279775B2 (en) * 2010-08-25 2013-09-04 株式会社東芝 Manufacturing method of semiconductor device
US9676114B2 (en) 2012-02-29 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer edge trim blade with slots
US8981578B2 (en) * 2012-04-30 2015-03-17 Apple Inc. Sensor array package
US20150179494A1 (en) * 2012-06-29 2015-06-25 Hitachi Chemical Company, Ltd. Method for producing semiconductor device
US9064770B2 (en) * 2012-07-17 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for minimizing edge peeling in the manufacturing of BSI chips
KR101932660B1 (en) * 2012-09-12 2018-12-26 삼성전자 주식회사 Integrated circuit device having through silicon via structure and method of manufacturing the same
US20140113452A1 (en) * 2012-10-18 2014-04-24 United Microelectronics Corp. Wafer edge trimming method
US9111946B2 (en) * 2012-12-20 2015-08-18 Invensas Corporation Method of thinning a wafer to provide a raised peripheral edge
US9406577B2 (en) * 2013-03-13 2016-08-02 Globalfoundries Singapore Pte. Ltd. Wafer stack protection seal
US9768089B2 (en) * 2013-03-13 2017-09-19 Globalfoundries Singapore Pte. Ltd. Wafer stack protection seal
JP6197422B2 (en) * 2013-07-11 2017-09-20 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device and wafer with supporting substrate
KR102136844B1 (en) * 2013-09-30 2020-07-22 삼성전자 주식회사 Wafer processing method and method for fabricating semiconductor device using the same processing method
KR102259959B1 (en) * 2013-12-05 2021-06-04 삼성전자주식회사 Carrier and a method of fabricating a semiconductor device
KR20150092675A (en) * 2014-02-05 2015-08-13 삼성전자주식회사 Method for manufacturing of semiconductor devices
KR102275705B1 (en) * 2014-07-11 2021-07-09 삼성전자주식회사 Wafer-to-wafer bonding structure
US9761561B2 (en) * 2015-03-18 2017-09-12 Globalfoundries Singapore Pte. Ltd. Edge structure for backgrinding asymmetrical bonded wafer
JP6540228B2 (en) 2015-05-25 2019-07-10 富士通株式会社 Semiconductor device and method of manufacturing the same
JP6410152B2 (en) * 2015-09-11 2018-10-24 東芝メモリ株式会社 Manufacturing method of semiconductor device
KR102473664B1 (en) * 2016-01-19 2022-12-02 삼성전자주식회사 Multi-Stacked Device Having a TSV Structure
CN108701589A (en) * 2016-02-16 2018-10-23 G射线瑞士公司 Structure, system and method for transmitting charge across bonded interface
US10453766B2 (en) 2016-11-14 2019-10-22 Obsidian Sensors, Inc. Integrated packaging devices and methods with backside interconnections
KR102524962B1 (en) * 2016-11-14 2023-04-21 삼성전자주식회사 Method for fabricating substrate structure and substrate structure fabricated by using the method
KR20180090494A (en) * 2017-02-03 2018-08-13 삼성전자주식회사 Method for fabricating substrate structure
US10580823B2 (en) * 2017-05-03 2020-03-03 United Microelectronics Corp. Wafer level packaging method
TWI666492B (en) 2018-07-09 2019-07-21 友達光電股份有限公司 Active device substrate
US11152276B2 (en) * 2019-10-31 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Trim wall protection method for multi-wafer stacking

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080044984A1 (en) * 2006-08-16 2008-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of avoiding wafer breakage during manufacture of backside illuminated image sensors
US10643853B2 (en) * 2012-02-10 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer thinning apparatus having feedback control and method of using
US10157766B2 (en) * 2014-03-19 2018-12-18 Samsung Electronics Co., Ltd. Method of fabricating a semiconductor device
US10818488B2 (en) * 2017-11-13 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer structure and trimming method thereof
US10504716B2 (en) * 2018-03-15 2019-12-10 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor device and manufacturing method of the same
US20200006145A1 (en) * 2018-06-28 2020-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Bonding support structure (and related process) for wafer stacking
US20200090977A1 (en) * 2018-09-14 2020-03-19 Commissariat à l'énergie atomique et aux énergies alternatives Temporary bonding method with thermoplastic adhesive incorporating a rigid ring
US20200111658A1 (en) * 2018-10-03 2020-04-09 Disco Corporation Wafer processing method
US20200279730A1 (en) * 2019-02-28 2020-09-03 Nichia Corporation Method of manufacturing semiconductor elements
US20200402945A1 (en) * 2019-06-19 2020-12-24 Wuhan Xinxin Semiconductor Manufacturing Co., Ltd. Bonding Structure And Method For Manufacturing The Same
US20210035793A1 (en) * 2019-07-29 2021-02-04 Wuhan Xinxin Semiconductor Manufacturing Co., Ltd. Wafer Thinning Method and Wafer Structure

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220375789A1 (en) * 2019-10-31 2022-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-wafer capping layer for metal arcing protection
US11862515B2 (en) * 2019-10-31 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-wafer capping layer for metal arcing protection
CN115881622A (en) * 2023-01-29 2023-03-31 合肥晶合集成电路股份有限公司 Wafer bonding method

Also Published As

Publication number Publication date
US11482506B2 (en) 2022-10-25
CN113471082A (en) 2021-10-01
TWI761071B (en) 2022-04-11
KR102445596B1 (en) 2022-09-20
KR20210122628A (en) 2021-10-12
US20220336411A1 (en) 2022-10-20
DE102020110287A1 (en) 2021-09-30
TW202139275A (en) 2021-10-16

Similar Documents

Publication Publication Date Title
US20210305205A1 (en) Edge-trimming methods for wafer bonding and dicing
US10741505B2 (en) Method of manufacturing semiconductor device and semiconductor device
US7985661B2 (en) Semiconductor die singulation method
US11830854B2 (en) Packaged semiconductor devices including backside power rails and methods of forming the same
US11152276B2 (en) Trim wall protection method for multi-wafer stacking
US11715674B2 (en) Trim wall protection method for multi-wafer stacking
US11322464B2 (en) Film structure for bond pad
US11610812B2 (en) Multi-wafer capping layer for metal arcing protection
US11532589B2 (en) Semiconductor wafer and method of manufacturing the same
US20150243561A1 (en) Semiconductor Devices and Methods of Formation Thereof
US10692762B2 (en) Semiconductor device with gate stack
US11862515B2 (en) Multi-wafer capping layer for metal arcing protection
US20230129760A1 (en) Protective wafer grooving structure for wafer thinning and methods of using the same
US9859165B1 (en) Planarization process for forming semiconductor device structure
US20230187294A1 (en) Semiconductor wafer seal ring
US11862561B2 (en) Semiconductor devices with backside routing and method of forming same
TWI707427B (en) Method and device for reducing contamination for reliable bond pads
US20230352438A1 (en) Support structure to reinforce stacked semiconductor wafers

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LIMITED, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HSIEH, FENG-CHIEN;CHENG, YUN-WEI;CHENG, MU-HAN;AND OTHERS;SIGNING DATES FROM 20200706 TO 20200805;REEL/FRAME:053493/0731

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE