US20210087671A1 - Processing System For Small Substrates - Google Patents

Processing System For Small Substrates Download PDF

Info

Publication number
US20210087671A1
US20210087671A1 US17/109,596 US202017109596A US2021087671A1 US 20210087671 A1 US20210087671 A1 US 20210087671A1 US 202017109596 A US202017109596 A US 202017109596A US 2021087671 A1 US2021087671 A1 US 2021087671A1
Authority
US
United States
Prior art keywords
assembly
substrate
disposed
feedthrough
coupling plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US17/109,596
Inventor
Mitchell David Hsing
Parker Andrew Gould
Martin Arnold Schmidt
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Massachusetts Institute of Technology
Original Assignee
Massachusetts Institute of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Massachusetts Institute of Technology filed Critical Massachusetts Institute of Technology
Priority to US17/109,596 priority Critical patent/US20210087671A1/en
Publication of US20210087671A1 publication Critical patent/US20210087671A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32605Removable or replaceable electrodes or electrode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32889Connection or combination with other apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32908Utilities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Definitions

  • Embodiments of the present disclosure relate to a system for the processing of micro- and nanoscale devices using small substrates, such as substrates with a characteristic dimension of 1-2′′.
  • the size of the unprocessed substrate also referred to as a wafer
  • a wafer has increased in size.
  • MEMS microelectromechanical systems
  • the substrate processing system is designed to accommodate smaller substrates, such as round substrates having diameters of 1-2 inches. Additionally, the components of this substrate processing system are designed to be modular and interchangeable, thereby further reducing cost and complexity.
  • the substrate processing system comprises a lower assembly, which may be used with one or more upper assemblies.
  • the lower assembly is used to support the substrate and provide many of the fluid, electrical, and diagnostic connections, while the upper assemblies include the apparatus required to perform a certain fabrication function. For example, different upper assemblies may exist for deposition, etching, sputtering and ion implantation.
  • a substrate processing system comprising a lower assembly, comprising: a first predefined interface; a second predefined interface; and a vacuum port; a chuck assembly, adapted to hold a substrate and adapted to connect to the second predefined interface; and a plurality of upper assemblies, each adapted to connect to the first predefined interface, and each comprising a different processing apparatus, wherein any one of the plurality of upper assemblies may be connected to the first predefined interface, so as to form a respective processing chamber surrounding the substrate, so that the processing apparatus associated with the connected upper assembly may be used to process the substrate.
  • the first predefined interface comprises a first flange disposed on the lower assembly and a corresponding second flange disposed on each of the plurality of upper assemblies.
  • each of the plurality of upper assemblies comprises a chamber head, a hollow cylindrical tube, and a bottom flange, wherein fasteners, disposed outside the hollow cylindrical tube, are used to connect the chamber head, the hollow cylindrical tube and the bottom flange together.
  • the processing apparatus comprises a helical coil disposed around the hollow cylindrical tube.
  • the processing apparatus comprises a planar coil disposed on the top flange.
  • the processing apparatus comprises a sputtering gun disposed within the processing chamber.
  • the second predefined interface comprises a vacuum feedthrough.
  • a chuck assembly comprises a feedthrough tube, having a first end extending to the exterior of a processing chamber, and a second end, and having two fluid connections; a coupling plate, disposed at the second end of the feedthrough tube, having two fluid feedthrough conduits in communication with the two fluid connections, an upper chuck piece, disposed adjacent to the coupling plate, and having internal conduits fabricated on an underside of the upper chuck piece and in contact with a top surface of the coupling plate, an inlet and outlet of the internal conduits being in communication with the two fluid feedthrough conduits; and a wafer attachment mechanism disposed on a top surface of the upper chuck piece, such that the upper chuck piece is between the coupling plate and the wafer clamp.
  • the chuck assembly further comprises an isolation and alignment block, having a hollow interior and disposed at the second end of the feedthrough tube, wherein the coupling plate and the upper chuck piece are disposed within the hollow interior of the isolation and alignment block.
  • the coupling plate comprises an electrical connection, and wherein the coupling plate is adapted to be in communication with a power source.
  • the electrical connection exits the chuck assembly through the first end of the feedthrough tube.
  • the coupling plate contains one or more sensor connections, and wherein the coupling plate is adapted to be in communication with equipment interfacing with these sensor connections.
  • the coupling plate comprises gas conduits
  • the upper chuck piece comprises gas passages, and further comprising a gas connection, adapted to connect to a heat transfer medium, such that the heat transfer medium can flow through the gas connection, the gas conduits and the gas passages to the top surface of the upper chuck piece.
  • the wafer attachment mechanism comprises a wafer clamp, having one or more apertures defines region of a substrate to be processed, wherein the substrate is disposed between the top surface of the upper chuck piece and the wafer clamp.
  • the wafer attachment mechanism comprises a wafer carrier
  • the wafer carrier comprises a substrate holder and an insulating clamp, wherein a substrate is disposed between the insulating clamp and the substrate holder, and wherein the substrate holder is removably attached to the upper chuck piece.
  • a method of processing a substrate comprises disposing the substrate on a chuck assembly within a lower assembly of a processing chamber; attaching a first upper assembly to the lower assembly; performing a first process on the substrate, where the first upper assembly is adapted to perform the first process; removing the first upper assembly from the lower assembly; attaching a second upper assembly to the lower assembly; and performing a second process on the substrate, different than the first process, where the second upper assembly is adapted to perform the second process.
  • the first and second processes are selected from the group consisting of etching, amorphizing, deposition, sputtering and ion implantation.
  • FIG. 1 shows a representative configuration for a substrate processing system according to one embodiment
  • FIG. 2 shows an upper assembly according to one embodiment
  • FIG. 3 shows a lower assembly according to one embodiment
  • FIG. 4 shows a chuck assembly according to one embodiment
  • FIG. 5 shows an exploded view of the chuck assembly of FIG. 4 ;
  • FIG. 6 shows an exploded view of the chuck assembly of FIG. 4 ;
  • FIG. 7 shows an upper assembly according to one embodiment
  • FIG. 8 shows an upper assembly having an adjustment mechanism
  • FIG. 9 shows a cross-sectional view of an upper assembly having a second adjustment mechanism
  • FIG. 10 shows an upper assembly according to another embodiment
  • FIG. 11 shows an upper assembly according to another embodiment
  • FIGS. 12 a -12 b shows a top view and a bottom view, respectively, of a wafer carrier assembly according to one embodiment.
  • FIG. 1 shows a first embodiment of a substrate processing system 10 .
  • the substrate processing system 10 comprises an upper assembly 100 and a lower assembly 200 .
  • the upper assembly 100 and the lower assembly 200 may be held together using fasteners or interlocking geometries that connect the bottom flange of the upper assembly 100 to the lower assembly 200 .
  • a chuck assembly 300 is disposed within the lower assembly 200 .
  • the upper assembly 100 typically includes a cylindrical tube 110 , which may be made of an electrically insulating material, such as alumina.
  • the interior of the cylindrical tube 110 is hollow and defines the upper portion of the processing chamber.
  • the top end of the cylindrical tube 110 is attached to the chamber head 120 using a sealing mechanism 111 .
  • the chamber head 120 includes a top flange 121 .
  • a sealing mechanism 111 is disposed between top of the cylindrical tube 110 and the top flange 121 to create an airtight seal.
  • the bottom end of the cylindrical tube 110 is in communication with a bottom flange 130 . Unlike the top flange 121 , the bottom flange 130 has a large central opening in its middle.
  • the top flange 121 and the bottom flange 130 may be made of stainless steel, aluminum, nickel-plated brass or other suitable materials.
  • a sealing mechanism 111 is disposed between bottom of the cylindrical tube 110 and the bottom flange 130 to create an airtight seal.
  • the top flange 121 may have a plurality of holes 122 spaced along its outer circumference.
  • the bottom flange 130 may have an equal number of corresponding threaded holes 131 disposed therein.
  • the chamber head 120 , the cylindrical tube 110 and the bottom flange 130 are held together through the use of bolts 140 .
  • Each bolt 140 may pass through a hole 122 in the top flange 121 and continue parallel to the cylindrical tube 110 to a corresponding threaded hole 131 in the bottom flange 130 .
  • the top flange 121 and the bottom flange 130 have diameters greater than that of the cylindrical tube 110 .
  • the top flange 121 and the bottom flange 130 may have diameters of roughly 6 inches and 6.25 inches, respectively, while the cylindrical tube 110 has an outer diameter of roughly 3 inches.
  • the bolts 140 that connect the top flange 121 and the bottom flange 130 may be disposed on the outside of the cylindrical tube 110 .
  • eight bolts are used to secure the upper assembly 100 together, although other embodiments are also possible and within the scope of the disclosure.
  • the chamber head 120 may also include a gas feedthrough that passes through the top flange 121 .
  • the gas feedthrough may comprise a gas inlet 123 disposed in and passing through the top flange 121 .
  • the gas inlet 123 may be threaded and a threaded tube fitting 124 may be attached thereto, allowing a gas tube to be connected to the threaded tube fitting 124 .
  • Other mechanisms to pass a processing gas into the processing chamber may also be used.
  • the use of a threaded tube fitting 124 that passes through a gas inlet 123 in the top flange 121 is only one possible embodiment.
  • a gas showerhead 425 Disposed within the processing chamber and in communication with the gas inlet 123 may be a gas showerhead 425 (see FIG. 9 ).
  • the gas showerhead 425 which may be made of stainless steel, aluminum, nickel-plated brass or other suitable materials, may be attached to the underside of the top flange 121 using fasteners or interlocking geometry and serves to distribute the processing gas more uniformly throughout the processing chamber.
  • the gas showerhead 425 resembles a traditional showerhead, having an inlet on one side (nearest the top flange 121 ) and a plurality of smaller outlets disposed on the opposite side (facing the processing chamber). The plurality of smaller outlets is arranged in a pattern to achieve an optimized flow of processing gas into the processing chamber.
  • the gas showerhead 425 may be used to generate a spatially uniform flow of processing gas in the processing chamber.
  • the top flange 121 also comprises a central utility port 129 .
  • the central utility port 129 is used to introduce a diagnostic tool, such as a Langmuir probe or an optical diagnostic tool, such as a spectrometer.
  • the central utility port 129 may be configured as a viewport looking into the processing chamber.
  • the upper assembly 100 may also include one or more processing apparatus 150 , which may be disposed outside the processing chamber. In certain embodiments, all or part of the processing apparatus 150 may be disposed within the processing chamber, such as in the case of a sputtering gun (see FIG. 10 ). The various processing apparatus 150 will be described in more detail below.
  • the upper assembly 100 may be about 9 inches tall and have an outer diameter of about 6.25 inches. In other embodiments, the dimensions of the upper assembly 100 may vary to better accommodate the desired processing function.
  • FIG. 3 shows a representative view of a lower assembly 200 .
  • the lower assembly is used to support the upper assembly 100 , and also houses the various pumps and the chuck assembly.
  • the lower assembly 200 comprises a platform 210 .
  • the platform 210 may comprise a plurality of supports 211 which maintain separation between the top of the platform 210 and the underlying surface.
  • the platform 210 may also be formed by an aperture and connection holes in a larger surface such as a table.
  • a flange 220 may be disposed on the top surface of the platform 210 .
  • the flange 220 has a large central opening.
  • the flange 220 may also have connection holes 221 therethrough, which align to the connection holes 133 in the bottom flange 130 of the upper assembly 100 (see FIG. 2 ).
  • a lower chamber flange manifold 230 may be disposed beneath and attached to the underside of the top surface of the platform 210 .
  • the lower chamber flange manifold 230 may be a hollow structure, where the top surface of the structure is attached to the underside of the top surface of the platform 210 .
  • the bottom surface of the structure is open.
  • a pump port 240 may be in communication with the lower chamber flange manifold 230 .
  • the pump port 240 may be disposed along a sidewall of the structure. Vacuum pumping and pressure control equipment (not shown) may be attached to the pump port 240 to maintain the processing chamber at the desired pressures for operation, when the upper assembly 100 and chuck assembly 300 are attached to the lower assembly 200 .
  • a sample transfer flange 260 may also be in communication with the lower chamber flange manifold 230 , such as on a second sidewall.
  • Sample transfer apparatus such as a vacuum load lock system (including components such as a gate valve, a sample loading door, a vacuum port, and a linear and/or rotary motion vacuum feedthrough), may be attached to this sample transfer flange 260 to allow substrates to be loaded and unloaded from the chuck assembly 300 while maintaining vacuum pressures. In certain instances, this may be a desirable characteristic for many different micro- and nanofabrication processes.
  • a vacuum feedthrough 270 Disposed within the bottom flange 250 is a vacuum feedthrough 270 .
  • a chuck assembly 300 may be inserted in the lower assembly 200 and is held in place by vacuum feedthrough 270 .
  • the vacuum feedthrough 270 includes multiple levels of airtight seals that allow for translational and rotational motion of the chuck assembly 300 through the vacuum feedthrough 270 while maintaining full airtightness.
  • FIG. 4 shows an assembled view of a chuck assembly 300 according to one embodiment.
  • FIGS. 5-6 show exploded views of the upper portion of the chuck assembly 300 of FIG. 4 .
  • the chuck assembly 300 may be used with different wafer attachment mechanisms.
  • FIG. 4 shows a wafer clamp 350 and a wafer carrier 360 as being interchangeable wafer attachment mechanisms.
  • the chuck assembly 300 of FIGS. 5-6 shows the wafer clamp 350 .
  • the wafer carrier is illustrated in greater detail in FIGS. 12 a - 12 b.
  • the chuck assembly 300 comprises a feedthrough tube 310 .
  • the connections to the chuck including electrical conduit 337 and fluid connections 334 , communicate through the feedthrough tube 310 .
  • the bottom open end of the feedthrough tube 310 extends downward from the vacuum feedthrough 270 (see FIG. 1 ) and is exposed to the exterior of the substrate processing system 10 .
  • the top end of the feedthrough tube 310 is in communication with an isolation and alignment block 320 .
  • the isolation and alignment block 320 may be constructed of an electrically insulating material, such as polyether ether ketone (PEEK), polyimide, or alumina.
  • PEEK polyether ether ketone
  • the isolation and alignment block 320 serves to enclose and protect the internal connections to the chuck.
  • the isolation and alignment block 320 may be cylindrical with an interior flange 321 and a hollow interior. This interior flange 321 rests on a flange on the top surface of the feedthrough tube 310 .
  • the interior flange 321 may have along its circumference a plurality of connection holes 327 and 329 , where the connection holes 327 are on the bottom face ( 321 b ) of the interior flange 321 , and the connection holes 329 are on the top face ( 321 a ) of the interior flange 321 . These connection holes 327 and 329 may be communication with one another.
  • the feedthrough tube 310 may have connection holes 317 that connect with fasteners to the connection holes 327 on the bottom face 321 b of the interior flange 321 .
  • O-ring grooves 322 a , 322 b may be included on both the top face 321 a and bottom face 321 b , respectively, of the interior flange 321 to provide an airtight seal between the interior region of the chuck assembly 300 and the processing chamber.
  • Other embodiments may include additional seals inside of the O-ring grooves 322 a , 322 b to isolate fluids and other connections from one another and the processing chamber.
  • the coupling plate 330 may comprise at least one electrical connection, which allows an electrical signal to be applied to the coupling plate 330 .
  • a connector 331 such as an SMA connector, is disposed on the interior flange 321 and provides an electrical connection with the coupling plate 330 .
  • the connector 331 may be attached to an external power supply (not shown) via an electrical conduit 337 so as to apply an electrical signal to the coupling plate 330 .
  • the electrical signal may be a time-varying, or RF voltage.
  • the electrical signal may be a constant or pulsed DC voltage.
  • the coupling plate 330 may be constructed of an electrically conductive material, such as aluminum.
  • the coupling plate 330 may rest on the interior flange 321 of the isolation and alignment block 320 , which in turn rests on the flange on the top surface of the feedthrough tube 310 .
  • the coupling plate 330 may have a plurality of connection holes 339 around its circumference. These connection holes 339 may be arranged to match with connection holes 349 and 329 found on the upper chuck piece 340 and the isolation and alignment block 320 , respectively. These matched connection holes 329 , 339 , 349 allow the three components to be connected to one another via fasteners.
  • the upper chuck piece may include one or more internal conduits 341 through which a fluid, such as water, may pass. These internal conduits 341 may be in communication with fluid feedthrough conduits 333 in the coupling plate 330 , which in turn, are in communication with fluid connections 334 . These internal conduits 341 are fabricated into the underside of the upper chuck piece 340 , so as to be exposed on the underside of the upper chuck piece 340 . These internal conduits 341 may exist in a dual spiral configuration, such that an inlet channel is disposed adjacent to an outlet channel.
  • the upper chuck piece 340 is then attached to the coupling plate 330 , such that the top surface of the coupling plate 330 forms a wall of internal conduits 341 .
  • An O-ring (not shown) may be disposed in the O-ring recess 342 between the upper chuck piece 340 and the coupling plate 330 .
  • a fluid such as water, may be pumped into one of fluid connections 334 , travel through a first of the fluid feedthrough conduits 333 in the coupling plate 330 and circulate through the internal conduits 341 of the upper chuck piece 340 .
  • the water then exits the internal conduits 341 of the upper chuck piece 340 , passes through a second of the fluid feedthrough conduits 333 in the coupling plate 330 and passes into the second of the fluid connections 334 .
  • the upper chuck piece 340 may be constructed of a conductive metal, such as aluminum.
  • Also disposed within the chuck assembly 300 may be additional conduits that provide other connections needed for a particular application. Shown in FIGS. 4-6 is the gas connection 336 , which is used to provide a heat transfer medium, such as, for example, helium or another gas, to the volume between the substrate and the upper chuck piece 340 . Also shown in FIGS.
  • the sensor connection 335 which may be used to monitor different characteristics of the chuck assembly 300 , such as the temperature or the DC potential.
  • These connections and the associated conduits passing through one or more pieces of the chuck assembly 300 may require additional part geometry or seals to provide appropriate isolation from the other connections. This additional geometry or seals may be readily determined and understood by a person of ordinary skill in the art.
  • the upper chuck piece 340 may comprise connection holes 349 disposed along its circumference.
  • the connection holes 329 in the isolation and alignment block 320 may be threaded so that fasteners may be inserted through both the connection holes 349 in the upper chuck piece 340 and the connection holes 339 in the coupling plate 330 , to secure the three components (isolation and alignment block 320 , coupling plate 330 , and upper chuck piece 340 ) together.
  • the upper chuck piece 340 and the coupling plate 330 are also independently secured together with fasteners or interlocking geometry.
  • a gas passage 345 may be disposed in the upper chuck piece 340 .
  • Gas conduits 332 may exist in the coupling plate 330 to allow communication between the gas connection 336 and the gas passage 345 .
  • a source of gas such as, for example, helium, may be connected to gas connection 336 .
  • This gas then flows through the gas connection 336 to the gas conduits 332 in the coupling plate 330 .
  • the gas travels through the gas conduits 332 and into the gas passage 345 in the upper chuck piece 340 .
  • the gas then exits the top surface of the upper chuck piece 340 .
  • a wafer attachment mechanism is then disposed on top of the upper chuck piece 340 .
  • disposed on top of the upper chuck piece 340 may be a wafer clamp 350 .
  • This wafer clamp 350 may be constructed of an electrically insulating material, such as alumina.
  • the wafer clamp 350 may be secured to the upper chuck piece 340 using fasteners via matching connection holes 358 and 348 on the wafer clamp 350 and the upper chuck piece 340 , respectively.
  • the wafer clamp 350 may contain one or more apertures 351 that define particular areas of the substrate that will be exposed to the processing environment. During operation, the substrate rests between the wafer clamp 350 and the upper chuck piece 340 .
  • An O-ring recess 343 may be disposed on the top surface of the upper chuck piece 340 to provide an airtight seal between the substrate and upper chuck piece 340 . This seal may prevent any fluids flowed through conduits extending through the upper chuck piece 340 from communicating with the greater processing chamber. For example, in certain embodiments, a gas is flowed to the volume between the substrate and the upper chuck piece 340 (through gas passage 345 ) to serve as a heat transfer medium.
  • a shallower alignment recess 344 may also be disposed on the top surface of the upper chuck piece 340 to provide spatial registration for the substrate.
  • a wafer carrier 360 may be disposed on top of the upper chuck piece 340 .
  • FIG. 12 a shows a top view
  • FIG. 12 b shows a bottom view of the wafer carrier 360 .
  • This wafer carrier 360 may include a substrate holder 370 and an insulating clamp 361 to secure the substrate 380 to the carrier and may contain one or more apertures 362 to define particular areas of the substrate 380 that will be exposed to the processing environment.
  • the insulating clamp 361 may be secured to the substrate holder 370 using fasteners via matching connection holes 368 and 378 .
  • the wafer carrier 360 may also include a fastening and sealing mechanism 376 and 377 to removably couple to the upper chuck piece 340 in an airtight manner.
  • the wafer carrier may clip onto the upper chuck piece 340 .
  • the upper chuck piece 340 and the substrate holder 370 may comprise threads, such that the substrate holder 370 may be screwed onto the upper chuck piece 340 .
  • one or more conduits 375 a , 375 b may be included to interface with connections passing through the upper chuck piece 340 .
  • the gas passage 345 in the upper chuck piece 340 may interface with the conduits 375 a , 375 b to provide the volume between the substrate holder 370 and the substrate 380 with a connection to the gas provided through gas connection 336 .
  • the wafer carrier 360 may also include geometry or connection holes 371 that allow it to be easily captured by a sample transfer mechanism. This sample transfer mechanism may originate from a sample transfer assembly (such as a load lock system) that is attached to the system through the sample transfer flange 260 .
  • the assembled chuck assembly 300 may be installed in the lower assembly 200 by sliding the chuck assembly through the flange 220 and through the vacuum feedthrough 270 . As described above, several connections may exit through the open bottom end of the feedthrough tube 310 .
  • the upper assembly 100 may be placed in the lower assembly 200 .
  • Geometry on the underside of flange 130 or top side of flange 220 may be used to spatially align the upper and lower assembly to one another.
  • Fasteners may be used to secure the bottom flange 130 of the upper assembly 100 and the flange 220 of the lower assembly 200 to the platform 210 .
  • a power supply may then be connected to the connector 331 via electrical conduit 337 , fluid sources may be connected to fluid connections 334 , gas connections 336 , and tube fitting 124 and instrumentation may be connected to sensor connection 335 .
  • Vacuum pumping and pressure control equipment (not shown) may be attached to the pump port 240 to maintain the processing chamber at the desired pressures for operation.
  • a sample transfer assembly (not shown) may then be attached to the sample transfer flange 260 . Suitable connections may then be made to the processing apparatus 150 of the upper assembly 100 . After completion of this assembly process, the substrate processing system 10 is ready for operation.
  • the substrate or processing chamber may be heated.
  • the substrate or processing chamber may be cooled.
  • a pulsed bias voltage may be applied to the substrate.
  • an RF bias voltage may be applied to the substrate.
  • the present substrate processing system 10 comprises a plurality of modular, interchangeable parts enabling all of these processes to be performed, at a plurality of different operating conditions.
  • the chuck assembly 300 may include a coupling plate 330 and an upper chuck piece 340 .
  • the coupling plate 330 is electrically connected to a connector 331 using an electrical conduit to allow electrical signals to be applied to it. These electrical signals may be pulsed DC voltages, time varying or RF voltages, or any other suitable voltage.
  • the upper chuck piece 340 has internal conduits 341 to allow the circulation of a fluid. In certain embodiments, this is done using water to cool the substrate. However, if desired, a colder fluid, shown as cooled nitrogen, may be flowed through the internal conduits 341 to further reduce the temperature of the substrate.
  • the coupling plate 330 also has gas conduits 332 to allow a heat transfer medium to communicate with the underside of the substrate.
  • the upper chuck piece 340 comprises gas passages 345 to allow a heat transfer medium to communicate with the underside of the substrate.
  • different wafer attachment mechanisms may be used.
  • the substrate may be attached directly to the top surface of the upper chuck piece 340 using a wafer clamp 350 .
  • the wafer is disposed within a wafer carrier 360 . This wafer carrier is then disposed on top of the upper chuck piece 340 .
  • the upper chuck piece 340 and coupling plate 330 of FIGS. 4-6 may be replaced with a set of different components, which includes resistive heaters disposed therein.
  • the fluid connections 334 may be replaced with one or more electrical connections, which provide the power to actuate the resistive heaters within the upper chuck piece 340 .
  • These resistive heaters may allow the substrate to be heated to several hundred degrees (C.).
  • the power supply used to power the coupling plate 330 may be changed to accommodate different operating parameters.
  • the fluid passed through the internal conduits 341 of the upper chuck piece 340 may be varied to change the temperature of the substrate during processing.
  • a heat transfer medium may be supplied to the volume between the underside of the substrate and the upper chuck piece 340 .
  • the upper chuck piece 340 and coupling plate 330 may be exchanged for an interchangeable part that replaces the internal conduits 341 with resistive heaters.
  • parameters such as temperature, DC potential, pressure, or others, may be monitored using the sensor connection 335 .
  • the chuck assembly 300 may also include a rotary actuator, which allows the substrate to rotate as it is being processed.
  • the feedthrough tube 310 may be sufficiently long so as to allow adjustment of the height of the substrate within the processing chamber. For example, by varying where the feedthrough tube 310 sits relative to the vacuum feedthrough 270 , the total height of the chuck assembly 300 within the lower assembly 200 may be adjusted. Thus, the position of the substrate within the processing chamber can also be easily adjusted, based on desired process conditions. In certain embodiments, the ability to vary the height of the chuck assembly 300 within the lower assembly 200 may also enable loading and unloading of substrates via the sample transfer flange 260 .
  • the top of the chuck assembly 300 When coupled with an appropriate sample transfer assembly (such as a load lock system), the top of the chuck assembly 300 may be adjusted to a height near the middle of the sample transfer flange 260 , and mechanisms included in the sample transfer assembly (such as a linear and/or rotary motion vacuum feedthrough) may load and unload a wafer carrier 360 . The height of the chuck assembly may then be readjusted to continue with the next step of the processing sequence.
  • an appropriate sample transfer assembly such as a load lock system
  • FIG. 7 shows a first embodiment of an upper assembly, similar to the one shown in FIG. 1 .
  • This upper assembly may be referred to as an etching upper assembly 400 .
  • the etching upper assembly 400 includes a chamber head 420 , which comprises a top flange 421 , which includes a central utility port 429 and a threaded tube fitting 424 .
  • the central utility port 429 may be used to introduce a diagnostic tool or as a viewport.
  • the etching upper assembly 400 also includes a hollow cylindrical tube 410 , which is made of an electrically insulating material, such as alumina.
  • a bottom flange 430 is also provided, and bolts 440 are used to hold together the chamber head 420 , the cylindrical tube 410 and the bottom flange 430 , as described with reference to FIG. 2 .
  • the top flange 421 and bottom flange 430 may be metal components, such as stainless steel.
  • a helical coil 450 made of a conductive material, is disposed around the outside of the cylindrical tube 410 . While FIG. 7 shows two rotations of the helical coil 450 about the cylindrical tube 410 , the disclosure is not limited to this configuration. Any number of rotations may be employed.
  • a power supply (not shown) is in communication with this helical coil 450 .
  • the power supply may supply an RF voltage to the helical coil 450 , which may be used to inductively couple energy into the processing chamber. This inductively coupled energy causes the gas that is introduced through the threaded tube fitting 424 to become a plasma.
  • the helical coil 450 may be translated vertical along the cylindrical tube 410 .
  • the helical coil 450 may be movably attached to one or more of the bolts 440 , such that the helical coil 450 may be moved by adjustment of the attachment point to the bolts 440 .
  • FIG. 8 shows an embodiment where the helical coil 450 may be moved vertically along the outside of the cylindrical tube 410 .
  • the arrow 498 shows the axis of motion for the helical coil 450 .
  • the position of the helical coil 450 may be controlled by a coil connecting assembly 451 .
  • This coil connecting assembly 451 may be secured to the etching upper assembly 400 using one or more of the bolts 440 that connect the cylindrical tube 410 , top flange 421 , and bottom flange 430 .
  • One or more coil connections 452 may also be included on the coil connecting assembly 451 to better facilitate the connection of power sources and/or other cooling, measurement, or sensing apparatus necessary for the particular process being performed.
  • the chamber head 420 may also comprise a gas showerhead 425 , which is in communication with the gas connection that passes through the threaded tube fitting 424 .
  • the gas showerhead 425 is directly connected to the underside of the top flange 421 .
  • the gas showerhead 425 may also be vertically translated within the cylindrical tube 410 by moving a straight tube 426 in communication with the gas showerhead 425 through the tube fitting 424 , as shown by arrow 499 in FIG. 9 .
  • the gas showerhead 425 may be disposed near the top flange 421 .
  • the gas showerhead 425 may be moved further from the top flange 421 .
  • the helical coil 450 may also be vertically translated, a coordinated positioning of the gas showerhead 425 and the helical coil 450 may be used to optimize the process to be performed on the substrate.
  • the upper assembly of FIG. 7 is referred to as an etching upper assembly, if is noted that other processes may also be performed with this upper assembly.
  • deposition or ion implantation may be performed using this upper assembly.
  • the selection of the gas that is introduced into the processing chamber may cause the substrate to be etched. However, selecting a different gas may cause deposition.
  • the application of a voltage to the coupling plate 330 may accelerate ions toward the substrate, causing implantation.
  • FIG. 10 shows a second embodiment of an upper assembly that may be used with the lower assembly shown in FIG. 3 .
  • the upper assembly is used as a sputtering upper assembly 500 .
  • the sputtering upper assembly 500 includes a chamber head 520 , which comprises a top flange 521 and a sputtering gun 550 .
  • the chamber head 520 may also include a gas inlet 523 and fitting 524 that may allow process gas into the chamber.
  • An airtight connecting port 551 is disposed on the top flange 521 and is in communication with the sputtering gun 550 . This airtight connecting port 551 may be used to provide any power and/or control signals required to operate the sputtering gun 550 .
  • the sputtering upper assembly 500 also comprises a hollow cylindrical tube 510 and a bottom flange 530 .
  • the cylindrical tube 510 may be an electrically material, such as alumina.
  • the chamber head 520 , the cylindrical tube 510 and the bottom flange 530 may be connected using bolts 540 , as described in the previous embodiments.
  • This sputtering upper assembly 500 may be used to deposit metals and insulators on a substrate.
  • FIG. 11 shows another embodiment of an upper assembly that may be used with the lower assembly shown in FIG. 1 .
  • the upper assembly is used as a deposition upper assembly 600 .
  • the deposition upper assembly 600 includes a chamber head 620 .
  • the top flange 621 of the chamber head 620 may be entirely or partially constructed from a dielectric material.
  • Disposed on the dielectric portion of the top flange 621 is a planar coil 650 , which may be circularly wound.
  • This planar coil 650 may be in connection with a power supply (not shown), which provides a RF voltage to the planar coil 650 .
  • this RF voltage induces energy within the processing chamber, which is transmitted through the chamber head 620 .
  • the deposition upper assembly 600 also includes a hollow cylindrical tube 610 , which is disposed between the chamber head 620 and the bottom flange 630 . As with the other embodiments, bolts 640 may be used to attach these components. In this embodiment, the energy is coupled through the top of the processing chamber. Consequently, in some embodiments, the deposition upper assembly 600 may be shorter in height than other upper assemblies so that the coupled energy is near the substrate. Although not shown, the deposition upper assembly 600 also comprises a gas inlet to allow the introduction of gas to the processing chamber. This gas inlet may be disposed on the top flange 621 .
  • each of the upper assemblies described herein share a common attribute, which is the bottom flange 430 , 530 , 630 .
  • This bottom flange is dimensioned to interface with the flange 220 of the lower assembly 200 and provide an airtight seal between the two assemblies. In other words, this bottom flange serves as a standard interface that is used by all upper assemblies.
  • the bottom flange of the upper assemblies and the flange 220 of the lower assembly 200 all have a central opening passing therethrough. Consequently, the top portion of the processing chamber, which is defined by the top flange and cylindrical tube of the upper assembly, is in communication with the bottom portion of the processing chamber, which includes the chuck.
  • the size of the central opening in these flanges may vary, however, in most embodiments, it may be at least as wide as the diameter of the top portion of the chuck assembly 300 .
  • FIG. 1 shows the chuck assembly extending above the top of the flange 220 and extending into the top portion of the processing chamber.
  • the substrate is disposed above the interface between the upper assembly and the lower assembly, such that the fabrication processes occur within the processing chamber defined by the cylindrical tube.
  • the chuck assembly 300 may be further recessed in the lower assembly 200 , such that the substrate is disposed below the interface between the upper assembly and the lower assembly.
  • the substrate may be elevated to be closer to the chamber head.
  • the disclosure describes a substrate processing system, specifically designed to handle smaller sized substrates.
  • the system is designed with modular, interchangeable parts, allowing a number of different substrate processing processes to be performed using the same set of components.
  • the lower assembly 200 may be considered universal, in that it may be used with a variety of different chuck assemblies and upper assemblies.
  • the lower assembly provides a platform 210 , a pump port 240 to allow the evacuation of air from the assembled system and, optionally, a sample transfer flange 260 that allows for loading and unloading of substrate while maintaining vacuum pressures.
  • the lower assembly includes a predetermined interface, which in these embodiments, comprises a flange, namely the flange 220 . All of the various upper assemblies attach to the lower assembly 200 using this predetermined interface. Therefore, as long as each upper assembly includes the requisite interface (i.e. a flange adapted to mate with the flange 220 ), it may be used with the lower assembly 200 .
  • the lower assembly 200 includes a vacuum feedthrough 270 , which can be used to receive a chuck assembly.
  • a chuck assembly includes a feedthrough tube 310 having the requisite diameter, it may be installed in the vacuum feedthrough 270 and used with the lower assembly 200 .
  • the lower assembly 200 defines two predefined interfaces: a first interface for all upper assemblies and a second interface for all chuck assemblies.
  • a third standard interface for sample transfer mechanisms is also provided.
  • the upper assemblies all share a common predefined interface, which is the bottom flange.
  • a common predefined interface which is the bottom flange.
  • new upper assemblies can readily be created which can utilize the same lower assembly, reducing space and cost requirements.
  • an upper assembly that provides both a helical coil (as shown in FIG. 7 ) and a planar coil (as shown in FIG. 11 ) may be beneficial, or that additional apparatus, such as magnets, may be added to an upper assembly to provide additional functionality or performance improvements.
  • multiple similar or identical upper assemblies may be used to perform similar or identical processes but on substrates with differing material compositions or initial conditions. Thus, a level of isolation may be achieved that may reduce undesirable cross-contamination between upper assemblies. This is often a major concern in both development and production of micro- and nanoscale devices.
  • Upper assemblies such as these can be readily adapted to the present system simply by utilizing the same bottom flange as the other upper assemblies.
  • the upper assemblies described here include a hollow cylindrical tube and a chamber head, which are all secured to the bottom flange using bolts, this is not necessary for all embodiments.
  • the upper assembly may have a shape that is different than a cylinder, as long as the bottom flange of the upper assembly matches that used on the lower assembly.
  • the lower assembly 200 also provides a standard interface for chuck assemblies.
  • completely different chuck assemblies 300 may be used with the lower assembly 200 , as long as they share an appropriately sized feedthrough tube 310 .
  • the sample transfer flange 260 may be used with different sample transfer assemblies, or even other assemblies containing such things as additional diagnostic or measurement instrumentation, as long as they terminate with an interface that matches the sample transfer flange 260 .
  • the upper chuck piece 340 and the coupling plate 330 may be replaced with similarly sized components having somewhat different functions.
  • the upper chuck piece 340 which includes internal conduits to allow the flow of water, allowing the substrate to be cooled, may be replaced with a different chuck that includes internal resistive heaters with heat the substrate.
  • a substrate may be disposed on a chuck assembly, using with the wafer clamp or the wafer carrier.
  • the chuck assembly is disposed within the lower assembly, as described above.
  • a first upper assembly is then attached to the lower assembly. Vacuum is created within the processing chamber, and a first process may be performed on the substrate.
  • This first process may be, for example, an etching, amorphizing, deposition, sputtering, ion implantation, or another process.
  • the first upper assembly may be removed and replaced with a second upper assembly, which is disposed on the same lower assembly.
  • Vacuum is created within the processing chamber, and a second process may be performed on the substrate.
  • This second process may be, for example, any of the processes listed above.
  • This sequence may be repeated for an arbitrary number of processes using any number of upper assemblies.
  • the present processing system allows the substrate to remain within the lower assembly, while different upper assemblies are clamped thereon, allowing different processes to be performed without moving the substrate to another chamber.

Abstract

A substrate processing system that is optimized for the production of smaller volumes of semiconductor components is disclosed. To minimize cost, the substrate processing system is designed to accommodate smaller substrates, such as substrates having a diameter of roughly one inch. Additionally, the components of the substrate processing system are designed to be interchangeable, thereby further reducing cost and complexity. In certain embodiments, the substrate processing system comprises a lower assembly, which may be used with one or more upper assemblies. The lower assembly is used to support the substrate and provide many of the fluid, electrical, and sensor connections, while the upper assemblies include the apparatus required to perform a certain fabrication function. For example, different upper assemblies may exist for deposition, etching, sputtering and ion implantation.

Description

  • This application is a continuation of U.S. patent application Ser. No. 15/325,224 filed Jan. 10, 2017, which is a 371 of PCT International Application No. PCT/US2015/049292 filed Sep. 10, 2015, which claims priority of US. Provisional Patent Application Ser. No. 62/048,850, filed Sep. 11, 2014 and 62/180,832, filed Jun. 17, 2015, the disclosures of which are incorporated herein by reference in their entireties.
  • Embodiments of the present disclosure relate to a system for the processing of micro- and nanoscale devices using small substrates, such as substrates with a characteristic dimension of 1-2″.
  • BACKGROUND
  • Semiconductor fabrication has continued to evolve following the prediction by Gordon Moore. Each year, the complexity of devices on a substrate roughly doubles. To support this exponential increase in device complexity, improvements are continuously being made to semiconductor fabrication equipment.
  • As a result, this fabrication equipment continues to grow in complexity, which also leads to a corresponding increase in the cost of this equipment. To justify the cost of the semiconductor fabrication equipment, equipment owners need to produce a large quantity of devices per year. This implies that the semiconductor fabrication equipment is often run continuously, 24 hours a day, and stopped only for repair or preventative maintenance.
  • In addition, to increase the number of devices that can be produced, the size of the unprocessed substrate, also referred to as a wafer, has increased in size. An increase in the size of the substrate, coupled with a decrease in the size of each device, results in a cumulative effect, where the number of devices per substrate increases dramatically.
  • While this approach leads to lower costs for semiconductor devices, such as memory devices, central processing units (CPUs), and other high volume components, there are some significant drawbacks.
  • One of the most obvious drawbacks of this trend is the negative impact that is has on the production of lower volume components. For example, certain types of devices, such as microelectromechanical systems (MEMS) sensors, may be desirable, but their projected volume is typically less than that of, for example, memory devices.
  • As a result, it often becomes impractical for the designers of these lower volume components to purchase their own dedicated semiconductor fabrication equipment. Furthermore, reserving fabrication time at a contract-based semiconductor fabrication company (generally known as a foundry) may impractical, as the costs (both financial and temporal) of that fabrication time may be prohibitive. Furthermore, these semiconductor fabrication contract companies may be reluctant to produce several distinct low volume components as opposed to fewer, higher volume components.
  • Consequently, designers of low volume components, such as early-stage businesses or research facilities, are at a serious disadvantage. Therefore, a semiconductor fabrication system that is optimized for smaller lot sizes would be highly desirable. Further, the ability to create such a semiconductor fabrication system at a reasonable cost would be very advantageous. Additionally, a small footprint may also be beneficial.
  • SUMMARY
  • A substrate processing system that is optimized for the production of smaller volumes of devices is disclosed. To minimize cost, the substrate processing system is designed to accommodate smaller substrates, such as round substrates having diameters of 1-2 inches. Additionally, the components of this substrate processing system are designed to be modular and interchangeable, thereby further reducing cost and complexity. In certain embodiments, the substrate processing system comprises a lower assembly, which may be used with one or more upper assemblies. The lower assembly is used to support the substrate and provide many of the fluid, electrical, and diagnostic connections, while the upper assemblies include the apparatus required to perform a certain fabrication function. For example, different upper assemblies may exist for deposition, etching, sputtering and ion implantation.
  • According to one aspect, a substrate processing system is disclosed. The system comprises a lower assembly, comprising: a first predefined interface; a second predefined interface; and a vacuum port; a chuck assembly, adapted to hold a substrate and adapted to connect to the second predefined interface; and a plurality of upper assemblies, each adapted to connect to the first predefined interface, and each comprising a different processing apparatus, wherein any one of the plurality of upper assemblies may be connected to the first predefined interface, so as to form a respective processing chamber surrounding the substrate, so that the processing apparatus associated with the connected upper assembly may be used to process the substrate. In a certain embodiment, the first predefined interface comprises a first flange disposed on the lower assembly and a corresponding second flange disposed on each of the plurality of upper assemblies. In certain embodiments, wherein each of the plurality of upper assemblies comprises a chamber head, a hollow cylindrical tube, and a bottom flange, wherein fasteners, disposed outside the hollow cylindrical tube, are used to connect the chamber head, the hollow cylindrical tube and the bottom flange together. In some embodiments, the processing apparatus comprises a helical coil disposed around the hollow cylindrical tube. In some embodiments, the processing apparatus comprises a planar coil disposed on the top flange. In some embodiments, wherein the processing apparatus comprises a sputtering gun disposed within the processing chamber. In certain embodiments, the second predefined interface comprises a vacuum feedthrough.
  • According to a second aspect, a chuck assembly is disclosed. The chuck assembly comprises a feedthrough tube, having a first end extending to the exterior of a processing chamber, and a second end, and having two fluid connections; a coupling plate, disposed at the second end of the feedthrough tube, having two fluid feedthrough conduits in communication with the two fluid connections, an upper chuck piece, disposed adjacent to the coupling plate, and having internal conduits fabricated on an underside of the upper chuck piece and in contact with a top surface of the coupling plate, an inlet and outlet of the internal conduits being in communication with the two fluid feedthrough conduits; and a wafer attachment mechanism disposed on a top surface of the upper chuck piece, such that the upper chuck piece is between the coupling plate and the wafer clamp. In certain embodiments, the chuck assembly further comprises an isolation and alignment block, having a hollow interior and disposed at the second end of the feedthrough tube, wherein the coupling plate and the upper chuck piece are disposed within the hollow interior of the isolation and alignment block. In some embodiments, the coupling plate comprises an electrical connection, and wherein the coupling plate is adapted to be in communication with a power source. In a further embodiment, the electrical connection exits the chuck assembly through the first end of the feedthrough tube. In some embodiments, the coupling plate contains one or more sensor connections, and wherein the coupling plate is adapted to be in communication with equipment interfacing with these sensor connections. In some embodiments, the coupling plate comprises gas conduits, the upper chuck piece comprises gas passages, and further comprising a gas connection, adapted to connect to a heat transfer medium, such that the heat transfer medium can flow through the gas connection, the gas conduits and the gas passages to the top surface of the upper chuck piece. In certain embodiments, the wafer attachment mechanism comprises a wafer clamp, having one or more apertures defines region of a substrate to be processed, wherein the substrate is disposed between the top surface of the upper chuck piece and the wafer clamp. In certain embodiments, the wafer attachment mechanism comprises a wafer carrier, the wafer carrier comprises a substrate holder and an insulating clamp, wherein a substrate is disposed between the insulating clamp and the substrate holder, and wherein the substrate holder is removably attached to the upper chuck piece.
  • According to a third aspect, a method of processing a substrate is disclosed. The method comprises disposing the substrate on a chuck assembly within a lower assembly of a processing chamber; attaching a first upper assembly to the lower assembly; performing a first process on the substrate, where the first upper assembly is adapted to perform the first process; removing the first upper assembly from the lower assembly; attaching a second upper assembly to the lower assembly; and performing a second process on the substrate, different than the first process, where the second upper assembly is adapted to perform the second process. In certain embodiments, the first and second processes are selected from the group consisting of etching, amorphizing, deposition, sputtering and ion implantation.
  • BRIEF DESCRIPTION OF THE FIGURES
  • For a better understanding of the present disclosure, reference is made to the accompanying drawings, which are incorporated herein by reference and in which:
  • FIG. 1 shows a representative configuration for a substrate processing system according to one embodiment;
  • FIG. 2 shows an upper assembly according to one embodiment;
  • FIG. 3 shows a lower assembly according to one embodiment;
  • FIG. 4 shows a chuck assembly according to one embodiment;
  • FIG. 5 shows an exploded view of the chuck assembly of FIG. 4;
  • FIG. 6 shows an exploded view of the chuck assembly of FIG. 4;
  • FIG. 7 shows an upper assembly according to one embodiment;
  • FIG. 8 shows an upper assembly having an adjustment mechanism;
  • FIG. 9 shows a cross-sectional view of an upper assembly having a second adjustment mechanism;
  • FIG. 10 shows an upper assembly according to another embodiment;
  • FIG. 11 shows an upper assembly according to another embodiment; and
  • FIGS. 12a-12b shows a top view and a bottom view, respectively, of a wafer carrier assembly according to one embodiment.
  • DETAILED DESCRIPTION
  • FIG. 1 shows a first embodiment of a substrate processing system 10. The substrate processing system 10 comprises an upper assembly 100 and a lower assembly 200. The upper assembly 100 and the lower assembly 200 may be held together using fasteners or interlocking geometries that connect the bottom flange of the upper assembly 100 to the lower assembly 200. A chuck assembly 300 is disposed within the lower assembly 200.
  • As better seen in FIG. 2, the upper assembly 100 typically includes a cylindrical tube 110, which may be made of an electrically insulating material, such as alumina. The interior of the cylindrical tube 110 is hollow and defines the upper portion of the processing chamber. The top end of the cylindrical tube 110 is attached to the chamber head 120 using a sealing mechanism 111. The chamber head 120 includes a top flange 121. In certain embodiments, a sealing mechanism 111 is disposed between top of the cylindrical tube 110 and the top flange 121 to create an airtight seal. The bottom end of the cylindrical tube 110 is in communication with a bottom flange 130. Unlike the top flange 121, the bottom flange 130 has a large central opening in its middle. The top flange 121 and the bottom flange 130 may be made of stainless steel, aluminum, nickel-plated brass or other suitable materials. In certain embodiments, a sealing mechanism 111 is disposed between bottom of the cylindrical tube 110 and the bottom flange 130 to create an airtight seal. In certain embodiments, the top flange 121 may have a plurality of holes 122 spaced along its outer circumference. Similarly, the bottom flange 130 may have an equal number of corresponding threaded holes 131 disposed therein. In certain embodiments, the chamber head 120, the cylindrical tube 110 and the bottom flange 130 are held together through the use of bolts 140. Each bolt 140 may pass through a hole 122 in the top flange 121 and continue parallel to the cylindrical tube 110 to a corresponding threaded hole 131 in the bottom flange 130. As shown in FIG. 2, the top flange 121 and the bottom flange 130 have diameters greater than that of the cylindrical tube 110. For example, the top flange 121 and the bottom flange 130 may have diameters of roughly 6 inches and 6.25 inches, respectively, while the cylindrical tube 110 has an outer diameter of roughly 3 inches. In this way, the bolts 140 that connect the top flange 121 and the bottom flange 130 may be disposed on the outside of the cylindrical tube 110. In certain embodiments, eight bolts are used to secure the upper assembly 100 together, although other embodiments are also possible and within the scope of the disclosure.
  • In some embodiments, the chamber head 120 may also include a gas feedthrough that passes through the top flange 121. The gas feedthrough may comprise a gas inlet 123 disposed in and passing through the top flange 121. The gas inlet 123 may be threaded and a threaded tube fitting 124 may be attached thereto, allowing a gas tube to be connected to the threaded tube fitting 124. Of course, other mechanisms to pass a processing gas into the processing chamber may also be used. The use of a threaded tube fitting 124 that passes through a gas inlet 123 in the top flange 121 is only one possible embodiment.
  • Disposed within the processing chamber and in communication with the gas inlet 123 may be a gas showerhead 425 (see FIG. 9). The gas showerhead 425, which may be made of stainless steel, aluminum, nickel-plated brass or other suitable materials, may be attached to the underside of the top flange 121 using fasteners or interlocking geometry and serves to distribute the processing gas more uniformly throughout the processing chamber. In certain embodiments, the gas showerhead 425 resembles a traditional showerhead, having an inlet on one side (nearest the top flange 121) and a plurality of smaller outlets disposed on the opposite side (facing the processing chamber). The plurality of smaller outlets is arranged in a pattern to achieve an optimized flow of processing gas into the processing chamber. In certain embodiments, the gas showerhead 425 may be used to generate a spatially uniform flow of processing gas in the processing chamber.
  • In certain embodiments, the top flange 121 also comprises a central utility port 129. In certain embodiments, the central utility port 129 is used to introduce a diagnostic tool, such as a Langmuir probe or an optical diagnostic tool, such as a spectrometer. In other embodiments, the central utility port 129 may be configured as a viewport looking into the processing chamber.
  • The upper assembly 100 may also include one or more processing apparatus 150, which may be disposed outside the processing chamber. In certain embodiments, all or part of the processing apparatus 150 may be disposed within the processing chamber, such as in the case of a sputtering gun (see FIG. 10). The various processing apparatus 150 will be described in more detail below.
  • In certain embodiments, the upper assembly 100 may be about 9 inches tall and have an outer diameter of about 6.25 inches. In other embodiments, the dimensions of the upper assembly 100 may vary to better accommodate the desired processing function.
  • FIG. 3 shows a representative view of a lower assembly 200. The lower assembly is used to support the upper assembly 100, and also houses the various pumps and the chuck assembly.
  • The lower assembly 200 comprises a platform 210. The platform 210 may comprise a plurality of supports 211 which maintain separation between the top of the platform 210 and the underlying surface. The platform 210 may also be formed by an aperture and connection holes in a larger surface such as a table. A flange 220 may be disposed on the top surface of the platform 210. The flange 220 has a large central opening. The flange 220 may also have connection holes 221 therethrough, which align to the connection holes 133 in the bottom flange 130 of the upper assembly 100 (see FIG. 2). In certain embodiments, there is a knife edge-based (CF-style) seal between bottom flange 130 and flange 220. It seals by having a metal or elastomeric gasket sitting between symmetrical knife edges cut into bottom flange 130 and flange 220. The gasket forms an airtight seal between these knife edges. The sealing forces come from the weight of the upper assembly 100 and the induced force from the pressure difference between vacuum in the chamber and the atmospheric pressure outside.
  • A lower chamber flange manifold 230 may be disposed beneath and attached to the underside of the top surface of the platform 210. The lower chamber flange manifold 230 may be a hollow structure, where the top surface of the structure is attached to the underside of the top surface of the platform 210. The bottom surface of the structure is open. A pump port 240 may be in communication with the lower chamber flange manifold 230. In certain embodiments, the pump port 240 may be disposed along a sidewall of the structure. Vacuum pumping and pressure control equipment (not shown) may be attached to the pump port 240 to maintain the processing chamber at the desired pressures for operation, when the upper assembly 100 and chuck assembly 300 are attached to the lower assembly 200. A sample transfer flange 260 may also be in communication with the lower chamber flange manifold 230, such as on a second sidewall. Sample transfer apparatus (not shown), such a vacuum load lock system (including components such as a gate valve, a sample loading door, a vacuum port, and a linear and/or rotary motion vacuum feedthrough), may be attached to this sample transfer flange 260 to allow substrates to be loaded and unloaded from the chuck assembly 300 while maintaining vacuum pressures. In certain instances, this may be a desirable characteristic for many different micro- and nanofabrication processes.
  • Disposed within the bottom flange 250 is a vacuum feedthrough 270. As described in more detail below, a chuck assembly 300 may be inserted in the lower assembly 200 and is held in place by vacuum feedthrough 270. In certain embodiments, the vacuum feedthrough 270 includes multiple levels of airtight seals that allow for translational and rotational motion of the chuck assembly 300 through the vacuum feedthrough 270 while maintaining full airtightness.
  • FIG. 4 shows an assembled view of a chuck assembly 300 according to one embodiment. FIGS. 5-6 show exploded views of the upper portion of the chuck assembly 300 of FIG. 4. The chuck assembly 300 may be used with different wafer attachment mechanisms. FIG. 4 shows a wafer clamp 350 and a wafer carrier 360 as being interchangeable wafer attachment mechanisms. The chuck assembly 300 of FIGS. 5-6 shows the wafer clamp 350. The wafer carrier is illustrated in greater detail in FIGS. 12a -12 b.
  • The chuck assembly 300 comprises a feedthrough tube 310. The connections to the chuck, including electrical conduit 337 and fluid connections 334, communicate through the feedthrough tube 310. The bottom open end of the feedthrough tube 310 extends downward from the vacuum feedthrough 270 (see FIG. 1) and is exposed to the exterior of the substrate processing system 10. The top end of the feedthrough tube 310 is in communication with an isolation and alignment block 320. The isolation and alignment block 320 may be constructed of an electrically insulating material, such as polyether ether ketone (PEEK), polyimide, or alumina. The isolation and alignment block 320 serves to enclose and protect the internal connections to the chuck. The isolation and alignment block 320 may be cylindrical with an interior flange 321 and a hollow interior. This interior flange 321 rests on a flange on the top surface of the feedthrough tube 310. The interior flange 321 may have along its circumference a plurality of connection holes 327 and 329, where the connection holes 327 are on the bottom face (321 b) of the interior flange 321, and the connection holes 329 are on the top face (321 a) of the interior flange 321. These connection holes 327 and 329 may be communication with one another. The feedthrough tube 310 may have connection holes 317 that connect with fasteners to the connection holes 327 on the bottom face 321 b of the interior flange 321. O-ring grooves 322 a, 322 b may be included on both the top face 321 a and bottom face 321 b, respectively, of the interior flange 321 to provide an airtight seal between the interior region of the chuck assembly 300 and the processing chamber. Other embodiments may include additional seals inside of the O-ring grooves 322 a, 322 b to isolate fluids and other connections from one another and the processing chamber.
  • Disposed within the hollow interior of the isolation and alignment block 320 and above the interior flange 321 may be a coupling plate 330 and an upper chuck piece 340. In certain embodiments, the coupling plate 330 may comprise at least one electrical connection, which allows an electrical signal to be applied to the coupling plate 330. In certain embodiments, a connector 331, such as an SMA connector, is disposed on the interior flange 321 and provides an electrical connection with the coupling plate 330. The connector 331 may be attached to an external power supply (not shown) via an electrical conduit 337 so as to apply an electrical signal to the coupling plate 330. In certain embodiments, the electrical signal may be a time-varying, or RF voltage. In other embodiments, the electrical signal may be a constant or pulsed DC voltage. The coupling plate 330 may be constructed of an electrically conductive material, such as aluminum. The coupling plate 330 may rest on the interior flange 321 of the isolation and alignment block 320, which in turn rests on the flange on the top surface of the feedthrough tube 310. The coupling plate 330 may have a plurality of connection holes 339 around its circumference. These connection holes 339 may be arranged to match with connection holes 349 and 329 found on the upper chuck piece 340 and the isolation and alignment block 320, respectively. These matched connection holes 329, 339, 349 allow the three components to be connected to one another via fasteners.
  • Disposed on the coupling plate 330 is the upper chuck piece 340. The upper chuck piece may include one or more internal conduits 341 through which a fluid, such as water, may pass. These internal conduits 341 may be in communication with fluid feedthrough conduits 333 in the coupling plate 330, which in turn, are in communication with fluid connections 334. These internal conduits 341 are fabricated into the underside of the upper chuck piece 340, so as to be exposed on the underside of the upper chuck piece 340. These internal conduits 341 may exist in a dual spiral configuration, such that an inlet channel is disposed adjacent to an outlet channel. The upper chuck piece 340 is then attached to the coupling plate 330, such that the top surface of the coupling plate 330 forms a wall of internal conduits 341. An O-ring (not shown) may be disposed in the O-ring recess 342 between the upper chuck piece 340 and the coupling plate 330. A fluid, such as water, may be pumped into one of fluid connections 334, travel through a first of the fluid feedthrough conduits 333 in the coupling plate 330 and circulate through the internal conduits 341 of the upper chuck piece 340. The water then exits the internal conduits 341 of the upper chuck piece 340, passes through a second of the fluid feedthrough conduits 333 in the coupling plate 330 and passes into the second of the fluid connections 334. Like the coupling plate 330, the upper chuck piece 340 may be constructed of a conductive metal, such as aluminum. Also disposed within the chuck assembly 300 may be additional conduits that provide other connections needed for a particular application. Shown in FIGS. 4-6 is the gas connection 336, which is used to provide a heat transfer medium, such as, for example, helium or another gas, to the volume between the substrate and the upper chuck piece 340. Also shown in FIGS. 4-6 is the sensor connection 335, which may be used to monitor different characteristics of the chuck assembly 300, such as the temperature or the DC potential. These connections and the associated conduits passing through one or more pieces of the chuck assembly 300 (e.g. the isolation and alignment block 320, the coupling plate 330, and the upper chuck piece 340) may require additional part geometry or seals to provide appropriate isolation from the other connections. This additional geometry or seals may be readily determined and understood by a person of ordinary skill in the art.
  • Like the coupling plate 330, the upper chuck piece 340 may comprise connection holes 349 disposed along its circumference. In the embodiment shown in FIGS. 5-6, the connection holes 329 in the isolation and alignment block 320 may be threaded so that fasteners may be inserted through both the connection holes 349 in the upper chuck piece 340 and the connection holes 339 in the coupling plate 330, to secure the three components (isolation and alignment block 320, coupling plate 330, and upper chuck piece 340) together. In some embodiments, the upper chuck piece 340 and the coupling plate 330 are also independently secured together with fasteners or interlocking geometry.
  • In one particular embodiment of the chuck assembly shown in FIGS. 5-6, a gas passage 345 may be disposed in the upper chuck piece 340. Gas conduits 332 may exist in the coupling plate 330 to allow communication between the gas connection 336 and the gas passage 345. In this way, a source of gas, such as, for example, helium, may be connected to gas connection 336. This gas then flows through the gas connection 336 to the gas conduits 332 in the coupling plate 330. The gas travels through the gas conduits 332 and into the gas passage 345 in the upper chuck piece 340. The gas then exits the top surface of the upper chuck piece 340.
  • A wafer attachment mechanism is then disposed on top of the upper chuck piece 340. In certain embodiments, such as is shown in FIGS. 5-6, disposed on top of the upper chuck piece 340 may be a wafer clamp 350. This wafer clamp 350 may be constructed of an electrically insulating material, such as alumina. The wafer clamp 350 may be secured to the upper chuck piece 340 using fasteners via matching connection holes 358 and 348 on the wafer clamp 350 and the upper chuck piece 340, respectively. The wafer clamp 350 may contain one or more apertures 351 that define particular areas of the substrate that will be exposed to the processing environment. During operation, the substrate rests between the wafer clamp 350 and the upper chuck piece 340. An O-ring recess 343 may be disposed on the top surface of the upper chuck piece 340 to provide an airtight seal between the substrate and upper chuck piece 340. This seal may prevent any fluids flowed through conduits extending through the upper chuck piece 340 from communicating with the greater processing chamber. For example, in certain embodiments, a gas is flowed to the volume between the substrate and the upper chuck piece 340 (through gas passage 345) to serve as a heat transfer medium. A shallower alignment recess 344 may also be disposed on the top surface of the upper chuck piece 340 to provide spatial registration for the substrate.
  • In another embodiment, shown in FIGS. 12a-12b , a wafer carrier 360 may be disposed on top of the upper chuck piece 340. FIG. 12a shows a top view, while FIG. 12b shows a bottom view of the wafer carrier 360. This wafer carrier 360 may include a substrate holder 370 and an insulating clamp 361 to secure the substrate 380 to the carrier and may contain one or more apertures 362 to define particular areas of the substrate 380 that will be exposed to the processing environment. The insulating clamp 361 may be secured to the substrate holder 370 using fasteners via matching connection holes 368 and 378. An O-ring recess 373 provides an airtight seal between the underside of the substrate 380 and the substrate holder 370. The wafer carrier 360 may also include a fastening and sealing mechanism 376 and 377 to removably couple to the upper chuck piece 340 in an airtight manner. For example, the wafer carrier may clip onto the upper chuck piece 340. In other embodiments, the upper chuck piece 340 and the substrate holder 370 may comprise threads, such that the substrate holder 370 may be screwed onto the upper chuck piece 340. In the airtight region inside of the sealing mechanism 377, one or more conduits 375 a, 375 b may be included to interface with connections passing through the upper chuck piece 340. In one particular embodiment of the chuck assembly shown in FIGS. 5-6, the gas passage 345 in the upper chuck piece 340 may interface with the conduits 375 a, 375 b to provide the volume between the substrate holder 370 and the substrate 380 with a connection to the gas provided through gas connection 336. The wafer carrier 360 may also include geometry or connection holes 371 that allow it to be easily captured by a sample transfer mechanism. This sample transfer mechanism may originate from a sample transfer assembly (such as a load lock system) that is attached to the system through the sample transfer flange 260.
  • To create the substrate processing system, the assembled chuck assembly 300 may be installed in the lower assembly 200 by sliding the chuck assembly through the flange 220 and through the vacuum feedthrough 270. As described above, several connections may exit through the open bottom end of the feedthrough tube 310. After the chuck assembly 300 has been attached to the lower assembly 200, the upper assembly 100 may be placed in the lower assembly 200. Geometry on the underside of flange 130 or top side of flange 220 may be used to spatially align the upper and lower assembly to one another. Fasteners may be used to secure the bottom flange 130 of the upper assembly 100 and the flange 220 of the lower assembly 200 to the platform 210.
  • A power supply may then be connected to the connector 331 via electrical conduit 337, fluid sources may be connected to fluid connections 334, gas connections 336, and tube fitting 124 and instrumentation may be connected to sensor connection 335. Vacuum pumping and pressure control equipment (not shown) may be attached to the pump port 240 to maintain the processing chamber at the desired pressures for operation. A sample transfer assembly (not shown) may then be attached to the sample transfer flange 260. Suitable connections may then be made to the processing apparatus 150 of the upper assembly 100. After completion of this assembly process, the substrate processing system 10 is ready for operation.
  • As is well known in the art, there are many different processes that may be performed on a substrate in a vacuum chamber such as the one described above. These include ion implantation, etching, deposition, sputtering, amorphization, and others. Additionally, these processes may require different configurations. For example, in certain embodiments, the substrate or processing chamber may be heated. In other embodiments, the substrate or processing chamber may be cooled. In certain embodiments, a pulsed bias voltage may be applied to the substrate. In other embodiments, an RF bias voltage may be applied to the substrate. In yet other embodiments, it may be advantageous to rotate the substrate during processing. In yet other embodiments, it may be beneficial to monitor one or more parameter on the substrate or within the processing chamber during processing.
  • Advantageously, the present substrate processing system 10 comprises a plurality of modular, interchangeable parts enabling all of these processes to be performed, at a plurality of different operating conditions.
  • First, the chuck assembly 300, as described above, may include a coupling plate 330 and an upper chuck piece 340. In the embodiment shown in FIGS. 4-6, the coupling plate 330 is electrically connected to a connector 331 using an electrical conduit to allow electrical signals to be applied to it. These electrical signals may be pulsed DC voltages, time varying or RF voltages, or any other suitable voltage. The upper chuck piece 340 has internal conduits 341 to allow the circulation of a fluid. In certain embodiments, this is done using water to cool the substrate. However, if desired, a colder fluid, shown as cooled nitrogen, may be flowed through the internal conduits 341 to further reduce the temperature of the substrate. In certain embodiments, the coupling plate 330 also has gas conduits 332 to allow a heat transfer medium to communicate with the underside of the substrate. In these embodiments, the upper chuck piece 340 comprises gas passages 345 to allow a heat transfer medium to communicate with the underside of the substrate. Further, different wafer attachment mechanisms may be used. For example, in certain embodiments, the substrate may be attached directly to the top surface of the upper chuck piece 340 using a wafer clamp 350. In certain embodiments, the wafer is disposed within a wafer carrier 360. This wafer carrier is then disposed on top of the upper chuck piece 340.
  • Further, in certain embodiments, the upper chuck piece 340 and coupling plate 330 of FIGS. 4-6 may be replaced with a set of different components, which includes resistive heaters disposed therein. In this embodiment, the fluid connections 334 may be replaced with one or more electrical connections, which provide the power to actuate the resistive heaters within the upper chuck piece 340. These resistive heaters may allow the substrate to be heated to several hundred degrees (C.).
  • Thus, in certain embodiments, the power supply used to power the coupling plate 330 may be changed to accommodate different operating parameters. In certain embodiments, the fluid passed through the internal conduits 341 of the upper chuck piece 340 may be varied to change the temperature of the substrate during processing. In certain embodiments, a heat transfer medium may be supplied to the volume between the underside of the substrate and the upper chuck piece 340. In yet other embodiments, the upper chuck piece 340 and coupling plate 330 may be exchanged for an interchangeable part that replaces the internal conduits 341 with resistive heaters. In certain embodiments, parameters, such as temperature, DC potential, pressure, or others, may be monitored using the sensor connection 335.
  • In certain embodiments, the chuck assembly 300 may also include a rotary actuator, which allows the substrate to rotate as it is being processed.
  • Further, in certain embodiments, the feedthrough tube 310 may be sufficiently long so as to allow adjustment of the height of the substrate within the processing chamber. For example, by varying where the feedthrough tube 310 sits relative to the vacuum feedthrough 270, the total height of the chuck assembly 300 within the lower assembly 200 may be adjusted. Thus, the position of the substrate within the processing chamber can also be easily adjusted, based on desired process conditions. In certain embodiments, the ability to vary the height of the chuck assembly 300 within the lower assembly 200 may also enable loading and unloading of substrates via the sample transfer flange 260. When coupled with an appropriate sample transfer assembly (such as a load lock system), the top of the chuck assembly 300 may be adjusted to a height near the middle of the sample transfer flange 260, and mechanisms included in the sample transfer assembly (such as a linear and/or rotary motion vacuum feedthrough) may load and unload a wafer carrier 360. The height of the chuck assembly may then be readjusted to continue with the next step of the processing sequence.
  • Additionally, the present substrate processing system 10 allows the use of different upper assemblies 100, each of which may be configured for a specific purpose. FIG. 7 shows a first embodiment of an upper assembly, similar to the one shown in FIG. 1. This upper assembly may be referred to as an etching upper assembly 400. In this configuration, the etching upper assembly 400 includes a chamber head 420, which comprises a top flange 421, which includes a central utility port 429 and a threaded tube fitting 424. The central utility port 429 may be used to introduce a diagnostic tool or as a viewport. The etching upper assembly 400 also includes a hollow cylindrical tube 410, which is made of an electrically insulating material, such as alumina. A bottom flange 430 is also provided, and bolts 440 are used to hold together the chamber head 420, the cylindrical tube 410 and the bottom flange 430, as described with reference to FIG. 2. The top flange 421 and bottom flange 430 may be metal components, such as stainless steel.
  • In this embodiment, a helical coil 450, made of a conductive material, is disposed around the outside of the cylindrical tube 410. While FIG. 7 shows two rotations of the helical coil 450 about the cylindrical tube 410, the disclosure is not limited to this configuration. Any number of rotations may be employed.
  • A power supply (not shown) is in communication with this helical coil 450. The power supply may supply an RF voltage to the helical coil 450, which may be used to inductively couple energy into the processing chamber. This inductively coupled energy causes the gas that is introduced through the threaded tube fitting 424 to become a plasma.
  • In certain embodiments, the helical coil 450 may be translated vertical along the cylindrical tube 410. For example, the helical coil 450 may be movably attached to one or more of the bolts 440, such that the helical coil 450 may be moved by adjustment of the attachment point to the bolts 440. FIG. 8 shows an embodiment where the helical coil 450 may be moved vertically along the outside of the cylindrical tube 410. The arrow 498 shows the axis of motion for the helical coil 450. The position of the helical coil 450 may be controlled by a coil connecting assembly 451. This coil connecting assembly 451 may be secured to the etching upper assembly 400 using one or more of the bolts 440 that connect the cylindrical tube 410, top flange 421, and bottom flange 430. One or more coil connections 452 may also be included on the coil connecting assembly 451 to better facilitate the connection of power sources and/or other cooling, measurement, or sensing apparatus necessary for the particular process being performed.
  • The chamber head 420 may also comprise a gas showerhead 425, which is in communication with the gas connection that passes through the threaded tube fitting 424. In certain embodiments, the gas showerhead 425 is directly connected to the underside of the top flange 421. In other embodiments, the gas showerhead 425 may also be vertically translated within the cylindrical tube 410 by moving a straight tube 426 in communication with the gas showerhead 425 through the tube fitting 424, as shown by arrow 499 in FIG. 9. For example, in certain embodiments, it may be beneficial to introduce the gas a distance away from the substrate. In these embodiments, the gas showerhead 425 may be disposed near the top flange 421. In other embodiments, there may be advantages to introducing the gas closer to the substrate. In these embodiments, the gas showerhead 425 may be moved further from the top flange 421. As the helical coil 450 may also be vertically translated, a coordinated positioning of the gas showerhead 425 and the helical coil 450 may be used to optimize the process to be performed on the substrate.
  • While the upper assembly of FIG. 7 is referred to as an etching upper assembly, if is noted that other processes may also be performed with this upper assembly. For example, in certain embodiments, deposition or ion implantation may be performed using this upper assembly. For example, the selection of the gas that is introduced into the processing chamber may cause the substrate to be etched. However, selecting a different gas may cause deposition. Further, the application of a voltage to the coupling plate 330 may accelerate ions toward the substrate, causing implantation.
  • FIG. 10 shows a second embodiment of an upper assembly that may be used with the lower assembly shown in FIG. 3. In this embodiment, the upper assembly is used as a sputtering upper assembly 500. The sputtering upper assembly 500 includes a chamber head 520, which comprises a top flange 521 and a sputtering gun 550. The chamber head 520 may also include a gas inlet 523 and fitting 524 that may allow process gas into the chamber. An airtight connecting port 551 is disposed on the top flange 521 and is in communication with the sputtering gun 550. This airtight connecting port 551 may be used to provide any power and/or control signals required to operate the sputtering gun 550. Like the other embodiments, the sputtering upper assembly 500 also comprises a hollow cylindrical tube 510 and a bottom flange 530. As with other embodiments, the cylindrical tube 510 may be an electrically material, such as alumina. Further, the chamber head 520, the cylindrical tube 510 and the bottom flange 530 may be connected using bolts 540, as described in the previous embodiments. This sputtering upper assembly 500 may be used to deposit metals and insulators on a substrate.
  • FIG. 11 shows another embodiment of an upper assembly that may be used with the lower assembly shown in FIG. 1. In this embodiment, the upper assembly is used as a deposition upper assembly 600. The deposition upper assembly 600 includes a chamber head 620. Unlike other upper assemblies, the top flange 621 of the chamber head 620 may be entirely or partially constructed from a dielectric material. Disposed on the dielectric portion of the top flange 621 is a planar coil 650, which may be circularly wound. This planar coil 650 may be in connection with a power supply (not shown), which provides a RF voltage to the planar coil 650. Like the embodiment of FIG. 7, this RF voltage induces energy within the processing chamber, which is transmitted through the chamber head 620. The deposition upper assembly 600 also includes a hollow cylindrical tube 610, which is disposed between the chamber head 620 and the bottom flange 630. As with the other embodiments, bolts 640 may be used to attach these components. In this embodiment, the energy is coupled through the top of the processing chamber. Consequently, in some embodiments, the deposition upper assembly 600 may be shorter in height than other upper assemblies so that the coupled energy is near the substrate. Although not shown, the deposition upper assembly 600 also comprises a gas inlet to allow the introduction of gas to the processing chamber. This gas inlet may be disposed on the top flange 621.
  • Each of the upper assemblies described herein share a common attribute, which is the bottom flange 430, 530, 630. This bottom flange is dimensioned to interface with the flange 220 of the lower assembly 200 and provide an airtight seal between the two assemblies. In other words, this bottom flange serves as a standard interface that is used by all upper assemblies.
  • Further, as described above, the bottom flange of the upper assemblies and the flange 220 of the lower assembly 200 all have a central opening passing therethrough. Consequently, the top portion of the processing chamber, which is defined by the top flange and cylindrical tube of the upper assembly, is in communication with the bottom portion of the processing chamber, which includes the chuck. The size of the central opening in these flanges may vary, however, in most embodiments, it may be at least as wide as the diameter of the top portion of the chuck assembly 300.
  • Further, FIG. 1 shows the chuck assembly extending above the top of the flange 220 and extending into the top portion of the processing chamber. In this way, the substrate is disposed above the interface between the upper assembly and the lower assembly, such that the fabrication processes occur within the processing chamber defined by the cylindrical tube. However, in other embodiments, the chuck assembly 300 may be further recessed in the lower assembly 200, such that the substrate is disposed below the interface between the upper assembly and the lower assembly. In yet other embodiments, the substrate may be elevated to be closer to the chamber head. These adjustments can be made by varying where the feedthrough tube 310 is attached to the vacuum feedthrough 270.
  • The disclosure describes a substrate processing system, specifically designed to handle smaller sized substrates. Advantageously, the system is designed with modular, interchangeable parts, allowing a number of different substrate processing processes to be performed using the same set of components.
  • More specifically, the lower assembly 200 may be considered universal, in that it may be used with a variety of different chuck assemblies and upper assemblies. The lower assembly provides a platform 210, a pump port 240 to allow the evacuation of air from the assembled system and, optionally, a sample transfer flange 260 that allows for loading and unloading of substrate while maintaining vacuum pressures. Additionally, the lower assembly includes a predetermined interface, which in these embodiments, comprises a flange, namely the flange 220. All of the various upper assemblies attach to the lower assembly 200 using this predetermined interface. Therefore, as long as each upper assembly includes the requisite interface (i.e. a flange adapted to mate with the flange 220), it may be used with the lower assembly 200.
  • Furthermore, the lower assembly 200 includes a vacuum feedthrough 270, which can be used to receive a chuck assembly. Again, as long as a chuck assembly includes a feedthrough tube 310 having the requisite diameter, it may be installed in the vacuum feedthrough 270 and used with the lower assembly 200.
  • Thus, the lower assembly 200 defines two predefined interfaces: a first interface for all upper assemblies and a second interface for all chuck assemblies. In certain embodiments, a third standard interface for sample transfer mechanisms is also provided. By providing these standard interfaces, a plurality of different fabrication tools may be created using this single lower assembly.
  • The upper assemblies all share a common predefined interface, which is the bottom flange. By providing this common predefined interface, new upper assemblies can readily be created which can utilize the same lower assembly, reducing space and cost requirements. For example, it may be later determined that an upper assembly that provides both a helical coil (as shown in FIG. 7) and a planar coil (as shown in FIG. 11) may be beneficial, or that additional apparatus, such as magnets, may be added to an upper assembly to provide additional functionality or performance improvements. In another example, multiple similar or identical upper assemblies may be used to perform similar or identical processes but on substrates with differing material compositions or initial conditions. Thus, a level of isolation may be achieved that may reduce undesirable cross-contamination between upper assemblies. This is often a major concern in both development and production of micro- and nanoscale devices. Upper assemblies such as these can be readily adapted to the present system simply by utilizing the same bottom flange as the other upper assemblies.
  • Further, while all of the upper assemblies described here include a hollow cylindrical tube and a chamber head, which are all secured to the bottom flange using bolts, this is not necessary for all embodiments. For example, the upper assembly may have a shape that is different than a cylinder, as long as the bottom flange of the upper assembly matches that used on the lower assembly.
  • As noted above, the lower assembly 200 also provides a standard interface for chuck assemblies. In other words, completely different chuck assemblies 300 may be used with the lower assembly 200, as long as they share an appropriately sized feedthrough tube 310. Similarly, the sample transfer flange 260 may be used with different sample transfer assemblies, or even other assemblies containing such things as additional diagnostic or measurement instrumentation, as long as they terminate with an interface that matches the sample transfer flange 260.
  • Further, as described with respect to FIGS. 4-6, various components within the chuck assembly 300 may be interchanged. For example, the upper chuck piece 340 and the coupling plate 330 may be replaced with similarly sized components having somewhat different functions. As an example, the upper chuck piece 340, which includes internal conduits to allow the flow of water, allowing the substrate to be cooled, may be replaced with a different chuck that includes internal resistive heaters with heat the substrate.
  • The substrate processing system described herein facilitates the processing of devices on a substrate. For example, in one embodiment, a substrate may be disposed on a chuck assembly, using with the wafer clamp or the wafer carrier. The chuck assembly is disposed within the lower assembly, as described above. A first upper assembly is then attached to the lower assembly. Vacuum is created within the processing chamber, and a first process may be performed on the substrate. This first process may be, for example, an etching, amorphizing, deposition, sputtering, ion implantation, or another process. After the first process has been performed, the first upper assembly may be removed and replaced with a second upper assembly, which is disposed on the same lower assembly. Vacuum is created within the processing chamber, and a second process may be performed on the substrate. This second process may be, for example, any of the processes listed above. This sequence may be repeated for an arbitrary number of processes using any number of upper assemblies. Thus, unlike conventional systems, where the substrate is moved to different specialized chambers, the present processing system allows the substrate to remain within the lower assembly, while different upper assemblies are clamped thereon, allowing different processes to be performed without moving the substrate to another chamber.
  • The present disclosure is not to be limited in scope by the specific embodiments described herein. Indeed, other various embodiments of and modifications to the present disclosure, in addition to those described herein, will be apparent to those of ordinary skill in the art from the foregoing description and accompanying drawings. Thus, such other embodiments and modifications are intended to fall within the scope of the present disclosure. Furthermore, although the present disclosure has been described herein in the context of a particular implementation in a particular environment for a particular purpose, those of ordinary skill in the art will recognize that its usefulness is not limited thereto and that the present disclosure may be beneficially implemented in any number of environments for any number of purposes. Accordingly, the claims set forth below should be construed in view of the full breadth and spirit of the present disclosure as described herein.

Claims (19)

What is claimed is:
1. A system for processing of micro- and nanoscale devices, comprising:
a lower assembly, comprising:
a flange;
a vacuum feedthrough;
a chuck assembly, adapted to hold a substrate and adapted to connect to the vacuum feedthrough; and
a plurality of upper assemblies, each adapted to connect to the first predefined interface, wherein each of the plurality of upper assemblies comprises:
a hollow tube;
a chamber head comprising a top flange sealed to a first end of the hollow tube; and
a bottom flange, having a central opening, disposed at a second end of the hollow tube;
wherein each of the plurality of upper assemblies comprises a different processing apparatus,
wherein the bottom flange of any one of the plurality of upper assemblies may be connected to the flange of the lower assembly to provide an air-tight seal, so as to form a respective processing chamber surrounding the substrate, so that the processing apparatus associated with the connected upper assembly may be used to process the substrate.
2. The system of claim 1, wherein fasteners, disposed outside the hollow tube, are used to connect the chamber head, the hollow tube and the bottom flange together.
3. The system of claim 2, wherein one of the plurality of upper assemblies comprises a helical coil disposed around the hollow tube.
4. The system of claim 3, wherein the helical coil is held by a coil connecting assembly, and the coil connecting assembly is secured to the upper assembly using the fasteners.
5. The system of claim 4, wherein the helical coil is configured to be translated vertically along the hollow tube.
6. The system of claim 1, wherein one of the plurality of upper assemblies comprises a planar coil disposed on the top flange.
7. The system of claim 6, wherein the top flange is entirely or partially constructed from a dielectric material.
8. The system of claim 1, wherein the chamber head of one of the upper assemblies comprises a sputtering gun disposed within the processing chamber.
9. The system of claim 1, wherein the chuck assembly comprises a feedthrough tube, which is insertable into the vacuum feedthrough.
10. The system of claim 1, wherein the lower assembly further comprises an interface adapted to connect to a sample transfer mechanism.
11. The system of claim 1, further comprising a chuck assembly, comprising:
a feedthrough tube, having a first end extending to an exterior of a processing chamber, and a second end, and having at least one fluid connection;
a coupling plate, disposed at the second end of the feedthrough tube, having at least one fluid feedthrough conduit in communication with the at least one fluid connection,
an upper chuck piece, disposed adjacent to the coupling plate, and having at least one internal conduit fabricated on an underside of the upper chuck piece and in contact with a top surface of the coupling plate, the at least one internal conduit being in communication with the at least one fluid feedthrough conduit;
and
a wafer attachment mechanism disposed on a top surface of the upper chuck piece, such that the upper chuck piece is between the coupling plate and the wafer clamp.
12. The system of claim 11, further comprising an isolation and alignment block, having a hollow interior and disposed at the second end of the feedthrough tube, wherein the coupling plate and the upper chuck piece are disposed within the hollow interior of the isolation and alignment block.
13. The system of claim 11, wherein the coupling plate comprises an electrical connection, and wherein the coupling plate is adapted to be in communication with a power source.
14. The system of claim 13, wherein the electrical connection exits the chuck assembly through the first end of the feedthrough tube.
15. The system of claim 11, wherein the coupling plate contains one or more sensor connections, and wherein the coupling plate is adapted to be in communication with equipment interfacing with these sensor connections.
16. The system of claim 11, wherein the at least one fluid feedthrough conduit in the coupling plate comprises gas conduits, the at least one internal conduit in the upper chuck piece comprises gas passages, and wherein the at least one fluid connection in the feedthrough tube comprises a gas connection, adapted to connect to a heat transfer medium, such that the heat transfer medium can flow through the gas connection, the gas conduits and the gas passages to the top surface of the upper chuck piece.
17. The system of claim 11, wherein the wafer attachment mechanism comprises a wafer clamp, having one or more apertures defines region of a substrate to be processed, wherein the substrate is disposed between the top surface of the upper chuck piece and the wafer clamp.
18. The system of claim 11, wherein the wafer attachment mechanism comprises a wafer carrier, the wafer carrier comprises a substrate holder and an insulating clamp, wherein a substrate is disposed between the insulating clamp and the substrate holder, and wherein the substrate holder is removably attached to the upper chuck piece.
19. The system of claim 18, wherein the at least one fluid feedthrough conduit in the coupling plate comprises gas conduits, the at least one internal conduit in the upper chuck piece comprises gas passages, the substrate holder comprises conduits, and wherein the at least one fluid connection in the feedthrough tube comprises a gas connection, adapted to connect to a heat transfer medium, such that the heat transfer medium can flow through the gas connection, the gas conduits of the coupling plate, the gas passages of the upper chuck piece and the conduits of the substrate holder to a top surface of the substrate holder.
US17/109,596 2014-09-11 2020-12-02 Processing System For Small Substrates Abandoned US20210087671A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/109,596 US20210087671A1 (en) 2014-09-11 2020-12-02 Processing System For Small Substrates

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462048850P 2014-09-11 2014-09-11
US201562180832P 2015-06-17 2015-06-17
PCT/US2015/049292 WO2016040547A1 (en) 2014-09-11 2015-09-10 Processing system for small substrates
US201715325224A 2017-01-10 2017-01-10
US17/109,596 US20210087671A1 (en) 2014-09-11 2020-12-02 Processing System For Small Substrates

Related Parent Applications (2)

Application Number Title Priority Date Filing Date
PCT/US2015/049292 Continuation WO2016040547A1 (en) 2014-09-11 2015-09-10 Processing system for small substrates
US15/325,224 Continuation US10883168B2 (en) 2014-09-11 2015-09-10 Processing system for small substrates

Publications (1)

Publication Number Publication Date
US20210087671A1 true US20210087671A1 (en) 2021-03-25

Family

ID=55459548

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/325,224 Active US10883168B2 (en) 2014-09-11 2015-09-10 Processing system for small substrates
US17/109,596 Abandoned US20210087671A1 (en) 2014-09-11 2020-12-02 Processing System For Small Substrates

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/325,224 Active US10883168B2 (en) 2014-09-11 2015-09-10 Processing system for small substrates

Country Status (2)

Country Link
US (2) US10883168B2 (en)
WO (1) WO2016040547A1 (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6907924B2 (en) * 1997-01-02 2005-06-21 Veeco Rochester Inc. Thermally conductive chuck for vacuum processor

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3756939A (en) * 1971-10-14 1973-09-04 Materials Research Corp Target mounting device for sequential sputtering
US4100055A (en) * 1977-06-10 1978-07-11 Varian Associates, Inc. Target profile for sputtering apparatus
US4852516A (en) 1986-05-19 1989-08-01 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
DE4241045C1 (en) 1992-12-05 1994-05-26 Bosch Gmbh Robert Process for anisotropic etching of silicon
DE4317623C2 (en) 1993-05-27 2003-08-21 Bosch Gmbh Robert Method and device for anisotropic plasma etching of substrates and their use
US5540821A (en) * 1993-07-16 1996-07-30 Applied Materials, Inc. Method and apparatus for adjustment of spacing between wafer and PVD target during semiconductor processing
JP3257328B2 (en) 1995-03-16 2002-02-18 株式会社日立製作所 Plasma processing apparatus and plasma processing method
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5942855A (en) 1996-08-28 1999-08-24 Northeastern University Monolithic miniaturized inductively coupled plasma source
US5834371A (en) 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6103069A (en) * 1997-03-31 2000-08-15 Applied Materials, Inc. Chamber design with isolation valve to preserve vacuum during maintenance
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
DE19736370C2 (en) 1997-08-21 2001-12-06 Bosch Gmbh Robert Process for anisotropic etching of silicon
US6287435B1 (en) * 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6080287A (en) 1998-05-06 2000-06-27 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
DE19823196A1 (en) 1998-05-23 2000-01-13 Deutsch Zentr Luft & Raumfahrt Method and device for carrying out work steps on miniaturized assemblies
DE19826382C2 (en) 1998-06-12 2002-02-07 Bosch Gmbh Robert Process for anisotropic etching of silicon
US7011039B1 (en) 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
US6596133B1 (en) * 2001-06-14 2003-07-22 Cvc Products, Inc. Method and system for physically-assisted chemical-vapor deposition
EP1424884A1 (en) 2002-11-29 2004-06-02 Leica Geosystems AG Method of mounting miniaturised parts on a carrier plate
EP1424156A1 (en) 2002-11-29 2004-06-02 Leica Geosystems AG Process for soldering miniaturized components onto a base plate
JP4219734B2 (en) 2003-05-19 2009-02-04 東京エレクトロン株式会社 Substrate holding mechanism and plasma processing apparatus
US7182816B2 (en) * 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7335277B2 (en) 2003-09-08 2008-02-26 Hitachi High-Technologies Corporation Vacuum processing apparatus
US20050205209A1 (en) * 2004-03-18 2005-09-22 Aelan Mosden Replacing chamber components in a vacuum environment
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
WO2006020685A2 (en) 2004-08-11 2006-02-23 Cornell Research Foundation, Inc. Modular fabrication systems and methods
US20080202419A1 (en) 2007-02-27 2008-08-28 Smith John M Gas manifold directly attached to substrate processing chamber
US20090326703A1 (en) 2007-04-30 2009-12-31 Presley Bryan S Integrated miniature microelectronic device factory
US20090056116A1 (en) 2007-08-07 2009-03-05 Micro Foundry Inc. Integrated miniature device factory
US20090178751A1 (en) 2007-12-11 2009-07-16 Micro Foundry Inc. Integrated miniature microfluidics device factory and method for use
MY165356A (en) 2009-09-10 2018-03-21 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
JP5516968B2 (en) 2010-06-08 2014-06-11 独立行政法人産業技術総合研究所 Linked transport system
JP5361002B2 (en) 2010-09-01 2013-12-04 独立行政法人産業技術総合研究所 Device manufacturing apparatus and method
US20120285819A1 (en) * 2011-05-09 2012-11-15 Intermolecular, Inc. Combinatorial and Full Substrate Sputter Deposition Tool and Method
US8800998B2 (en) 2011-12-30 2014-08-12 Multiprobe, Inc. Semiconductor wafer isolated transfer chuck
JP6048654B2 (en) 2012-12-04 2016-12-21 不二越機械工業株式会社 Manufacturing method of semiconductor wafer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6907924B2 (en) * 1997-01-02 2005-06-21 Veeco Rochester Inc. Thermally conductive chuck for vacuum processor
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system

Also Published As

Publication number Publication date
US20170194129A1 (en) 2017-07-06
US10883168B2 (en) 2021-01-05
WO2016040547A1 (en) 2016-03-17

Similar Documents

Publication Publication Date Title
US11130142B2 (en) Showerhead having a detachable gas distribution plate
KR102056725B1 (en) Assembly method of vacuum processing apparatus
KR101826376B1 (en) Substrate support providing gap height and planarization adjustment in plasma processing chamber
EP1243016B1 (en) Method and apparatus for ionized physical vapor deposition
US20160319422A1 (en) Thin film encapsulation processing system and process kit permitting low-pressure tool replacement
US20190096638A1 (en) Substrate processing chamber having improved process volume sealing
US20220213959A1 (en) Chamber body design architecture for next generation advanced plasma technology
US20140061041A1 (en) Target center positional constraint for physical vapor deposition (pvd) processing systems
KR102061415B1 (en) Plasma processing apparatus and gas shower head
US20220293452A1 (en) Lift pin mechanism
US20210087671A1 (en) Processing System For Small Substrates
TWI718674B (en) Plasma processing device
JP2011171763A (en) Electrode assembly and plasma processing apparatus
US20210343508A1 (en) Metal oxide preclean chamber with improved selectivity and flow conductance
US20160005631A1 (en) Apparatus for coupling a hot wire source to a process chamber
TWI789709B (en) Plasma processing apparatus and plasma processing method
KR100683255B1 (en) Plasma processing apparatus and exhausting device
JP2021166251A (en) Board processing device
CN117672798A (en) Apparatus for treating substrate and method for treating substrate
US20160203956A1 (en) Etching source installable in a storage medium processing tool

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION