US20200357889A1 - Field-effect transistors with laterally-serpentine gates - Google Patents

Field-effect transistors with laterally-serpentine gates Download PDF

Info

Publication number
US20200357889A1
US20200357889A1 US16/405,368 US201916405368A US2020357889A1 US 20200357889 A1 US20200357889 A1 US 20200357889A1 US 201916405368 A US201916405368 A US 201916405368A US 2020357889 A1 US2020357889 A1 US 2020357889A1
Authority
US
United States
Prior art keywords
gate electrode
segments
sidewall
regions
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US16/405,368
Other versions
US10818763B1 (en
Inventor
Anthony K. Stamper
Steven M. Shank
Michel J. Abou-Khalil
Siva P. Adusumilli
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries US Inc filed Critical GlobalFoundries US Inc
Priority to US16/405,368 priority Critical patent/US10818763B1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: STAMPER, ANTHONY K., ADUSUMILLI, SIVA P., ABOU-KHALIL, MICHEL J., SHANK, STEVEN M.
Priority to CN202010264184.8A priority patent/CN111916498A/en
Priority to TW109111792A priority patent/TWI753398B/en
Application granted granted Critical
Publication of US10818763B1 publication Critical patent/US10818763B1/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Publication of US20200357889A1 publication Critical patent/US20200357889A1/en
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects

Definitions

  • the present invention relates to semiconductor device fabrication and integrated circuits and, more specifically, to structures for a field-effect transistor and methods of forming a field-effect transistor.
  • Device structures for a field-effect transistor generally include a body region, a source and a drain defined in the body region, and a gate electrode configured to switch carrier flow in a channel formed during operation in the body region.
  • a control voltage exceeding a designated threshold voltage is applied to the gate electrode, the field-effect transistor is switched “on”, and carrier flow occurs in the channel between the source and drain to produce a device output current.
  • Complementary metal-oxide semiconductor (CMOS) circuitry may be utilized in mobile communication devices (e.g., laptops, cellular phones, tablets, etc.) to handle high-frequency signals transmitted to and/or received by the mobile communication devices.
  • the circuitry on a chip may include a low noise amplifier and a high-frequency switch that allows for high-frequency signals received by an antenna to be routed from the low noise amplifier to other chip circuitry and for high-frequency signals to be routed from a power amplifier to the antenna.
  • the high-frequency switch may include a stack or bank of field-effect transistors formed by CMOS processes.
  • the bank of field-effect transistors may include multiple gate fingers that have a rectilinear parallel arrangement in the device layout. Sources and drains are arranged in the spaces between the adjacent gate fingers. Due to the rectilinear parallel arrangement of the gate fingers in the device layout, the bank of field-effect transistors may occupy a large area that makes inefficient use of the available space on a chip.
  • a structure for a field-effect transistor.
  • the structure includes a first gate electrode having a first plurality of segments arranged in series to define a first non-rectilinear chain, and a second gate electrode arranged adjacent to the first gate electrode.
  • the second gate electrode includes a second plurality of segments arranged in series to define a second non-rectilinear chain. The second plurality of segments are displaced laterally from the first plurality of segments of the first non-rectilinear chain of the first gate electrode.
  • the structure further includes a source/drain region arranged laterally between the first gate electrode and the second gate electrode.
  • a method for forming a field-effect transistor.
  • the method includes forming a first gate electrode including a first plurality of segments arranged in series to define a first non-rectilinear chain, and forming a second gate electrode arranged adjacent to the first gate electrode.
  • the second gate electrode includes a second plurality of segments arranged in series to define a second non-rectilinear chain.
  • the second plurality of segments are displaced laterally from the first plurality of segments of the first non-rectilinear chain of the first gate electrode.
  • a source/drain region is arranged laterally between the first gate electrode and the second gate electrode.
  • FIG. 1 is a top view of a device structure at an initial fabrication stage of a processing method in accordance with embodiments of the invention.
  • FIG. 1A is an enlarged view of a portion of FIG. 1 in which the gate electrode corners are right angles.
  • FIG. 1B is an enlarged view similar to FIG. 1A of a portion of a gate electrode in accordance with alternative embodiments of the invention and in which the gate electrode corners are chamfered.
  • FIG. 2 is a cross-sectional view taken generally along line 2 - 2 in FIG. 1 .
  • FIG. 3 is a cross-sectional view of the device structure at a fabrication stage subsequent to FIG. 2 .
  • FIG. 4 is a cross-sectional view of the device structure at a fabrication stage subsequent to FIG. 3 .
  • FIG. 5 is a simplified top view in which FIG. 4 is taken generally along line 4 - 4 .
  • FIG. 6 is a cross-sectional view of a device structure at an initial fabrication stage of a processing method in accordance with alternative embodiments of the invention.
  • FIGS. 7 and 8 are cross-sectional views of the device structure at successive fabrication stages subsequent to FIG. 6 .
  • FIG. 9 is a cross-sectional view of a device structure at a fabrication stage in accordance with alternative embodiments of the invention.
  • FIG. 10 is a cross-sectional view of a device structure at a fabrication stage in accordance with alternative embodiments of the invention.
  • a structure 10 for a field-effect transistor includes gate electrodes 12 arranged in an array as a set of fingers on a top surface 13 of a semiconductor substrate 14 .
  • the semiconductor substrate 14 may be, for example, a bulk semiconductor wafer composed of a single-crystal semiconductor material, such as single-crystal silicon, or a top crystalline film of a silicon-on-insulator (SOI) wafer.
  • a doped well (not shown) may be formed in the semiconductor substrate 14 .
  • the doped well may be provided by ion implanting a dopant having a given conductivity type, such as boron to provide p-type conductivity for an NFET well or phosphorus to provide n-type conductivity for a PFET well.
  • the gate electrodes 12 and doped well may be formed in an active device region of the semiconductor substrate 14 that is surrounded by a shallow trench isolation (STI) region (not shown).
  • the STI region may, for example, surround outer edges of the array of gate electrodes 12 to provide isolation with respect to adjacent field-effect transistors, or with respect to other adjacent active or passive devices.
  • a gate dielectric layer 16 is arranged between each gate electrode 12 and the top surface 13 of the semiconductor substrate 14 .
  • the gate dielectric layer 16 may be composed of a dielectric material, such as silicon dioxide grown by a wet or dry thermal oxidation process of the semiconductor material of the semiconductor substrate 14 or silicon dioxide deposited by atomic layer deposition.
  • the gate electrodes 12 may be composed of a doped semiconductor material, such as doped polycrystalline silicon (i.e., polysilicon), deposited by chemical vapor deposition.
  • the gate electrodes 12 may be formed by lithography and etching processes that pattern a deposited layer of its constituent material, as well as a deposited layer of the material constituting the gate dielectric layer 16 .
  • the lithography process may form an etch mask that includes a layer of a light-sensitive material, such as an organic photoresist, applied by a spin coating process, pre-baked, exposed to light projected through a photomask, baked after exposure, and developed with a chemical developer to form the resist shapes that cover respective areas on the deposited layers of the constituent materials. These areas are masked during the etching process to provide the gate electrodes 12 and gate dielectric layer 16 under each gate electrode 12 .
  • the gate dielectric layer 16 may be composed of a high-k dielectric material, such as hafnium oxide, and the gate electrodes 12 may be composed of one or more metals formed by, for example, a metal gate process or a replacement metal gate process.
  • the gate electrodes 12 are patterned to form multiple segments 18 , 19 arranged in series that exhibit shifts in direction relative to each other along their lengths such that the individual gate electrodes 12 are not rectilinear.
  • the shifts in direction may occur in a given direction (e.g., the x-direction) within a plane.
  • Areas or portions of the semiconductor substrate 14 are exposed in the wide regions 26 laterally between the segments 18 , 19 of adjacent gate electrodes 12 , and other areas or portions of the semiconductor substrate 14 are exposed in the narrow regions 28 laterally between the segments 18 of adjacent gate electrodes 12 .
  • the segments 18 , 19 may have nominally the same length dimension along a lengthwise direction (e.g., the y-direction).
  • the segments 18 , 19 may have nominally the same width dimension along a direction (e.g., the x-direction) in the plane that is transverse to the lengthwise direction.
  • the shifts of the segments 18 , 19 may impart an S-shape to each gate electrode 12 .
  • each gate electrode 12 defines a non-rectilinear string or chain in which alternating segments 18 , 19 are laterally displaced.
  • the pattern of segments 18 , 19 along the length of the gate electrodes 12 is complementary to the pattern of each adjacent gate electrode 12 such that the segments 18 , 19 are mirrored among adjacent pairs of the gate electrodes 12 .
  • Each gate electrode 12 includes opposite sidewalls 20 , 22 that reflect the contour of the non-rectilinear arrangement of the segments 18 , 19 .
  • the distance between the sidewall 20 and the opposite sidewall 22 of each gate electrode 12 defines a channel length in the underlying semiconductor substrate 14 .
  • the segments 18 may be aligned along a longitudinal axis 21
  • the segments 19 may be aligned along a longitudinal axis 23 that is offset laterally (i.e., in the x-direction) from the longitudinal axis 21 .
  • the segments 18 , 19 of each gate electrode 12 are distributed to alternate in the corresponding non-rectilinear chain between alignment of segments 18 along the longitudinal axis 21 and alignment of the segments 19 along the longitudinal axis 23 .
  • the lateral displacement between the different rectilinear chains imparts a varying width dimension to the space between the nearest-neighbor sidewalls 20 and 22 .
  • the sidewall 20 of one gate electrode 12 and the sidewall 22 of an adjacent, nearest-neighbor gate electrode 12 have a spacing associated with the wide regions 26 and a different spacing associated with the narrow regions 28 that is less than the spacing associated with the wide regions 26 .
  • the sidewalls 20 , 22 of each gate electrode 12 also include outside corners 24 and inside corners 25 where the segments 18 , 19 have an overlapping arrangement. At each of the corners 24 , 25 , the respective sidewalls 20 , 22 change in direction and, in an embodiment, the direction change may be approximately equal to a right-angle (i.e., 90°).
  • the corners 24 a, 25 a of the gate electrodes 12 may be chamfered to eliminate right angles and to smoothen the sidewalls 20 , 22 .
  • the chamfering of the corners 24 a, 25 a of the gate electrodes may be provided during patterning by, for example, optical proximity correction that adds serifs to the photomask used during lithography.
  • the corner chamfering may operate, for example, to maintain the channel length more constant over the entire length of each gate electrode 12 .
  • Halos and source/drain extensions may be formed in the semiconductor substrate 14 after the patterning of the gate electrodes 12 .
  • the halos and source/drain extensions may be formed by ion implantation of one or more dopants having given conductivity types.
  • sidewall spacers 30 are formed at the sidewalls 20 , 22 of the gate electrodes 12 .
  • the sidewall spacers 30 may be formed by depositing a conformal layer composed of a dielectric material, such as silicon dioxide, silicon nitride, or a low-k dielectric material, with atomic layer deposition, chemical vapor deposition, plasma-enhanced chemical vapor deposition, etc. and etching the deposited layer with an anisotropic etching process, such as reactive ion etching.
  • the deposition is controlled such that the conformal layer does not reach a thickness that could pinch-off the narrow regions 28 .
  • the anisotropic etching process may be a blanket etching process that can be performed without the presence of a pre-applied etch mask or that may also be performed with a pre-applied etch mask.
  • the sidewall spacers 30 follow the non-rectilinear arrangement of the segments 18 , 19 and, in particular, follow the contour of the sidewalls 20 , 22 of the gate electrodes 12 with lateral changes in direction introduced by the lateral shifts of the segments 18 , 19 .
  • the structure 10 further includes source/drain regions 32 of a given conductivity type that are formed in the semiconductor substrate 14 adjacent to, and on opposite sides of, each gate electrode 12 and its sidewall spacers 30 .
  • the source/drain regions 32 are formed in the regions 26 , 28 between the gate electrodes 12 and acquire the alternating width dimension along the length of the gate electrodes 12 .
  • the source/drain regions 32 may be formed by introducing a dopant into the semiconductor substrate 14 .
  • the source/drain regions 32 may be formed by implanting ions containing the dopant under a given set of implantation conditions (e.g., ion species, dose, kinetic energy, tilt angle) into the semiconductor substrate 14 .
  • the source/drain regions 32 may contain a concentration of an n-type dopant (e.g., phosphorus, arsenic, and/or antimony) that provides n-type conductivity.
  • the ions used to form the source/drain regions 32 may be generated from a suitable source gas and implanted into the semiconductor substrate 14 with the given set of implantation conditions using an ion implantation tool.
  • the given set of implantation conditions may be selected to tune the electrical and physical characteristics (e.g., electrical resistivity and depth profile) of the source/drain regions 32 .
  • sections of a silicide layer 34 may be formed on the top surface 13 of the semiconductor substrate 14 in the regions 26 , 28 between each pair of the gate electrodes 12 over the source/drain regions 32 .
  • the silicide layer 34 has a lower resistance than the semiconductor substrate 14 and facilitates subsequent contact formation with the source/drain regions 32 .
  • the silicide layer 34 may be formed by a self-aligned silicidation process involving the deposition of a layer of a silicide-forming metal by, for example, chemical vapor deposition or physical vapor deposition, followed by one or more annealing steps (e.g., rapid thermal annealing) to form a silicide phase by reacting the layer of silicide-forming metal and the contacting semiconductor material of the semiconductor substrate 14 . Because the silicide-forming metal does not react with contacted dielectric material, such as the sidewall spacers 30 , the silicidation process is self-aligned to areas on the top surface 13 of the semiconductor substrate 14 in the regions 26 , 28 .
  • annealing steps e.g., rapid thermal annealing
  • silicide-forming metal examples include, but are not limited to, nickel, titanium, cobalt, palladium, platinum, or a combination of these metals or other metals capable of reacting with a semiconductor material (e.g., silicon) to form a low-resistivity, thermally-stable silicide. Sections of the silicide layer 34 , or sections of a different silicide layer, may also be formed on the top surface of the gate electrodes 12 .
  • MOL Middle-of-line
  • BEOL back-end-of-line
  • the interconnect structure includes a dielectric layer 36 and contacts 38 arranged in contact openings in the dielectric layer 36 as vertical interconnections extending to the source/drain regions 32 .
  • Contacts may also be formed that are connected with the sections of the silicide layer 34 on the gate electrodes 12 .
  • the contacts 38 are coupled with sections of the silicide layer 34 in the wide regions 26 laterally between the segments 18 of adjacent gate electrodes 12 . Sections of the silicide layer 34 are also present in the narrow regions 28 laterally between the segments 18 of adjacent gate electrodes 12 , which are not contacted.
  • the structure may be a switch constructed as a multi-finger field-effect transistor.
  • the shape of the gate electrodes 12 may permit more gate electrodes 12 to be placed in a given device footprint with an area-efficient layout that features a higher density of gate electrodes.
  • the density improvements are accomplished while satisfying ground rules for the layout, which include, but are not limited to, the gate length, the contact width, and the contact-to-gate minimum spacing.
  • the sidewall spacers 30 may be formed at the sidewalls of the gate electrodes 12 with a greater thickness.
  • a conformal layer 40 may be deposited with a thickness sufficient to pinch-off inside the narrow regions 28 .
  • the conformal layer 40 is not fully etched in the narrow regions 28 by the etching process forming the sidewall spacers 30 due to the narrowness of the narrow regions 28 in comparison with the wide regions 26 .
  • the thickening of the sidewall spacers 30 does not interfere with the formation of the contacts 38 in the wide regions 26 .
  • an etch mask 42 may be formed by lithography over the semiconductor substrate 14 .
  • the etch mask 42 may include a layer of, for example, an organic photoresist that is applied by a spin coating process, pre-baked, exposed to light projected through a photomask, baked after exposure, and developed with a chemical developer to define openings at the intended locations of cuts to be formed in the conformal layer 40 in the narrow regions 28 .
  • the cuts are needed to divide the conformal layer 40 into additional spacers 30 and to reopen the narrow regions 28 for the subsequent formation of the silicide layer 34 .
  • an etching process is used to remove the material of the conformal layer 40 over portions of the narrow regions 28 that are not masked by the etch mask 42 .
  • the etching process may be an anisotropic etching process, such as reactive ion etching, that is selected to stop on the semiconductor material of the semiconductor substrate 14 .
  • the size and arrangement of the openings in the etch mask 42 determine the dimensions and locations of the sidewall spacers 30 formed in the narrow regions 28 .
  • processing continues to form the source/drain regions 32 , and then as described in connection with FIGS. 4, 5 to complete the formation of the field-effect transistor.
  • the increased thickness of the sidewall spacers 30 may effectively reduce the dimensions of the source/drain regions 32 .
  • the sidewall spacers 30 may be formed at the sidewalls of the gate electrodes 12 with a thickness selected to not pinch off the narrow regions 28 .
  • the active device region of the semiconductor substrate 14 may be implanted with masked or unpatterned implants to dope halo regions, extension regions, and/or source/drain regions 32 of the structure 10 .
  • Sacrificial spacers 44 may be subsequently formed adjacent to the sidewall spacers 30 in the wide regions 26 .
  • the sacrificial spacers 44 may be formed by depositing a conformal layer composed of a material, such as silicon dioxide, silicon nitride, or a low-k dielectric material, with atomic layer deposition and etching the deposited layer with an anisotropic etching process, such as reactive ion etching. A portion 46 of the conformal layer pinches off inside the narrow regions 28 such that the space between the sidewall spacers 30 in the narrow regions 28 is filled and closed.
  • the material of the sacrificial spacers 44 is chosen to permit selective removal relative to the material of the sidewall spacers 30 .
  • the terms “selective” and “selectivity” in reference to a material removal process denotes that the material removal rate (i.e., etch rate) for the targeted material is higher than the material removal rate (i.e., etch rate) for at least another material exposed to the material removal process.
  • the sidewall spacers 30 may be composed of silicon dioxide
  • the sacrificial spacers 44 and the portion 46 of the conformal layer may be composed of silicon nitride
  • the silicon nitride may be removed selectively relative to the silicon dioxide and without lithography and a photomask using, for example, aqueous phosphoric acid.
  • processing further continues after forming the sacrificial spacers 44 to complete the formation of the structure 10 for the field-effect transistor.
  • the sacrificial spacers 44 and the portion 46 of the conformal layer may be removed from between the sidewall spacers 30 in the narrow regions 28 .
  • processing further continues to complete the formation of the structure 10 for the field-effect transistor.
  • the temporarily-increased thickness of the sidewall spacers 30 due to the presence of the sacrificial spacers 44 reduces the dimensions of the source/drain regions 32 similar to the widened spacers of FIGS. 6-8 .
  • the methods as described above are used in the fabrication of integrated circuit chips.
  • the resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (e.g., as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form.
  • the chip may be integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either an intermediate product or an end product.
  • the end product can be any product that includes integrated circuit chips, such as computer products having a central processor or smartphones.
  • references herein to terms modified by language of approximation such as “about”, “approximately”, and “substantially”, are not to be limited to the precise value specified.
  • the language of approximation may correspond to the precision of an instrument used to measure the value and, unless otherwise dependent on the precision of the instrument, may indicate +/ ⁇ 10% of the stated value(s).
  • references herein to terms such as “vertical”, “horizontal”, etc. are made by way of example, and not by way of limitation, to establish a frame of reference.
  • the term “horizontal” as used herein is defined as a plane parallel to a conventional plane of a semiconductor substrate, regardless of its actual three-dimensional spatial orientation.
  • the terms “vertical” and “normal” refer to a direction perpendicular to the horizontal, as just defined.
  • the term “lateral” refers to a direction within the horizontal plane.
  • a feature “connected” or “coupled” to or with another feature may be directly connected or coupled to or with the other feature or, instead, one or more intervening features may be present.
  • a feature may be “directly connected” or “directly coupled” to or with another feature if intervening features are absent.
  • a feature may be “indirectly connected” or “indirectly coupled” to or with another feature if at least one intervening feature is present.
  • a feature “on” or “contacting” another feature may be directly on or in direct contact with the other feature or, instead, one or more intervening features may be present.
  • a feature may be “directly on” or “in direct contact with” another feature if intervening features are absent.
  • a feature may be “indirectly on” or “in indirect contact with” another feature if at least one intervening feature is present.

Abstract

Structures for a field-effect transistor and methods of forming a field-effect transistor. A first gate electrode has a first plurality of segments arranged in series to define a first non-rectilinear chain. A second gate electrode is arranged adjacent to the first gate electrode. The second gate electrode includes a second plurality of segments arranged in series to define a second non-rectilinear chain. A source/drain region is laterally arranged between the first gate electrode and the second gate electrode.

Description

    BACKGROUND
  • The present invention relates to semiconductor device fabrication and integrated circuits and, more specifically, to structures for a field-effect transistor and methods of forming a field-effect transistor.
  • Device structures for a field-effect transistor generally include a body region, a source and a drain defined in the body region, and a gate electrode configured to switch carrier flow in a channel formed during operation in the body region. When a control voltage exceeding a designated threshold voltage is applied to the gate electrode, the field-effect transistor is switched “on”, and carrier flow occurs in the channel between the source and drain to produce a device output current.
  • Complementary metal-oxide semiconductor (CMOS) circuitry may be utilized in mobile communication devices (e.g., laptops, cellular phones, tablets, etc.) to handle high-frequency signals transmitted to and/or received by the mobile communication devices. The circuitry on a chip may include a low noise amplifier and a high-frequency switch that allows for high-frequency signals received by an antenna to be routed from the low noise amplifier to other chip circuitry and for high-frequency signals to be routed from a power amplifier to the antenna. The high-frequency switch may include a stack or bank of field-effect transistors formed by CMOS processes.
  • The bank of field-effect transistors may include multiple gate fingers that have a rectilinear parallel arrangement in the device layout. Sources and drains are arranged in the spaces between the adjacent gate fingers. Due to the rectilinear parallel arrangement of the gate fingers in the device layout, the bank of field-effect transistors may occupy a large area that makes inefficient use of the available space on a chip.
  • Improved structures for a field-effect transistor and methods of forming a field-effect transistor are needed.
  • SUMMARY
  • In an embodiment, a structure is provided for a field-effect transistor. The structure includes a first gate electrode having a first plurality of segments arranged in series to define a first non-rectilinear chain, and a second gate electrode arranged adjacent to the first gate electrode. The second gate electrode includes a second plurality of segments arranged in series to define a second non-rectilinear chain. The second plurality of segments are displaced laterally from the first plurality of segments of the first non-rectilinear chain of the first gate electrode. The structure further includes a source/drain region arranged laterally between the first gate electrode and the second gate electrode.
  • In an embodiment, a method is provided for forming a field-effect transistor. The method includes forming a first gate electrode including a first plurality of segments arranged in series to define a first non-rectilinear chain, and forming a second gate electrode arranged adjacent to the first gate electrode. The second gate electrode includes a second plurality of segments arranged in series to define a second non-rectilinear chain. The second plurality of segments are displaced laterally from the first plurality of segments of the first non-rectilinear chain of the first gate electrode. A source/drain region is arranged laterally between the first gate electrode and the second gate electrode.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate various embodiments of the invention and, together with a general description of the invention given above and the detailed description of the embodiments given below, serve to explain the embodiments of the invention. In the drawings, like reference numerals are used to indicate like features in the various views.
  • FIG. 1 is a top view of a device structure at an initial fabrication stage of a processing method in accordance with embodiments of the invention.
  • FIG. 1A is an enlarged view of a portion of FIG. 1 in which the gate electrode corners are right angles.
  • FIG. 1B is an enlarged view similar to FIG. 1A of a portion of a gate electrode in accordance with alternative embodiments of the invention and in which the gate electrode corners are chamfered.
  • FIG. 2 is a cross-sectional view taken generally along line 2-2 in FIG. 1.
  • FIG. 3 is a cross-sectional view of the device structure at a fabrication stage subsequent to FIG. 2.
  • FIG. 4 is a cross-sectional view of the device structure at a fabrication stage subsequent to FIG. 3.
  • FIG. 5 is a simplified top view in which FIG. 4 is taken generally along line 4-4.
  • FIG. 6 is a cross-sectional view of a device structure at an initial fabrication stage of a processing method in accordance with alternative embodiments of the invention.
  • FIGS. 7 and 8 are cross-sectional views of the device structure at successive fabrication stages subsequent to FIG. 6.
  • FIG. 9 is a cross-sectional view of a device structure at a fabrication stage in accordance with alternative embodiments of the invention.
  • FIG. 10 is a cross-sectional view of a device structure at a fabrication stage in accordance with alternative embodiments of the invention.
  • DETAILED DESCRIPTION
  • With reference to FIGS. 1, 2 and in accordance with embodiments of the invention, a structure 10 for a field-effect transistor includes gate electrodes 12 arranged in an array as a set of fingers on a top surface 13 of a semiconductor substrate 14. The semiconductor substrate 14 may be, for example, a bulk semiconductor wafer composed of a single-crystal semiconductor material, such as single-crystal silicon, or a top crystalline film of a silicon-on-insulator (SOI) wafer. A doped well (not shown) may be formed in the semiconductor substrate 14. The doped well may be provided by ion implanting a dopant having a given conductivity type, such as boron to provide p-type conductivity for an NFET well or phosphorus to provide n-type conductivity for a PFET well. The gate electrodes 12 and doped well may be formed in an active device region of the semiconductor substrate 14 that is surrounded by a shallow trench isolation (STI) region (not shown). The STI region may, for example, surround outer edges of the array of gate electrodes 12 to provide isolation with respect to adjacent field-effect transistors, or with respect to other adjacent active or passive devices.
  • A gate dielectric layer 16 is arranged between each gate electrode 12 and the top surface 13 of the semiconductor substrate 14. The gate dielectric layer 16 may be composed of a dielectric material, such as silicon dioxide grown by a wet or dry thermal oxidation process of the semiconductor material of the semiconductor substrate 14 or silicon dioxide deposited by atomic layer deposition. The gate electrodes 12 may be composed of a doped semiconductor material, such as doped polycrystalline silicon (i.e., polysilicon), deposited by chemical vapor deposition. The gate electrodes 12 may be formed by lithography and etching processes that pattern a deposited layer of its constituent material, as well as a deposited layer of the material constituting the gate dielectric layer 16. The lithography process may form an etch mask that includes a layer of a light-sensitive material, such as an organic photoresist, applied by a spin coating process, pre-baked, exposed to light projected through a photomask, baked after exposure, and developed with a chemical developer to form the resist shapes that cover respective areas on the deposited layers of the constituent materials. These areas are masked during the etching process to provide the gate electrodes 12 and gate dielectric layer 16 under each gate electrode 12. Alternatively, the gate dielectric layer 16 may be composed of a high-k dielectric material, such as hafnium oxide, and the gate electrodes 12 may be composed of one or more metals formed by, for example, a metal gate process or a replacement metal gate process.
  • The gate electrodes 12 are patterned to form multiple segments 18, 19 arranged in series that exhibit shifts in direction relative to each other along their lengths such that the individual gate electrodes 12 are not rectilinear. In an embodiment, the shifts in direction may occur in a given direction (e.g., the x-direction) within a plane. Areas or portions of the semiconductor substrate 14 are exposed in the wide regions 26 laterally between the segments 18, 19 of adjacent gate electrodes 12, and other areas or portions of the semiconductor substrate 14 are exposed in the narrow regions 28 laterally between the segments 18 of adjacent gate electrodes 12. In an embodiment, the segments 18, 19 may have nominally the same length dimension along a lengthwise direction (e.g., the y-direction). In an embodiment, the segments 18, 19 may have nominally the same width dimension along a direction (e.g., the x-direction) in the plane that is transverse to the lengthwise direction. The shifts of the segments 18, 19 may impart an S-shape to each gate electrode 12.
  • The segments 18, 19 in the serial arrangement of each gate electrode 12 define a non-rectilinear string or chain in which alternating segments 18, 19 are laterally displaced. The pattern of segments 18, 19 along the length of the gate electrodes 12 is complementary to the pattern of each adjacent gate electrode 12 such that the segments 18, 19 are mirrored among adjacent pairs of the gate electrodes 12. Each gate electrode 12 includes opposite sidewalls 20, 22 that reflect the contour of the non-rectilinear arrangement of the segments 18, 19. The distance between the sidewall 20 and the opposite sidewall 22 of each gate electrode 12 defines a channel length in the underlying semiconductor substrate 14. The segments 18 may be aligned along a longitudinal axis 21, and the segments 19 may be aligned along a longitudinal axis 23 that is offset laterally (i.e., in the x-direction) from the longitudinal axis 21. The segments 18, 19 of each gate electrode 12 are distributed to alternate in the corresponding non-rectilinear chain between alignment of segments 18 along the longitudinal axis 21 and alignment of the segments 19 along the longitudinal axis 23.
  • The lateral displacement between the different rectilinear chains imparts a varying width dimension to the space between the nearest- neighbor sidewalls 20 and 22. In particular, the sidewall 20 of one gate electrode 12 and the sidewall 22 of an adjacent, nearest-neighbor gate electrode 12 have a spacing associated with the wide regions 26 and a different spacing associated with the narrow regions 28 that is less than the spacing associated with the wide regions 26. The sidewalls 20, 22 of each gate electrode 12 also include outside corners 24 and inside corners 25 where the segments 18, 19 have an overlapping arrangement. At each of the corners 24, 25, the respective sidewalls 20, 22 change in direction and, in an embodiment, the direction change may be approximately equal to a right-angle (i.e., 90°).
  • As shown in FIG. 1B, the corners 24 a, 25 a of the gate electrodes 12 may be chamfered to eliminate right angles and to smoothen the sidewalls 20, 22. The chamfering of the corners 24 a, 25 a of the gate electrodes may be provided during patterning by, for example, optical proximity correction that adds serifs to the photomask used during lithography. The corner chamfering may operate, for example, to maintain the channel length more constant over the entire length of each gate electrode 12.
  • Halos and source/drain extensions (not shown) may be formed in the semiconductor substrate 14 after the patterning of the gate electrodes 12. The halos and source/drain extensions may be formed by ion implantation of one or more dopants having given conductivity types.
  • With reference to FIG. 3 in which like reference numerals refer to like features in FIGS. 1, 2 and at a subsequent fabrication stage, sidewall spacers 30 are formed at the sidewalls 20, 22 of the gate electrodes 12. The sidewall spacers 30 may be formed by depositing a conformal layer composed of a dielectric material, such as silicon dioxide, silicon nitride, or a low-k dielectric material, with atomic layer deposition, chemical vapor deposition, plasma-enhanced chemical vapor deposition, etc. and etching the deposited layer with an anisotropic etching process, such as reactive ion etching. The deposition is controlled such that the conformal layer does not reach a thickness that could pinch-off the narrow regions 28. The anisotropic etching process may be a blanket etching process that can be performed without the presence of a pre-applied etch mask or that may also be performed with a pre-applied etch mask. The sidewall spacers 30 follow the non-rectilinear arrangement of the segments 18, 19 and, in particular, follow the contour of the sidewalls 20, 22 of the gate electrodes 12 with lateral changes in direction introduced by the lateral shifts of the segments 18, 19.
  • The structure 10 further includes source/drain regions 32 of a given conductivity type that are formed in the semiconductor substrate 14 adjacent to, and on opposite sides of, each gate electrode 12 and its sidewall spacers 30. In particular, the source/drain regions 32 are formed in the regions 26, 28 between the gate electrodes 12 and acquire the alternating width dimension along the length of the gate electrodes 12. The source/drain regions 32 may be formed by introducing a dopant into the semiconductor substrate 14. In an embodiment, the source/drain regions 32 may be formed by implanting ions containing the dopant under a given set of implantation conditions (e.g., ion species, dose, kinetic energy, tilt angle) into the semiconductor substrate 14. In an embodiment, the source/drain regions 32 may contain a concentration of an n-type dopant (e.g., phosphorus, arsenic, and/or antimony) that provides n-type conductivity. The ions used to form the source/drain regions 32 may be generated from a suitable source gas and implanted into the semiconductor substrate 14 with the given set of implantation conditions using an ion implantation tool. The given set of implantation conditions may be selected to tune the electrical and physical characteristics (e.g., electrical resistivity and depth profile) of the source/drain regions 32.
  • With reference to FIGS. 4, 5 in which like reference numerals refer to like features in FIG. 3 and at a subsequent fabrication stage, sections of a silicide layer 34 may be formed on the top surface 13 of the semiconductor substrate 14 in the regions 26, 28 between each pair of the gate electrodes 12 over the source/drain regions 32. The silicide layer 34 has a lower resistance than the semiconductor substrate 14 and facilitates subsequent contact formation with the source/drain regions 32. The silicide layer 34 may be formed by a self-aligned silicidation process involving the deposition of a layer of a silicide-forming metal by, for example, chemical vapor deposition or physical vapor deposition, followed by one or more annealing steps (e.g., rapid thermal annealing) to form a silicide phase by reacting the layer of silicide-forming metal and the contacting semiconductor material of the semiconductor substrate 14. Because the silicide-forming metal does not react with contacted dielectric material, such as the sidewall spacers 30, the silicidation process is self-aligned to areas on the top surface 13 of the semiconductor substrate 14 in the regions 26, 28. Candidate materials for the silicide-forming metal include, but are not limited to, nickel, titanium, cobalt, palladium, platinum, or a combination of these metals or other metals capable of reacting with a semiconductor material (e.g., silicon) to form a low-resistivity, thermally-stable silicide. Sections of the silicide layer 34, or sections of a different silicide layer, may also be formed on the top surface of the gate electrodes 12.
  • Middle-of-line (MOL) processing and back-end-of-line (BEOL) processing follow, which includes formation of contacts, vias, and wiring for an interconnect structure coupled with the field-effect transistor. The interconnect structure includes a dielectric layer 36 and contacts 38 arranged in contact openings in the dielectric layer 36 as vertical interconnections extending to the source/drain regions 32. Contacts (not shown) may also be formed that are connected with the sections of the silicide layer 34 on the gate electrodes 12.
  • The contacts 38 are coupled with sections of the silicide layer 34 in the wide regions 26 laterally between the segments 18 of adjacent gate electrodes 12. Sections of the silicide layer 34 are also present in the narrow regions 28 laterally between the segments 18 of adjacent gate electrodes 12, which are not contacted.
  • The structure may be a switch constructed as a multi-finger field-effect transistor. The shape of the gate electrodes 12 may permit more gate electrodes 12 to be placed in a given device footprint with an area-efficient layout that features a higher density of gate electrodes. The density improvements are accomplished while satisfying ground rules for the layout, which include, but are not limited to, the gate length, the contact width, and the contact-to-gate minimum spacing.
  • With reference to FIG. 6 in which like reference numerals refer to like features in FIG. 1 and at a subsequent fabrication stage in accordance with alternative embodiments, the sidewall spacers 30 may be formed at the sidewalls of the gate electrodes 12 with a greater thickness. In particular, a conformal layer 40 may be deposited with a thickness sufficient to pinch-off inside the narrow regions 28. The conformal layer 40 is not fully etched in the narrow regions 28 by the etching process forming the sidewall spacers 30 due to the narrowness of the narrow regions 28 in comparison with the wide regions 26. The thickening of the sidewall spacers 30 does not interfere with the formation of the contacts 38 in the wide regions 26.
  • After forming the sidewall spacers 30, an etch mask 42 may be formed by lithography over the semiconductor substrate 14. The etch mask 42 may include a layer of, for example, an organic photoresist that is applied by a spin coating process, pre-baked, exposed to light projected through a photomask, baked after exposure, and developed with a chemical developer to define openings at the intended locations of cuts to be formed in the conformal layer 40 in the narrow regions 28. The cuts are needed to divide the conformal layer 40 into additional spacers 30 and to reopen the narrow regions 28 for the subsequent formation of the silicide layer 34.
  • With reference to FIG. 7 in which like reference numerals refer to like features in FIG. 6 and at a subsequent fabrication stage, an etching process is used to remove the material of the conformal layer 40 over portions of the narrow regions 28 that are not masked by the etch mask 42. The etching process may be an anisotropic etching process, such as reactive ion etching, that is selected to stop on the semiconductor material of the semiconductor substrate 14. The size and arrangement of the openings in the etch mask 42 determine the dimensions and locations of the sidewall spacers 30 formed in the narrow regions 28.
  • With reference to FIG. 8 in which like reference numerals refer to like features in FIG. 7 and at a subsequent fabrication stage, processing continues to form the source/drain regions 32, and then as described in connection with FIGS. 4, 5 to complete the formation of the field-effect transistor. The increased thickness of the sidewall spacers 30 may effectively reduce the dimensions of the source/drain regions 32.
  • With reference to FIG. 9 in which like reference numerals refer to like features in FIG. 1 and in accordance with alternative embodiments, the sidewall spacers 30 may be formed at the sidewalls of the gate electrodes 12 with a thickness selected to not pinch off the narrow regions 28. Following the formation of the sidewall spacers 30, the active device region of the semiconductor substrate 14 may be implanted with masked or unpatterned implants to dope halo regions, extension regions, and/or source/drain regions 32 of the structure 10. Sacrificial spacers 44 may be subsequently formed adjacent to the sidewall spacers 30 in the wide regions 26. The sacrificial spacers 44 may be formed by depositing a conformal layer composed of a material, such as silicon dioxide, silicon nitride, or a low-k dielectric material, with atomic layer deposition and etching the deposited layer with an anisotropic etching process, such as reactive ion etching. A portion 46 of the conformal layer pinches off inside the narrow regions 28 such that the space between the sidewall spacers 30 in the narrow regions 28 is filled and closed. The material of the sacrificial spacers 44 is chosen to permit selective removal relative to the material of the sidewall spacers 30. As used herein, the terms “selective” and “selectivity” in reference to a material removal process (e.g., etching) denotes that the material removal rate (i.e., etch rate) for the targeted material is higher than the material removal rate (i.e., etch rate) for at least another material exposed to the material removal process. In an embodiment, the sidewall spacers 30 may be composed of silicon dioxide, the sacrificial spacers 44 and the portion 46 of the conformal layer may be composed of silicon nitride, and the silicon nitride may be removed selectively relative to the silicon dioxide and without lithography and a photomask using, for example, aqueous phosphoric acid. As described in connection with FIGS. 4, 5, processing further continues after forming the sacrificial spacers 44 to complete the formation of the structure 10 for the field-effect transistor.
  • With reference to FIG. 10 in which like reference numerals refer to like features in FIG. 9 and in accordance with alternative embodiments, after additional processing and prior to the formation of the silicide layer 34, the sacrificial spacers 44 and the portion 46 of the conformal layer may be removed from between the sidewall spacers 30 in the narrow regions 28. As described in connection with FIGS. 4, 5, processing further continues to complete the formation of the structure 10 for the field-effect transistor. The temporarily-increased thickness of the sidewall spacers 30 due to the presence of the sacrificial spacers 44 reduces the dimensions of the source/drain regions 32 similar to the widened spacers of FIGS. 6-8.
  • The methods as described above are used in the fabrication of integrated circuit chips. The resulting integrated circuit chips can be distributed by the fabricator in raw wafer form (e.g., as a single wafer that has multiple unpackaged chips), as a bare die, or in a packaged form. The chip may be integrated with other chips, discrete circuit elements, and/or other signal processing devices as part of either an intermediate product or an end product. The end product can be any product that includes integrated circuit chips, such as computer products having a central processor or smartphones.
  • References herein to terms modified by language of approximation, such as “about”, “approximately”, and “substantially”, are not to be limited to the precise value specified. The language of approximation may correspond to the precision of an instrument used to measure the value and, unless otherwise dependent on the precision of the instrument, may indicate +/−10% of the stated value(s).
  • References herein to terms such as “vertical”, “horizontal”, etc. are made by way of example, and not by way of limitation, to establish a frame of reference. The term “horizontal” as used herein is defined as a plane parallel to a conventional plane of a semiconductor substrate, regardless of its actual three-dimensional spatial orientation. The terms “vertical” and “normal” refer to a direction perpendicular to the horizontal, as just defined. The term “lateral” refers to a direction within the horizontal plane.
  • A feature “connected” or “coupled” to or with another feature may be directly connected or coupled to or with the other feature or, instead, one or more intervening features may be present. A feature may be “directly connected” or “directly coupled” to or with another feature if intervening features are absent. A feature may be “indirectly connected” or “indirectly coupled” to or with another feature if at least one intervening feature is present. A feature “on” or “contacting” another feature may be directly on or in direct contact with the other feature or, instead, one or more intervening features may be present. A feature may be “directly on” or “in direct contact with” another feature if intervening features are absent. A feature may be “indirectly on” or “in indirect contact with” another feature if at least one intervening feature is present.
  • The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.

Claims (22)

1. A structure for a field-effect transistor, the structure comprising:
a first gate electrode including a first plurality of segments arranged in series to define a first non-rectilinear chain;
a second gate electrode arranged adjacent to the first gate electrode, the second gate electrode including a second plurality of segments arranged in series to define a second non-rectilinear chain, the second plurality of segments displaced laterally from the first plurality of segments of the first non-rectilinear chain of the first gate electrode, the second plurality of segments spaced and arranged relative to the first plurality of segments of the first gate electrode to alternate between a first spacing and a second spacing that is less than the first spacing;
a source/drain region laterally arranged between the first gate electrode and the second gate electrode, the source/drain region including a first plurality of regions between the first plurality of segments and the second plurality of segments with the first spacing, and the source/drain region including a second plurality of regions between the first plurality of segments and the second plurality of segments with the second spacing; and
a silicide layer having a plurality of first sections respectively on the first plurality of regions of the source/drain region and a plurality of second sections respectively on the second plurality of regions of the source/drain region.
2-3. (canceled)
4. The structure of claim 1 further comprising:
a contact level over the first gate electrode, the second gate electrode, and the source/drain region, the contact level including a plurality of contacts respectively coupled with the first plurality of regions of the source/drain region.
5. The structure of claim 4 wherein the second plurality of regions of the source/drain region are uncontacted.
6. The structure of claim 1 wherein the first plurality of segments of the first gate electrode include a plurality of corners defined proximate to the first plurality of regions of the source/drain region.
7. The structure of claim 6 wherein each of the plurality of corners is chamfered.
8. (canceled)
9. The structure of claim 1 wherein the first gate electrode has a first sidewall, the second gate electrode has a second sidewall, and the first sidewall of the first gate electrode is spaced from the second sidewall of the second gate electrode with either the first spacing or the second spacing.
10. The structure of claim 1 wherein the first gate electrode includes a sidewall with a contour that exhibits changes in direction that reflect the first non-rectilinear chain of the first plurality of segments, and further comprising:
a sidewall spacer adjacent to the sidewall of the first gate electrode, the sidewall spacer arranged to follow the contour of the sidewall of the first gate electrode.
11. The structure of claim 1 wherein the first plurality of segments are distributed to alternate in the first non-rectilinear chain between alignment along a first longitudinal axis and alignment along a second longitudinal axis that is laterally offset relative to the first longitudinal axis.
12. A method of forming a field-effect transistor, the method comprising:
forming a first gate electrode including a first plurality of segments arranged in series to define a first non-rectilinear chain;
forming a second gate electrode arranged adjacent to the first gate electrode;
forming a source/drain region arranged laterally between the first gate electrode and the second gate electrode; and
forming a silicide layer on the source/drain region,
wherein the second gate electrode includes a second plurality of segments arranged in series to define a second non-rectilinear chain, the second plurality of segments are spaced and arranged relative to the first plurality of segments of the first gate electrode to alternate between a first spacing and a second spacing that is less than the first spacing, the source/drain region includes a first plurality of regions between the first plurality of segments and the second plurality of segments with the first spacing, the source/drain region includes a second plurality of regions between the first plurality of segments and the second plurality of segments with the second spacing, and the silicide layer has a plurality of first sections respectively on the first plurality of regions of the source/drain region and a plurality of second sections respectively on the second plurality of regions of the source/drain region.
13. The method of claim 12 wherein the first gate electrode includes a first sidewall with a first contour exhibiting changes in direction that reflect the first non-rectilinear chain of the first plurality of segments, and further comprising:
forming a first sidewall spacer adjacent to the first sidewall of the first gate electrode,
wherein the first sidewall spacer is arranged to follow the first contour of the first sidewall of the first gate electrode.
14. The method of claim 13 wherein the second gate electrode includes a second sidewall with a second contour exhibiting changes in direction that reflect the second non-rectilinear chain of the second plurality of segments, the second sidewall of the second gate electrode is arranged adjacent to the first sidewall of the first gate electrode, and further comprising:
forming a second sidewall spacer adjacent to the second sidewall of the second gate electrode,
wherein the second sidewall spacer is arranged to follow the second contour of the second sidewall of the first gate electrode.
15. The method of claim 14 wherein the second plurality of regions have a first width dimension, and the second plurality of regions have a second width dimension that is less than the first width dimension.
16. The method of claim 14 wherein forming the first sidewall spacer adjacent to the first sidewall of the first gate electrode comprises:
depositing a dielectric layer that fills spaces between the first sidewall and the second sidewall over the second plurality of regions of the source/drain region; and
patterning the dielectric layer in the spaces with lithography and etching processes to form the first sidewall spacer in the second plurality of regions.
17. The method of claim 14 wherein forming the first sidewall spacer adjacent to the first sidewall of the first gate electrode comprises:
depositing a dielectric layer that includes a first portion on the first sidewall and a second portion on the second sidewall over the second plurality of regions of the source/drain region; and
forming a sacrificial spacer in each space between the first portion of the dielectric layer and the second portion of the dielectric layer in the second plurality of regions.
18. The method of claim 17 further comprising:
removing the sacrificial spacer from each space,
wherein the silicide layer is formed on the source/drain region after removing the sacrificial spacer from each space.
19. The method of claim 12 further comprising:
forming a contact level over the first gate electrode, the second gate electrode, and the source/drain region,
wherein the contact level includes a plurality of contacts respectively coupled with the first plurality of regions of the source/drain region.
20. The method of claim 12 wherein the first gate electrode includes a sidewall, and forming the first gate electrode including the first plurality of segments arranged in series to define the first non-rectilinear chain comprises:
patterning the first plurality of segments with chamfered corners at changes in direction of the sidewall of the first gate electrode produced by the first non-rectilinear chain.
21. The structure of claim 1 wherein the second plurality of regions have a first width dimension, and the second plurality of regions have a second width dimension that is less than the first width dimension.
22. The structure of claim 1 wherein the first plurality of segments of the first gate electrode and the second plurality of segments of the second gate electrode have nominally equal width dimensions.
23. The method of claim 12 wherein the source/drain region is formed by ion implantation.
US16/405,368 2019-05-07 2019-05-07 Field-effect transistors with laterally-serpentine gates Active US10818763B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US16/405,368 US10818763B1 (en) 2019-05-07 2019-05-07 Field-effect transistors with laterally-serpentine gates
CN202010264184.8A CN111916498A (en) 2019-05-07 2020-04-07 Field effect transistor with laterally meandering gate
TW109111792A TWI753398B (en) 2019-05-07 2020-04-08 Field-effect transistors with laterally-serpentine gates

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US16/405,368 US10818763B1 (en) 2019-05-07 2019-05-07 Field-effect transistors with laterally-serpentine gates

Publications (2)

Publication Number Publication Date
US10818763B1 US10818763B1 (en) 2020-10-27
US20200357889A1 true US20200357889A1 (en) 2020-11-12

Family

ID=72944659

Family Applications (1)

Application Number Title Priority Date Filing Date
US16/405,368 Active US10818763B1 (en) 2019-05-07 2019-05-07 Field-effect transistors with laterally-serpentine gates

Country Status (3)

Country Link
US (1) US10818763B1 (en)
CN (1) CN111916498A (en)
TW (1) TWI753398B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11322500B2 (en) * 2020-07-28 2022-05-03 HeFeChip Corporation Limited Stacked capacitor with horizontal and vertical fin structures and method for making the same
CN113990936B (en) * 2021-12-23 2022-06-14 浙江大学杭州国际科创中心 MOS (Metal oxide semiconductor) tube device based on different gate structures

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5955763A (en) * 1997-09-16 1999-09-21 Winbond Electronics Corp. Low noise, high current-drive MOSFET structure for uniform serpentine-shaped poly-gate turn-on during an ESD event
US6501136B1 (en) * 1997-09-16 2002-12-31 Winbond Electronics Corporation High-speed MOSFET structure for ESD protection
US6541820B1 (en) * 2000-03-28 2003-04-01 International Rectifier Corporation Low voltage planar power MOSFET with serpentine gate pattern
US20140191305A1 (en) * 2010-08-11 2014-07-10 James L. Vorhaus Integrated Switch Devices
US20170170274A1 (en) * 2015-12-10 2017-06-15 Infineon Technologies Austria Ag Semiconductor Device Comprising a First Gate Trench and a Second Gate Trench

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002190534A (en) * 2000-12-20 2002-07-05 Nec Corp Semiconductor storage device and its fabricating method
US6486511B1 (en) 2001-08-30 2002-11-26 Northrop Grumman Corporation Solid state RF switch with high cutoff frequency
US7315466B2 (en) 2004-08-04 2008-01-01 Samsung Electronics Co., Ltd. Semiconductor memory device and method for arranging and manufacturing the same
US8058161B2 (en) 2006-09-29 2011-11-15 Texas Instruments Incorporated Recessed STI for wide transistors
US7763939B2 (en) * 2007-05-23 2010-07-27 Fairchild Semiconductor Corporation Low on resistance CMOS transistor for integrated circuit applications
CN102487076A (en) * 2010-12-03 2012-06-06 比亚迪股份有限公司 Cell structure of MOS (Metal Oxide Semiconductor) power device
US9202906B2 (en) 2013-03-14 2015-12-01 Northrop Grumman Systems Corporation Superlattice crenelated gate field effect transistor
US10263013B2 (en) 2017-02-24 2019-04-16 Globalfoundries Inc. Method of forming an integrated circuit (IC) with hallow trench isolation (STI) regions and the resulting IC structure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5955763A (en) * 1997-09-16 1999-09-21 Winbond Electronics Corp. Low noise, high current-drive MOSFET structure for uniform serpentine-shaped poly-gate turn-on during an ESD event
US6501136B1 (en) * 1997-09-16 2002-12-31 Winbond Electronics Corporation High-speed MOSFET structure for ESD protection
US6541820B1 (en) * 2000-03-28 2003-04-01 International Rectifier Corporation Low voltage planar power MOSFET with serpentine gate pattern
US20140191305A1 (en) * 2010-08-11 2014-07-10 James L. Vorhaus Integrated Switch Devices
US20170170274A1 (en) * 2015-12-10 2017-06-15 Infineon Technologies Austria Ag Semiconductor Device Comprising a First Gate Trench and a Second Gate Trench

Also Published As

Publication number Publication date
CN111916498A (en) 2020-11-10
TWI753398B (en) 2022-01-21
TW202044489A (en) 2020-12-01
US10818763B1 (en) 2020-10-27

Similar Documents

Publication Publication Date Title
JPH10200109A (en) Semiconductor device and its manufacturing method, and semiconductor substrate
US5612240A (en) Method for making electrical connections to self-aligned contacts that extends beyond the photo-lithographic resolution limit
US10818763B1 (en) Field-effect transistors with laterally-serpentine gates
US20090050980A1 (en) Method of forming a semiconductor device with source/drain nitrogen implant, and related device
US7897469B2 (en) Impact ionization MOSFET method
US20090096023A1 (en) Method for manufacturing semiconductor device
US8482075B2 (en) Structure and method for manufacturing asymmetric devices
US8796130B2 (en) Diffusion barrier for oppositely doped portions of gate conductor
US20220262900A1 (en) Transistor with embedded isolation layer in bulk substrate
US11563085B2 (en) Transistors with separately-formed source and drain
US20170316986A1 (en) Commonly-bodied field-effect transistors
CN113224137A (en) Transistor with asymmetrically arranged source/drain regions
KR100607194B1 (en) Electrical nodes of transistor and methods of forming the same
US20070202643A1 (en) Method for separately optimizing spacer width for two or more transistor classes using a recess spacer integration
US6232162B1 (en) Method of complementary metal-oxide semiconductor
US10062711B2 (en) Wafers and device structures with body contacts
US11205701B1 (en) Transistors with sectioned extension regions
TWI761010B (en) Transistors with an asymmetrical source and drain
US11081561B2 (en) Field-effect transistors with vertically-serpentine gates
TWI758818B (en) Extended-drain field-effect transistors including a floating gate
TWI826258B (en) Transistor device and manufacturing method thereof
US20230317815A1 (en) Laterally diffused metal-oxide semiconductor with gate contact
US7186603B2 (en) Method of forming notched gate structure
KR20020049934A (en) Method of manufacturing a transistor in a semiconductor device
KR20040021775A (en) Method Of Forming Semiconductor Transistor

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:STAMPER, ANTHONY K.;SHANK, STEVEN M.;ABOU-KHALIL, MICHEL J.;AND OTHERS;SIGNING DATES FROM 20190418 TO 20190506;REEL/FRAME:049102/0241

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4