US20200075435A1 - Semiconductor device and manufacturing method of the same - Google Patents

Semiconductor device and manufacturing method of the same Download PDF

Info

Publication number
US20200075435A1
US20200075435A1 US16/254,060 US201916254060A US2020075435A1 US 20200075435 A1 US20200075435 A1 US 20200075435A1 US 201916254060 A US201916254060 A US 201916254060A US 2020075435 A1 US2020075435 A1 US 2020075435A1
Authority
US
United States
Prior art keywords
semiconductor device
probe pad
detecting devices
detecting
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US16/254,060
Other versions
US11088037B2 (en
Inventor
Yang-Che CHEN
Wei-Yu Chou
Hong-Seng Shue
Chen-hua Lin
Huang-Wen Tseng
Victor Chiang Liang
Chwen-Ming Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/254,060 priority Critical patent/US11088037B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIANG, VICTOR CHIANG, LIU, CHWEN-MING, LIN, CHEN-HUA, CHEN, YANG-CHE, CHOU, WEI-YU, SHUE, HONG-SENG, TSENG, HUANG-WEN
Publication of US20200075435A1 publication Critical patent/US20200075435A1/en
Priority to US17/397,416 priority patent/US11854913B2/en
Application granted granted Critical
Publication of US11088037B2 publication Critical patent/US11088037B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/2644Adaptations of individual semiconductor devices to facilitate the testing thereof
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/282Testing of electronic circuits specially adapted for particular applications not provided for elsewhere
    • G01R31/2831Testing of materials or semi-finished products, e.g. semiconductor wafers or substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13116Lead [Pb] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13155Nickel [Ni] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18162Exposing the passive side of the semiconductor or solid-state body of a chip with build-up interconnect

Definitions

  • an integrated circuit In electronics, an integrated circuit (IC) is a miniaturized electronic circuit (including semiconductor devices as well as passive components) that has been manufactured in the surface of a thin substrate of semiconductor material.
  • wafers are used as carriers for semiconductor fabrication during the production of integrated circuits (ICs). After semiconductor fabrication processes, a plurality of dies are formed on a wafer, and the wafer is sawed into individual chips once the fabrication is complete.
  • the sawing process can damage the die. Accordingly, there have been attempts to test for defects generated during the die sawing process.
  • FIG. 1 is a schematic top view of a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 2 is a schematic top view of a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 3 is a schematic top view of a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 4 is a cross-sectional view of a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 5 is a cross-sectional view of a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 6 is a cross-sectional view of a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 7 is a flowchart representing a method for detecting defects in a semiconductor device according to aspects of the present disclosure in one or more embodiments.
  • FIG. 8 is a schematic view illustrating probing of a semiconductor device according to aspects of the present disclosure in one or more embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • a wafer includes a plurality of dies, each die separated by scribe lines. Each die may include a seal ring forming an electrical and mechanical seal surrounding the various devices and circuits on the die. Once the fabrication of the integrated circuit on the wafer is complete, the wafer is divided into many chips, typically by conventional mechanical or laser sawing methods along the scribe lines.
  • the seal rings can provide structural reinforcement and stop moisture and mobile ionic contaminants from entering a circuit region of a chip and improving the operational reliability.
  • the sawing process may damage the die.
  • the mechanical stress caused by the saw can result in cracks and delamination in the die.
  • a typical problem is that the low-k dielectric materials in the die are prone to damage incurred by stress introduced by the sawing process.
  • cracks form in low-k dielectric materials metal lines in the low-k dielectric materials may be damaged.
  • subsequent packaging and testing can also cause the cracks and/or delamination to become more serious. If the cracks and/or delamination become sufficiently serious, performance degradation or total device failure can result, particularly if the defects penetrate the seal ring of the device. Defects may arise anywhere, but generally occur at the corners or periphery of the die, and may be spread from the corners or periphery to the center.
  • the present disclosure therefore provides a semiconductor device and a method for detecting defects in a semiconductor device.
  • the semiconductor device includes a substrate including a circuit region and an outer border, and a plurality of detecting devices disposed over the substrate and located between the circuit region and the outer border.
  • the semiconductor device further includes a first probe pad and a second probe pad electrically connected to two ends of each detecting device, and a seal ring located between the outer border of the substrate and the detecting devices.
  • FIGS. 1 to 3 are schematic top views illustrating a semiconductor device according to aspects of the present disclosure in some embodiments.
  • FIG. 4 is a schematic cross-sectional view taken along a line A-A′ in FIG. 3 .
  • the semiconductor device can be a semiconductor die.
  • a semiconductor device 100 includes a substrate 11 including a circuit region 111 and an outer border 112 , and a plurality of detecting devices 21 disposed over the substrate 11 and located between the circuit region 111 and the outer border 112 .
  • the semiconductor device further includes a first probe pad 31 and a second probe pad 32 electrically connected to two ends of each detecting device 21 , and a seal ring 41 located between the outer border 112 of the substrate 11 and the detecting devices 21 .
  • the semiconductor device 100 further includes a plurality of dielectric layers 12 disposed over the substrate 11 , wherein the detecting devices 21 and the seal ring 41 are disposed within the plurality of dielectric layers 12 .
  • the semiconductor device 100 over the substrate 11 is located on an inner side of a scribe line (alternatively referred to as a dicing line or a cutting line) 113 .
  • a scribe line alternatively referred to as a dicing line or a cutting line
  • the semiconductor device 100 is separated along the scribe line 113 by, e.g., laser cutting or blade cutting.
  • the boundary of the semiconductor device 100 will be accordingly formed, and can be regarded as an outer border 112 of the substrate 11 .
  • the outer border 112 of the substrate 11 may be slightly deviated from the scribe line 113 .
  • the outer border 112 of the semiconductor device 100 may be slightly shifted outwardly (e.g., shifted to the left side) or inwardly (e.g., shifted to the right side).
  • the circuit region 111 of the substrate 11 includes a circuitry, such as a memory circuit, e.g., a dynamic random access memory (DRAM) circuit, a static random access memory (SRAM) circuit, a non-volatile memory circuit and/or other memory circuits, a mixed-signal circuit, a signal processing circuit, a logic circuit, an analog circuit, other circuits, and/or any combinations thereof.
  • a memory circuit e.g., a dynamic random access memory (DRAM) circuit, a static random access memory (SRAM) circuit, a non-volatile memory circuit and/or other memory circuits, a mixed-signal circuit, a signal processing circuit, a logic circuit, an analog circuit, other circuits, and/or any combinations thereof.
  • DRAM dynamic random access memory
  • SRAM static random access memory
  • non-volatile memory circuit and/or other memory circuits e.g., a mixed-signal circuit
  • a signal processing circuit e.g., a logic circuit segment and
  • the material of the substrate 11 may include polysilicon, silane (SiH 4 ), di-silane (Si 2 H 6 ), di-clorsilane (SiCl 2 H 4 ), silicon germanium, gallium arsenic, or other suitable semiconductor materials so as to function as a conductive material under certain conditions.
  • the substrate 11 further includes doped regions, such as a P-well, an N-well, and/or a doped active region such as a P+ doped active region.
  • the substrate 11 may further include other features, such as a buried layer and/or an epitaxy layer.
  • the substrate 11 may be a semiconductor on insulator such as silicon on insulator (SOI).
  • the substrate 11 may include a doped epitaxy layer, a gradient semiconductor layer, and/or a semiconductor layer overlying another semiconductor layer of a different type such as a silicon layer on a silicon germanium layer.
  • the substrate 11 may include a multilayer silicon structure or a multilayer compound semiconductor configuration.
  • the substrate 11 includes an inter-layer dielectric (ILD) layer.
  • the ILD layer may be a silicon oxide layer or any suitable ILD layer.
  • the semiconductor device 100 further comprises a plurality of dielectric layers 12 disposed over the substrate 11 , wherein the detecting devices 21 and the seal ring 41 are disposed within the dielectric layers 12 .
  • the dielectric layers 12 include low-k dielectric material.
  • the dielectric constant (k value) of the low-k dielectric material may be lower than 3.0, or lower than about 2.5, and the dielectric material is therefore also referred to as an extreme low-k (ELK) dielectric material. Relatively low density, lack of mechanical strength and sensitivity to thermal stress make low-k dielectric material very prone to damage.
  • the material of the dielectric layers 12 may include organic dielectric material such as organic silicate glass (OSG), porous methyl silsesquioxane (p-MSQ), hydrogen silsesquioxane (HSQ), a combination thereof, or any other suitable organic low-k or extreme low-k dielectric material.
  • the material of the dielectric layers 12 may include inorganic dielectric material such as carbon-doped silicon oxide, fluorine-doped silicate glass (FSG), a combination thereof, or any other suitable inorganic low-k or extreme low-k dielectric material.
  • FSG fluorine-doped silicate glass
  • other suitable dielectric materials such as silicon oxide or phosphosilicate glass (PSG) may also be used.
  • the dielectric layers 12 include silicon oxide.
  • the seal ring 41 is disposed around the circuit region 111 , which is disposed over a substrate 11 . In some embodiments, the seal ring 41 is located between the outer border 112 of the substrate 11 and the detecting devices 21 . As is known in the art, the seal ring 41 forms a ring surrounding the circuit region 111 , and is formed of metal lines and connecting vias. Since the seal ring 41 is a tightly interconnected structure, it not only provides mechanical support and structural reinforcement to the semiconductor device 100 , but also prevents moisture and/or mobile ionic contaminants from penetrating through edges of the semiconductor device 100 .
  • the seal ring 41 can be made of at least one material, such as copper, aluminum, aluminum copper, aluminum silicon copper, tungsten, titanium, tantalum, titanium nitride, tantalum nitride, nickel silicide, cobalt silicide, other proper conductive materials, or combinations thereof.
  • the seal ring 41 is electrically isolated from the detecting devices 21 . It should be noted that the single seal ring 41 shown in each of FIGS. 1 to 3 is merely illustrative, and the scope of the application is not limited thereto. In some embodiments, two or more seal rings 41 can be disposed around the circuit region 111 .
  • the detecting devices 21 and the first and second probe pads 31 , 32 electrically connected to two ends of each detecting device 21 may be used to determine whether the semiconductor device 100 has defects. In some embodiments, the detecting devices 21 and the first and second probe pads 31 , 32 may be used to determine whether the dielectric layers 12 have cracks. In some embodiments, the detecting devices 21 and the first and second probe pads 31 , 32 may be used to determine whether the dielectric layers 12 are delaminated from the substrate 11 . The detecting devices 21 and the first and second probe pads 31 , 32 are disposed over the substrate 11 and located between the circuit region 111 and the outer border 112 of the substrate 11 .
  • the detecting devices 21 and the first and second probe pads 31 , 32 are located between the circuit region 111 and the seal ring 41 . That is, the detecting devices 21 and the first and second probe pads 31 , 32 are arranged within the inner periphery of the seal ring 41 . In this case, if one of the detecting devices 21 on the semiconductor device 100 is damaged, it indicates that the seal ring 41 is also damaged. Although the circuit region 111 on the inner side of the detecting device 21 may or may not be damaged, one skilled in the art will understand that a defect that erodes the integrity of the seal ring 41 can greatly degrade the performance of the semiconductor device 100 , and such a defect can be sufficient cause to discard the semiconductor device 100 .
  • the detecting devices 21 on the semiconductor device 100 are not damaged, the seal ring 41 may or may not be damaged, but the circuit region 111 on the inner side of the detecting devices 21 is not damaged. Therefore, the semiconductor device 100 can be packaged. In other words, the detecting devices 21 are used as a quality monitor for the circuit region 111 , but not for the seal ring 41 .
  • the detecting devices 21 can be arranged and configured to detect and identify an approximate extent and/or location of a defect in the semiconductor device 100 .
  • the detecting devices 21 are separated from each other.
  • the number of detecting devices 21 is not particularly limited.
  • two adjacent detecting devices 21 are separated by a distance D T .
  • the distance D T can be configured to optimize the area of the semiconductor device 100 covered by the detecting devices 21 .
  • the distance D T can be configured based on whether the detecting devices 21 are situated at or near a high-defect-probability section of the semiconductor device 100 , such as, for example, a corner of the semiconductor device 100 .
  • Other configurations can also be employed.
  • the shape of the semiconductor device 100 is a rectangle or a square, and four detecting devices 21 pass through each corner of the semiconductor device 100 .
  • six detecting devices 21 are evenly distributed around the circuit region 111 , wherein four detecting devices 21 pass through each corner of the semiconductor device 100 .
  • four detecting devices 21 are arranged in a rectangle or a square.
  • FIGS. 1 to 3 illustrate only four or six detecting devices 21 for clarity and simplicity, but such example is intended to be illustrative only, and is not intended to be limiting.
  • each detecting device 21 is electrically isolated from or independent of other detecting devices 21 .
  • the detecting devices 21 extend along the seal ring 41 in a parallel fashion and are separated from the seal ring 41 by a distance D s .
  • the distance D s can be determined based on a variety of factors, including, for example, the size of the semiconductor device 100 , design rules for the semiconductor device 100 , variables relating to the wafer on which the semiconductor device 100 is manufactured, width between adjacent dies on the wafer, and other factors, as one skilled in the art will understand.
  • the distance D s is between 10 ⁇ m and 20 ⁇ m. In some embodiments, the distance D s is less than 10 ⁇ m.
  • the distance D s between each detecting device 21 and the seal ring 41 can be the same or different depending on the actual demand.
  • the material of the detecting devices 21 is not particularly limited.
  • the detecting devices 21 include metal.
  • the detecting devices 21 include aluminum, chromium, gold, molybdenum, platinum, tantalum, titanium, silver, copper, tungsten and/or an alloy thereof.
  • each of the detecting devices 21 is in a chain configuration. In some embodiments, each of the detecting devices 21 is a daisy chain. In some embodiments, each of the detecting devices 21 comprises a plurality of connection structures 211 , a plurality of top conductive layers 212 , and a plurality of bottom conductive layers 213 , wherein the top conductive layers 212 and the bottom conductive layers 213 are alternately arranged between the connection structures 211 . In some embodiments, each of the connection structures 211 is located between one of the top conductive layers 212 and one of the bottom conductive layers 213 . In some embodiments, the bottom conductive layers 213 are disposed over the substrate.
  • the top conductive layers 212 are disposed over the bottom conductive layers 213 .
  • the connection structures 211 are serially connected by the top conductive layers 212 and the bottom conductive layers 213 .
  • the bottom conductive layers 213 are distributed in a bottom metallization layer M 1 of an interconnection structure, and the top conductive layers 212 are distributed in a top metallization layer M T of the interconnection structure.
  • two adjacent connection structures 211 are electrically connected by the top conductive layer 212 or the bottom conductive layer 213 , alternatively.
  • two ends of each bottom conductive layer 213 are electrically connected to the two adjacent connection structures 211 .
  • each of the connection structures 211 includes a plurality of metal layers 214 and a plurality of connecting vias 215 , the metal layers 214 are formed in a stacking configuration, and the connecting vias 215 connect the metal layers 214 .
  • the metal layers 214 and the connecting vias 215 can be referred to as a dual damascene structure.
  • an interconnection structure (not shown) disposed in the circuit region 111 , the detecting devices 21 and the seal ring 41 can be referred to as dual damascene structures. The interconnection structure, the detecting devices 21 and the seal ring 41 are electrically isolated from each other.
  • the first and second probe pads 31 , 32 are configured to transfer a test signal from a testing apparatus to each of the detecting devices 21 .
  • the first and second probe pads 31 , 32 are connected to two different top conductive layers 212 at the two ends of each detecting device 21 .
  • each of the detecting devices 21 extends from a location beneath one of the first probe pads 31 to a location beneath one of the second probe pads 32 .
  • one or more passivation layers 13 are formed over the detecting devices 21 and the dielectric layers 12 , and each of the first probe pad 31 and the second probe pad 32 is exposed through an opening in a passivation layer 13 .
  • the shape of each of the first probe pad 31 and the second probe pad 32 from the top view is not particularly limited, and may be adjusted according to the actual needs.
  • the passivation layer(s) may be a polyimide, a borophosphosilicate glass (BPSG), silicon nitride (SiN), polybenzoxazole (PBO), a combination thereof, and/or the like, and may be formed using a spin-on technique, CVD, ALD, PVD, a combination thereof, and/or the like. Openings are formed through the passivation layer 13 to expose the first probe pad 31 and the second probe pad 32 .
  • BPSG borophosphosilicate glass
  • SiN silicon nitride
  • PBO polybenzoxazole
  • FIG. 5 is a cross-sectional view illustrating the semiconductor device according to aspects of the present disclosure in some embodiments.
  • the semiconductor device 100 further includes a first bump 51 and a second bump 52 disposed over each of the first probe pad 31 and the second probe pad 32 , and the first and second bumps 51 , 52 are exposed through openings in a passivation layer 13 .
  • the openings are formed in the passivation layer 13 , and the first and second bumps 51 , 52 are electrically connected to the corresponding first probe pad 31 or second probe pad 32 .
  • the first and the second bumps 51 , 52 are conductive balls.
  • the first and second bumps 51 , 52 are respectively formed on the first probe pad 31 and the second probe pad 32 by ECP and/or the like, and may comprise copper, tin, eutectic solder, lead free solder, nickel, and combinations thereof. In some embodiments, the first and the second bumps 51 , 52 are added to the semiconductor device 100 after the semiconductor device 100 is singulated.
  • FIG. 6 is a cross-sectional view illustrating the semiconductor device according to aspects of the present disclosure in some embodiments.
  • the semiconductor device 100 can be referred to as a die.
  • a molding 53 is formed to encompass the semiconductor device 100 .
  • the semiconductor device 100 further includes a redistribution layer (RDL) 61 disposed over the seal ring 41 , the detecting devices 21 , and the molding 53 .
  • RDL redistribution layer
  • the semiconductor device 100 further includes at least a first conductor 62 and at least a second conductor 63 disposed over the redistribution layer 61 , wherein the first conductor 62 is electrically connected to the first probe pad 31 and the second conductor 63 is electrically connected to the second probe pad 32 through the redistribution layer 61 .
  • the redistribution layer 61 may include any combination of metallization layers 64 , inter-metal dielectric (IMD) layers 65 , vias 66 , and passivation layers 67 .
  • the redistribution layer 61 includes a number of metallization layers 64 in IMD layers 65 .
  • vias 66 are formed between the metallization layers 64 in the IMD layers 65 .
  • the metallization layers 64 are formed by depositing an IMD layer 65 , etching the metallization pattern of the layer in the IMD layer 65 using, for example, acceptable photolithography techniques, depositing a conductive material for the metallization layers 64 in the IMD layers 65 , and removing any excess conductive material by, for example, CMP.
  • the photolithography technique may include a single damascene process or a dual damascene process, particularly when vias 66 are formed through one of the IMD layers 65 to an underlying metallization layer 64 .
  • the IMD layers 65 may include an oxide dielectric, such as a borophosphosilicate glass (BPSG), or other dielectric material.
  • the conductive material of the metallization layers 64 may be, for example, copper, nickel, aluminum, copper aluminum, tungsten, titanium, combinations thereof, and/or the like.
  • the metallization layers 64 may include barrier layers (not shown) between the conductive material and the IMD material, and other dielectric layers, such as etch stop layers made of, for example, silicon nitride, may be formed between the IMD layers 65 .
  • FIG. 7 is a flowchart depicting an embodiment of the method of manufacturing the semiconductor device.
  • the method includes operations 71 , 72 and 73 .
  • the operations 71 , 72 and 73 may be used to test the semiconductor device in a manner similar to that used to test the semiconductor device 100 illustrated in FIGS. 1 to 6 .
  • the methods begin with operation 71 , in which a die is singulated.
  • the die has a substrate 11 including a circuit region 111 and an outer border 112 , a plurality of detecting devices 21 disposed over the substrate 11 and located between the circuit region 111 and the outer border 112 , a first probe pad 31 and a second probe pad 32 electrically connected to two ends of each detecting device, and a seal ring located between the outer border of the die and the detecting devices.
  • the die is a singulated semiconductor device similar to any one of the semiconductor devices 100 illustrated in FIGS. 1 to 6 .
  • the semiconductor device 100 of the present disclosure may be scribed along the scribe lines 113 to separate the die by mechanical or laser cutting methods.
  • the first probe pad 31 and the second probe pad 32 as shown in FIG. 4 are probed to determine a connection status of the detecting device 21 in operation 72 .
  • the first probe pad 31 and the second probe pad 32 are probed to determine a connection status of the detecting device 21 through the first and second bumps 51 , 52 as shown in FIG. 5 in operation 72 .
  • the first probe pad 31 and the second probe pad 32 are probed to determine a connection status of the detecting device 21 through the first and second conductors 62 , 63 and the redistribution layer 61 as shown in FIG. 6 in operation 72 .
  • the method includes providing a test signal to the first probe pad 31 by a testing apparatus 81 via the probes 83 of a probe card 82 , and detecting the test signal at the second probe pad 32 .
  • the first and second bumps 51 , 52 are respectively provided on the first probe pad 31 and the second probe pad 32 as shown in FIG. 5 , so that the first probe pad 31 and the second probe pad 32 are probed through the first and second bumps 51 , 52 .
  • the probes 83 of the probe card 82 are attached to the first and second bumps 51 , 52 .
  • the molding 53 is disposed to encompass the die, and the redistribution layer 61 is provided over the die and the molding 53 , wherein the redistribution layer 61 is in contact with each of the first probe pad 31 and the second probe pad 32 , and at least the first conductor 62 and at least the second conductor 63 are disposed over the redistribution layer 61 as shown in FIG. 6 .
  • the first probe pad 31 and the second probe pad 32 are probed through the redistribution layer 61 , the first conductor 62 and the second conductor 63 .
  • the probes 83 of the probe card 82 are attached to the first conductor 62 and the second conductor 63 .
  • a test signal can be applied to the first and second probe pads 31 , 32 to test the conductivity of the conductive path provided by each detecting device 21 .
  • Testing the continuity profile of the detecting device 21 can include measuring voltage, resistance, current, and/or a variety of other variables that can change based on the structural and/or electro-mechanical integrity of a conductive path between two electrically connected first and second probe pads 31 , 32 .
  • the detecting devices 21 are generally configured such that their conductive paths' continuity changes in the presence of a defect in the semiconductor device 100 .
  • the continuity profile of the conductive path between the first and second probe pads 31 , 32 will not change.
  • the test signal applied to the first probe pad 31 can be received from the second probe pads 32 .
  • the connection status of the detecting device 21 under test indicates a closed circuit, the structure of the detecting device 21 under test is determined to be intact, and it is recognized that there is no crack or delamination in the dielectric layers 12 , or the crack or the delamination is tolerable.
  • At least and one of the detecting devices 21 may change the continuity profile of the conductive path between the first and second probe pads 31 , 32 connected to the detecting device 21 .
  • the conductive path may be broken by the crack or delamination.
  • the test signal applied to the first probe pad 31 cannot be received from the second probe pads 32 , and an open circuit is observed. Accordingly, in operation 73 , such defect is recognized when the connection status of the detecting device 21 under test indicates the open circuit, and it is recognized that a crack or delamination defect occurs.
  • the present disclosure therefore provides a semiconductor device and a method for detecting defects in a semiconductor device.
  • the semiconductor device includes a substrate, a plurality of detecting devices, a first probe pad, a second probe pad and a seal ring.
  • the detecting device is disposed over the substrate and located between the circuit region and the outer border.
  • the first probe pad and the second probe pad electrically connect to two ends of each detecting device.
  • the seal ring is located between the outer border of the substrate and the detecting devices. Consequently, the first probe pad and the second probe pad may be probed to determine a connection status of the detecting device, and the connection status of the detecting device may indicate whether the semiconductor device is cracked or delaminated.
  • Some embodiments of the present disclosure provide a semiconductor device including a substrate including a circuit region and an outer border, and a plurality of detecting devices disposed over the substrate and located between the circuit region and the outer border.
  • the semiconductor device further includes a first probe pad and a second probe pad electrically connected to two ends of each detecting device, and a seal ring located between the outer border of the substrate and the detecting devices.
  • Some embodiments of the present disclosure provide a semiconductor device including a substrate including a circuit region and an outer border, and a plurality of detecting devices located between the circuit region and the outer border.
  • Each of the detecting devices is in a chain configuration, and each of the detecting devices includes a plurality of bottom conductive layers disposed over the substrate, a plurality of top conductive layers disposed over the bottom conductive layers; and a plurality of connection structures.
  • the top conductive layers and the bottom conductive layers are alternately arranged between the connection structures, and each of the connection structures connects one of the top conductive layers to the adjacent one of the bottom conductive layers.
  • Some embodiments of the present disclosure provide a method for detecting defects in a semiconductor device including singulating a die having a substrate including a circuit region and an outer border, a plurality of detecting devices disposed over the substrate and located between the circuit region and the outer border, a first probe pad and a second probe pad electrically connected to two ends of each detecting device, and a seal ring located between the outer border of the die and the detecting devices.
  • the method further includes probing the first probe pad and the second probe pad to determine a connection status of the detecting device, and recognizing a defect when the connection status of the detecting device indicates an open circuit.

Abstract

A semiconductor device includes a substrate including a circuit region and an outer border, a plurality of detecting devices disposed over the substrate and located between the circuit region and the outer border, first and second probe pads electrically connected to two ends of each detecting device, and a seal ring located between the outer border of the substrate and the detecting devices. A method for detecting defects in a semiconductor device includes singulating a die having a substrate, a plurality of detecting devices, a first probe pad and a second probe pad electrically connected to two ends of each detecting device, and a seal ring; probing the first and the second probe pads to determine a connection status of the detecting devices; and recognizing a defect when the connection status of the detecting devices indicates an open circuit.

Description

    PRIORITY CLAIM AND CROSS-REFERENCE
  • This application claims priority of U.S. provisional application Ser. No. 62/724,237 filed on 29 Aug. 2018, which is incorporated by reference in its entirety.
  • BACKGROUND
  • In electronics, an integrated circuit (IC) is a miniaturized electronic circuit (including semiconductor devices as well as passive components) that has been manufactured in the surface of a thin substrate of semiconductor material. In general, wafers are used as carriers for semiconductor fabrication during the production of integrated circuits (ICs). After semiconductor fabrication processes, a plurality of dies are formed on a wafer, and the wafer is sawed into individual chips once the fabrication is complete.
  • The sawing process, however, can damage the die. Accordingly, there have been attempts to test for defects generated during the die sawing process.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It should be noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 is a schematic top view of a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 2 is a schematic top view of a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 3 is a schematic top view of a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 4 is a cross-sectional view of a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 5 is a cross-sectional view of a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 6 is a cross-sectional view of a semiconductor device in accordance with some embodiments of the present disclosure.
  • FIG. 7 is a flowchart representing a method for detecting defects in a semiconductor device according to aspects of the present disclosure in one or more embodiments.
  • FIG. 8 is a schematic view illustrating probing of a semiconductor device according to aspects of the present disclosure in one or more embodiments.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Notwithstanding that the numerical ranges and parameters setting forth the broad scope of the disclosure are approximations, the numerical values set forth in the specific examples are reported as precisely as possible. Any numerical value, however, inherently contains certain errors necessarily resulting from the standard deviation found in the respective testing measurements. Also, as used herein, the term “about” generally means within 10%, 5%, 1%, or 0.5% of a given value or range. Alternatively, the term “about” means within an acceptable standard error of the mean when considered by one of ordinary skill in the art. Other than in the operating/working examples, or unless otherwise expressly specified, all of the numerical ranges, amounts, values and percentages such as those for quantities of materials, durations of times, temperatures, operating conditions, ratios of amounts, and the likes thereof disclosed herein should be understood as modified in all instances by the term “about.” Accordingly, unless indicated to the contrary, the numerical parameters set forth in the present disclosure and attached claims are approximations that can vary as desired. At the very least, each numerical parameter should at least be construed in light of the number of reported significant digits and by applying ordinary rounding techniques. Ranges can be expressed herein as from one endpoint to another endpoint or between two endpoints. All ranges disclosed herein are inclusive of the endpoints, unless specified otherwise.
  • Generally, semiconductor devices are typically manufactured on a wafer. A wafer includes a plurality of dies, each die separated by scribe lines. Each die may include a seal ring forming an electrical and mechanical seal surrounding the various devices and circuits on the die. Once the fabrication of the integrated circuit on the wafer is complete, the wafer is divided into many chips, typically by conventional mechanical or laser sawing methods along the scribe lines. The seal rings can provide structural reinforcement and stop moisture and mobile ionic contaminants from entering a circuit region of a chip and improving the operational reliability.
  • However, the sawing process may damage the die. In particular, the mechanical stress caused by the saw can result in cracks and delamination in the die. A typical problem is that the low-k dielectric materials in the die are prone to damage incurred by stress introduced by the sawing process. When cracks form in low-k dielectric materials, metal lines in the low-k dielectric materials may be damaged. Moreover, subsequent packaging and testing can also cause the cracks and/or delamination to become more serious. If the cracks and/or delamination become sufficiently serious, performance degradation or total device failure can result, particularly if the defects penetrate the seal ring of the device. Defects may arise anywhere, but generally occur at the corners or periphery of the die, and may be spread from the corners or periphery to the center.
  • Accordingly, there have been attempts to test for cracks, delamination, and other defects caused by die sawing processes. One disadvantage of the prior art attempts to identify defects is that the information provided by current tests is limited. For example, automated optical inspection (AOI) or SEM/OM processes are common methods to search for defects in semiconductor devices. However, typical automated optical inspection devices do not detect cracks or delamination defects of a cross-section of the die. Other methods, such as stress examination of a cross-section of the die, can propagate cracks, making accurate assessment of the defects difficult. Therefore, there is a need for a system and/or method for semiconductor device defect detection that overcomes at least some of the disadvantages associated with previous systems and methods.
  • Before addressing illustrated embodiments specifically, advantageous features and certain aspects of the exemplary embodiments are discussed generally. General aspects of embodiments described herein include a plurality of detecting devices, a first probe pad and a second probe pad electrically connected to two ends of each detecting device, and a seal ring to alleviate cracks, delaminations or other defects appearing in the semiconductor device.
  • The present disclosure therefore provides a semiconductor device and a method for detecting defects in a semiconductor device. The semiconductor device includes a substrate including a circuit region and an outer border, and a plurality of detecting devices disposed over the substrate and located between the circuit region and the outer border. The semiconductor device further includes a first probe pad and a second probe pad electrically connected to two ends of each detecting device, and a seal ring located between the outer border of the substrate and the detecting devices.
  • FIGS. 1 to 3 are schematic top views illustrating a semiconductor device according to aspects of the present disclosure in some embodiments. FIG. 4 is a schematic cross-sectional view taken along a line A-A′ in FIG. 3. In some embodiments, the semiconductor device can be a semiconductor die. Referring to FIGS. 1 to 4, a semiconductor device 100 includes a substrate 11 including a circuit region 111 and an outer border 112, and a plurality of detecting devices 21 disposed over the substrate 11 and located between the circuit region 111 and the outer border 112. The semiconductor device further includes a first probe pad 31 and a second probe pad 32 electrically connected to two ends of each detecting device 21, and a seal ring 41 located between the outer border 112 of the substrate 11 and the detecting devices 21. In some embodiments, the semiconductor device 100 further includes a plurality of dielectric layers 12 disposed over the substrate 11, wherein the detecting devices 21 and the seal ring 41 are disposed within the plurality of dielectric layers 12.
  • In some embodiments, the semiconductor device 100 over the substrate 11 is located on an inner side of a scribe line (alternatively referred to as a dicing line or a cutting line) 113. In a die sawing operation, the semiconductor device 100 is separated along the scribe line 113 by, e.g., laser cutting or blade cutting. The boundary of the semiconductor device 100 will be accordingly formed, and can be regarded as an outer border 112 of the substrate 11. After the die sawing operation, however, the outer border 112 of the substrate 11 may be slightly deviated from the scribe line 113. In some embodiments, the outer border 112 of the semiconductor device 100 may be slightly shifted outwardly (e.g., shifted to the left side) or inwardly (e.g., shifted to the right side).
  • In some embodiments, the circuit region 111 of the substrate 11 includes a circuitry, such as a memory circuit, e.g., a dynamic random access memory (DRAM) circuit, a static random access memory (SRAM) circuit, a non-volatile memory circuit and/or other memory circuits, a mixed-signal circuit, a signal processing circuit, a logic circuit, an analog circuit, other circuits, and/or any combinations thereof. It should be noted that the circuit region 111 in FIGS. 1 to 4 is merely illustrative, and the scope of the application is not limited thereto. In some embodiments, the circuit region 111 includes at least one circuitry segment, e.g., a logic circuit segment and a memory circuit segment. In some embodiments, the logic circuit segment and the memory circuit segment are electrically coupled with each other.
  • In some embodiments, the material of the substrate 11 may include polysilicon, silane (SiH4), di-silane (Si2H6), di-clorsilane (SiCl2H4), silicon germanium, gallium arsenic, or other suitable semiconductor materials so as to function as a conductive material under certain conditions. In some embodiments, the substrate 11 further includes doped regions, such as a P-well, an N-well, and/or a doped active region such as a P+ doped active region. In some embodiments, the substrate 11 may further include other features, such as a buried layer and/or an epitaxy layer. Furthermore, the substrate 11 may be a semiconductor on insulator such as silicon on insulator (SOI). In some embodiments, the substrate 11 may include a doped epitaxy layer, a gradient semiconductor layer, and/or a semiconductor layer overlying another semiconductor layer of a different type such as a silicon layer on a silicon germanium layer. In some embodiments, the substrate 11 may include a multilayer silicon structure or a multilayer compound semiconductor configuration. In some embodiments, the substrate 11 includes an inter-layer dielectric (ILD) layer. In some embodiments, the ILD layer may be a silicon oxide layer or any suitable ILD layer.
  • In some embodiments, the semiconductor device 100 further comprises a plurality of dielectric layers 12 disposed over the substrate 11, wherein the detecting devices 21 and the seal ring 41 are disposed within the dielectric layers 12. In some embodiments, the dielectric layers 12 include low-k dielectric material. The dielectric constant (k value) of the low-k dielectric material may be lower than 3.0, or lower than about 2.5, and the dielectric material is therefore also referred to as an extreme low-k (ELK) dielectric material. Relatively low density, lack of mechanical strength and sensitivity to thermal stress make low-k dielectric material very prone to damage. Conventional mechanical wafer dicing and scribing techniques are known to cause cracks, delaminations, and other types of defects in low-k dielectric materials, thus damaging the semiconductor device 100. To mitigate these problems without negatively affecting performance of the semiconductor device 100, the configuration and arrangement of the detecting devices 21 and the seal ring 41 disposed within the dielectric layers 12 must be specially designed.
  • The material of the dielectric layers 12 may include organic dielectric material such as organic silicate glass (OSG), porous methyl silsesquioxane (p-MSQ), hydrogen silsesquioxane (HSQ), a combination thereof, or any other suitable organic low-k or extreme low-k dielectric material. In some embodiments, the material of the dielectric layers 12 may include inorganic dielectric material such as carbon-doped silicon oxide, fluorine-doped silicate glass (FSG), a combination thereof, or any other suitable inorganic low-k or extreme low-k dielectric material. In some embodiments, other suitable dielectric materials, such as silicon oxide or phosphosilicate glass (PSG), may also be used. In some embodiments, the dielectric layers 12 include silicon oxide.
  • In some embodiments, the seal ring 41 is disposed around the circuit region 111, which is disposed over a substrate 11. In some embodiments, the seal ring 41 is located between the outer border 112 of the substrate 11 and the detecting devices 21. As is known in the art, the seal ring 41 forms a ring surrounding the circuit region 111, and is formed of metal lines and connecting vias. Since the seal ring 41 is a tightly interconnected structure, it not only provides mechanical support and structural reinforcement to the semiconductor device 100, but also prevents moisture and/or mobile ionic contaminants from penetrating through edges of the semiconductor device 100. In some embodiments, the seal ring 41 can be made of at least one material, such as copper, aluminum, aluminum copper, aluminum silicon copper, tungsten, titanium, tantalum, titanium nitride, tantalum nitride, nickel silicide, cobalt silicide, other proper conductive materials, or combinations thereof. In some embodiments, the seal ring 41 is electrically isolated from the detecting devices 21. It should be noted that the single seal ring 41 shown in each of FIGS. 1 to 3 is merely illustrative, and the scope of the application is not limited thereto. In some embodiments, two or more seal rings 41 can be disposed around the circuit region 111.
  • The detecting devices 21 and the first and second probe pads 31, 32 electrically connected to two ends of each detecting device 21 may be used to determine whether the semiconductor device 100 has defects. In some embodiments, the detecting devices 21 and the first and second probe pads 31, 32 may be used to determine whether the dielectric layers 12 have cracks. In some embodiments, the detecting devices 21 and the first and second probe pads 31, 32 may be used to determine whether the dielectric layers 12 are delaminated from the substrate 11. The detecting devices 21 and the first and second probe pads 31, 32 are disposed over the substrate 11 and located between the circuit region 111 and the outer border 112 of the substrate 11.
  • In some embodiments, the detecting devices 21 and the first and second probe pads 31, 32 are located between the circuit region 111 and the seal ring 41. That is, the detecting devices 21 and the first and second probe pads 31, 32 are arranged within the inner periphery of the seal ring 41. In this case, if one of the detecting devices 21 on the semiconductor device 100 is damaged, it indicates that the seal ring 41 is also damaged. Although the circuit region 111 on the inner side of the detecting device 21 may or may not be damaged, one skilled in the art will understand that a defect that erodes the integrity of the seal ring 41 can greatly degrade the performance of the semiconductor device 100, and such a defect can be sufficient cause to discard the semiconductor device 100. Conversely, if the detecting devices 21 on the semiconductor device 100 are not damaged, the seal ring 41 may or may not be damaged, but the circuit region 111 on the inner side of the detecting devices 21 is not damaged. Therefore, the semiconductor device 100 can be packaged. In other words, the detecting devices 21 are used as a quality monitor for the circuit region 111, but not for the seal ring 41.
  • The detecting devices 21 can be arranged and configured to detect and identify an approximate extent and/or location of a defect in the semiconductor device 100. In some embodiments, the detecting devices 21 are separated from each other. The number of detecting devices 21 is not particularly limited. In some embodiments, two adjacent detecting devices 21 are separated by a distance DT. One skilled in the art will understand that the distance DT can be configured to optimize the area of the semiconductor device 100 covered by the detecting devices 21. For example, the distance DT can be configured based on whether the detecting devices 21 are situated at or near a high-defect-probability section of the semiconductor device 100, such as, for example, a corner of the semiconductor device 100. Other configurations can also be employed.
  • In some embodiments, as shown in FIG. 1, the shape of the semiconductor device 100 is a rectangle or a square, and four detecting devices 21 pass through each corner of the semiconductor device 100. In some embodiments, as shown in FIG. 2, six detecting devices 21 are evenly distributed around the circuit region 111, wherein four detecting devices 21 pass through each corner of the semiconductor device 100. In some embodiments, as shown in FIG. 3, four detecting devices 21 are arranged in a rectangle or a square. FIGS. 1 to 3 illustrate only four or six detecting devices 21 for clarity and simplicity, but such example is intended to be illustrative only, and is not intended to be limiting. In some embodiments, each detecting device 21 is electrically isolated from or independent of other detecting devices 21.
  • In some embodiments, the detecting devices 21 extend along the seal ring 41 in a parallel fashion and are separated from the seal ring 41 by a distance Ds. The distance Ds can be determined based on a variety of factors, including, for example, the size of the semiconductor device 100, design rules for the semiconductor device 100, variables relating to the wafer on which the semiconductor device 100 is manufactured, width between adjacent dies on the wafer, and other factors, as one skilled in the art will understand. In some embodiments, the distance Ds is between 10 μm and 20 μm. In some embodiments, the distance Ds is less than 10 μm. In some embodiments, the distance Ds between each detecting device 21 and the seal ring 41 can be the same or different depending on the actual demand.
  • In some embodiments, the material of the detecting devices 21 is not particularly limited. In some embodiments, the detecting devices 21 include metal. In some embodiments, the detecting devices 21 include aluminum, chromium, gold, molybdenum, platinum, tantalum, titanium, silver, copper, tungsten and/or an alloy thereof.
  • In some embodiments, as shown in FIG. 4, each of the detecting devices 21 is in a chain configuration. In some embodiments, each of the detecting devices 21 is a daisy chain. In some embodiments, each of the detecting devices 21 comprises a plurality of connection structures 211, a plurality of top conductive layers 212, and a plurality of bottom conductive layers 213, wherein the top conductive layers 212 and the bottom conductive layers 213 are alternately arranged between the connection structures 211. In some embodiments, each of the connection structures 211 is located between one of the top conductive layers 212 and one of the bottom conductive layers 213. In some embodiments, the bottom conductive layers 213 are disposed over the substrate. In some embodiments, the top conductive layers 212 are disposed over the bottom conductive layers 213. In some embodiments, the connection structures 211 are serially connected by the top conductive layers 212 and the bottom conductive layers 213. In some embodiments, the bottom conductive layers 213 are distributed in a bottom metallization layer M1 of an interconnection structure, and the top conductive layers 212 are distributed in a top metallization layer MT of the interconnection structure. In some embodiments, two adjacent connection structures 211 are electrically connected by the top conductive layer 212 or the bottom conductive layer 213, alternatively. In some embodiments, two ends of each bottom conductive layer 213 are electrically connected to the two adjacent connection structures 211.
  • In some embodiments, each of the connection structures 211 includes a plurality of metal layers 214 and a plurality of connecting vias 215, the metal layers 214 are formed in a stacking configuration, and the connecting vias 215 connect the metal layers 214. In some embodiments, the metal layers 214 and the connecting vias 215 can be referred to as a dual damascene structure. In some embodiments, an interconnection structure (not shown) disposed in the circuit region 111, the detecting devices 21 and the seal ring 41 can be referred to as dual damascene structures. The interconnection structure, the detecting devices 21 and the seal ring 41 are electrically isolated from each other.
  • The first and second probe pads 31, 32 are configured to transfer a test signal from a testing apparatus to each of the detecting devices 21. The first and second probe pads 31, 32 are connected to two different top conductive layers 212 at the two ends of each detecting device 21. In some embodiments, each of the detecting devices 21 extends from a location beneath one of the first probe pads 31 to a location beneath one of the second probe pads 32.
  • In some embodiments, one or more passivation layers 13 are formed over the detecting devices 21 and the dielectric layers 12, and each of the first probe pad 31 and the second probe pad 32 is exposed through an opening in a passivation layer 13. In some embodiments, the shape of each of the first probe pad 31 and the second probe pad 32 from the top view is not particularly limited, and may be adjusted according to the actual needs. In some embodiments, the passivation layer(s) may be a polyimide, a borophosphosilicate glass (BPSG), silicon nitride (SiN), polybenzoxazole (PBO), a combination thereof, and/or the like, and may be formed using a spin-on technique, CVD, ALD, PVD, a combination thereof, and/or the like. Openings are formed through the passivation layer 13 to expose the first probe pad 31 and the second probe pad 32.
  • FIG. 5 is a cross-sectional view illustrating the semiconductor device according to aspects of the present disclosure in some embodiments. In some embodiments, referring to FIG. 5, the semiconductor device 100 further includes a first bump 51 and a second bump 52 disposed over each of the first probe pad 31 and the second probe pad 32, and the first and second bumps 51, 52 are exposed through openings in a passivation layer 13. The openings are formed in the passivation layer 13, and the first and second bumps 51, 52 are electrically connected to the corresponding first probe pad 31 or second probe pad 32. In some embodiments, the first and the second bumps 51, 52 are conductive balls. In some embodiments, the first and second bumps 51, 52 are respectively formed on the first probe pad 31 and the second probe pad 32 by ECP and/or the like, and may comprise copper, tin, eutectic solder, lead free solder, nickel, and combinations thereof. In some embodiments, the first and the second bumps 51, 52 are added to the semiconductor device 100 after the semiconductor device 100 is singulated.
  • FIG. 6 is a cross-sectional view illustrating the semiconductor device according to aspects of the present disclosure in some embodiments. In some embodiments, referring to FIG. 6, after the semiconductor device 100 is singulated, the semiconductor device 100 can be referred to as a die. In some embodiments, a molding 53 is formed to encompass the semiconductor device 100. In some embodiments, the semiconductor device 100 further includes a redistribution layer (RDL) 61 disposed over the seal ring 41, the detecting devices 21, and the molding 53.
  • In some embodiments, the semiconductor device 100 further includes at least a first conductor 62 and at least a second conductor 63 disposed over the redistribution layer 61, wherein the first conductor 62 is electrically connected to the first probe pad 31 and the second conductor 63 is electrically connected to the second probe pad 32 through the redistribution layer 61. The redistribution layer 61 may include any combination of metallization layers 64, inter-metal dielectric (IMD) layers 65, vias 66, and passivation layers 67. In some embodiments, the redistribution layer 61 includes a number of metallization layers 64 in IMD layers 65. In some embodiments, vias 66 are formed between the metallization layers 64 in the IMD layers 65. In some embodiments, the metallization layers 64 are formed by depositing an IMD layer 65, etching the metallization pattern of the layer in the IMD layer 65 using, for example, acceptable photolithography techniques, depositing a conductive material for the metallization layers 64 in the IMD layers 65, and removing any excess conductive material by, for example, CMP. The photolithography technique may include a single damascene process or a dual damascene process, particularly when vias 66 are formed through one of the IMD layers 65 to an underlying metallization layer 64.
  • In some embodiments, the IMD layers 65 may include an oxide dielectric, such as a borophosphosilicate glass (BPSG), or other dielectric material. In some embodiments, the conductive material of the metallization layers 64 may be, for example, copper, nickel, aluminum, copper aluminum, tungsten, titanium, combinations thereof, and/or the like. In some embodiments, the metallization layers 64 may include barrier layers (not shown) between the conductive material and the IMD material, and other dielectric layers, such as etch stop layers made of, for example, silicon nitride, may be formed between the IMD layers 65.
  • In the present disclosure, a method for detecting defects in a semiconductor device is disclosed. In some embodiments, a semiconductor device is tested for defects by the method. The method includes a number of operations and the description and illustrations are not deemed as a limitation of the sequence of the operations. FIG. 7 is a flowchart depicting an embodiment of the method of manufacturing the semiconductor device. The method includes operations 71, 72 and 73. In some embodiments, the operations 71, 72 and 73 may be used to test the semiconductor device in a manner similar to that used to test the semiconductor device 100 illustrated in FIGS. 1 to 6.
  • The methods begin with operation 71, in which a die is singulated. The die has a substrate 11 including a circuit region 111 and an outer border 112, a plurality of detecting devices 21 disposed over the substrate 11 and located between the circuit region 111 and the outer border 112, a first probe pad 31 and a second probe pad 32 electrically connected to two ends of each detecting device, and a seal ring located between the outer border of the die and the detecting devices. In some embodiments, the die is a singulated semiconductor device similar to any one of the semiconductor devices 100 illustrated in FIGS. 1 to 6. In some embodiments, the semiconductor device 100 of the present disclosure may be scribed along the scribe lines 113 to separate the die by mechanical or laser cutting methods.
  • In some embodiments, the first probe pad 31 and the second probe pad 32 as shown in FIG. 4 are probed to determine a connection status of the detecting device 21 in operation 72. In some embodiments, the first probe pad 31 and the second probe pad 32 are probed to determine a connection status of the detecting device 21 through the first and second bumps 51, 52 as shown in FIG. 5 in operation 72. In some embodiments, the first probe pad 31 and the second probe pad 32 are probed to determine a connection status of the detecting device 21 through the first and second conductors 62, 63 and the redistribution layer 61 as shown in FIG. 6 in operation 72.
  • In some embodiments, by applying a test signal to the first probe pad 31 and sensing the test signal at the second probe pad 32 of the detecting device 21 under test, it can be determined whether the detecting device 21 under test has a void or discontinuity. That is, according to the test signal, the continuity profile of the detecting device 21 under test can be determined. In some embodiments, all of the detecting devices 21 can be measured at a same time. In some embodiments, the detecting devices 21 can be measured independently. In some embodiments, as shown in FIG. 8, the method includes providing a test signal to the first probe pad 31 by a testing apparatus 81 via the probes 83 of a probe card 82, and detecting the test signal at the second probe pad 32.
  • In some embodiments, the first and second bumps 51, 52 are respectively provided on the first probe pad 31 and the second probe pad 32 as shown in FIG. 5, so that the first probe pad 31 and the second probe pad 32 are probed through the first and second bumps 51, 52. In some embodiments, the probes 83 of the probe card 82 are attached to the first and second bumps 51, 52.
  • In some embodiments, the molding 53 is disposed to encompass the die, and the redistribution layer 61 is provided over the die and the molding 53, wherein the redistribution layer 61 is in contact with each of the first probe pad 31 and the second probe pad 32, and at least the first conductor 62 and at least the second conductor 63 are disposed over the redistribution layer 61 as shown in FIG. 6. In some embodiments, the first probe pad 31 and the second probe pad 32 are probed through the redistribution layer 61, the first conductor 62 and the second conductor 63. In some embodiments, the probes 83 of the probe card 82 are attached to the first conductor 62 and the second conductor 63.
  • Generally, a test signal can be applied to the first and second probe pads 31, 32 to test the conductivity of the conductive path provided by each detecting device 21. Testing the continuity profile of the detecting device 21 can include measuring voltage, resistance, current, and/or a variety of other variables that can change based on the structural and/or electro-mechanical integrity of a conductive path between two electrically connected first and second probe pads 31, 32. As abovementioned, the detecting devices 21 are generally configured such that their conductive paths' continuity changes in the presence of a defect in the semiconductor device 100.
  • In some embodiments, when there is no crack or delamination occurred, or the crack or delamination does not pass through the detecting device 21, the continuity profile of the conductive path between the first and second probe pads 31, 32 will not change. Thus the test signal applied to the first probe pad 31 can be received from the second probe pads 32. Accordingly, the connection status of the detecting device 21 under test indicates a closed circuit, the structure of the detecting device 21 under test is determined to be intact, and it is recognized that there is no crack or delamination in the dielectric layers 12, or the crack or the delamination is tolerable.
  • In some embodiments, when a crack or delamination from the outer border 112 passes through the seal ring 41, at least and one of the detecting devices 21 may change the continuity profile of the conductive path between the first and second probe pads 31, 32 connected to the detecting device 21. For example, the conductive path may be broken by the crack or delamination. Thus the test signal applied to the first probe pad 31 cannot be received from the second probe pads 32, and an open circuit is observed. Accordingly, in operation 73, such defect is recognized when the connection status of the detecting device 21 under test indicates the open circuit, and it is recognized that a crack or delamination defect occurs.
  • Accordingly, the present disclosure therefore provides a semiconductor device and a method for detecting defects in a semiconductor device. The semiconductor device includes a substrate, a plurality of detecting devices, a first probe pad, a second probe pad and a seal ring. The detecting device is disposed over the substrate and located between the circuit region and the outer border. The first probe pad and the second probe pad electrically connect to two ends of each detecting device. The seal ring is located between the outer border of the substrate and the detecting devices. Consequently, the first probe pad and the second probe pad may be probed to determine a connection status of the detecting device, and the connection status of the detecting device may indicate whether the semiconductor device is cracked or delaminated.
  • Some embodiments of the present disclosure provide a semiconductor device including a substrate including a circuit region and an outer border, and a plurality of detecting devices disposed over the substrate and located between the circuit region and the outer border. The semiconductor device further includes a first probe pad and a second probe pad electrically connected to two ends of each detecting device, and a seal ring located between the outer border of the substrate and the detecting devices.
  • Some embodiments of the present disclosure provide a semiconductor device including a substrate including a circuit region and an outer border, and a plurality of detecting devices located between the circuit region and the outer border. Each of the detecting devices is in a chain configuration, and each of the detecting devices includes a plurality of bottom conductive layers disposed over the substrate, a plurality of top conductive layers disposed over the bottom conductive layers; and a plurality of connection structures. The top conductive layers and the bottom conductive layers are alternately arranged between the connection structures, and each of the connection structures connects one of the top conductive layers to the adjacent one of the bottom conductive layers.
  • Some embodiments of the present disclosure provide a method for detecting defects in a semiconductor device including singulating a die having a substrate including a circuit region and an outer border, a plurality of detecting devices disposed over the substrate and located between the circuit region and the outer border, a first probe pad and a second probe pad electrically connected to two ends of each detecting device, and a seal ring located between the outer border of the die and the detecting devices. The method further includes probing the first probe pad and the second probe pad to determine a connection status of the detecting device, and recognizing a defect when the connection status of the detecting device indicates an open circuit.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A semiconductor device, comprising:
a substrate including a circuit region and an outer border;
a plurality of detecting devices disposed over the substrate and located between the circuit region and the outer border;
a first probe pad and a second probe pad electrically connected to two ends of each detecting device; and
a seal ring located between the outer border of the substrate and the detecting devices.
2. The semiconductor device of claim 1, wherein each of the detecting devices comprises:
a plurality of connection structures;
a plurality of top conductive layers; and
a plurality of bottom conductive layers;
wherein the top conductive layers and the bottom conductive layers are alternately arranged between the connection structures.
3. The semiconductor device of claim 2, wherein each of the connection structures is located between one of the top conductive layers and one of the bottom conductive layers.
4. The semiconductor device of claim 3, wherein adjacent pairs of the connection structures are electrically connected by the top conductive layer or the bottom conductive layer, alternately.
5. The semiconductor device of claim 2, wherein each of the connection structures includes a plurality of metal layers and a plurality of connecting vias, the metal layers are formed in a stacking configuration, and the connecting vias couple the metal layers.
6. The semiconductor device of claim 1, wherein the detecting devices extend along the seal ring in a parallel fashion and are separated from the seal ring by a distance.
7. The semiconductor device of claim 6, wherein the distance is between 10 and 20 μm.
8. The semiconductor device of claim 6, wherein the distance is less than 10 μm.
9. The semiconductor device of claim 1, wherein the detecting devices are separated from each other.
10. The semiconductor device of claim 1, further comprising a first bump and a second bump respectively disposed over the first probe pad and the second probe pad, wherein the first bump and the second bump are exposed through openings in a passivation layer.
11. The semiconductor device of claim 1, further comprising:
a redistribution layer disposed over the seal ring and the plurality of detecting devices; and
at least a first conductor and at least a second conductor disposed over the redistribution layer;
wherein the first conductor is electrically connected to the first probe pad and the second conductor is electrically connected to the second probe pad.
12. The semiconductor device of claim 1, further comprising a plurality of dielectric layers disposed over the substrate, wherein the detecting devices and the seal ring are disposed within the dielectric layers.
13. A semiconductor device, comprising:
a substrate including a circuit region and an outer border;
a plurality of detecting devices located between the circuit region and the outer border, wherein each of the detecting devices is in a chain configuration, and each of the detecting devices comprises:
a plurality of bottom conductive layers disposed over the substrate;
a plurality of top conductive layers disposed over the bottom conductive layers; and
a plurality of connection structures;
wherein the top conductive layers and the bottom conductive layers are alternately arranged between the connection structures, and each of the connection structures connects one of the top conductive layer to the adjacent one of the bottom conductive layer.
14. The semiconductor device of claim 13, further comprising a seal ring located between the detecting devices and the outer border of the substrate, wherein the seal ring is electrically isolated from the detecting devices.
15. The semiconductor device of claim 13, wherein one of the top conductive layers overlaps two of the adjacent bottom conductive layers from a top view.
16. The semiconductor device of claim 13, further comprising a first probe pad and a second probe pad electrically connected to two ends of each detecting device.
17. A method for detecting defects in a semiconductor device, comprising:
singulating a die having a substrate including a circuit region and an outer border, a plurality of detecting devices disposed over the substrate and located between the circuit region and the outer border, a first probe pad and a second probe pad electrically connected to two ends of each detecting device, and a seal ring located between the outer border of the die and the detecting devices;
probing the first probe pad and the second probe pad to determine a connection status of the detecting devices; and
recognizing a defect when the connection status of the detecting devices indicates an open circuit.
18. The method of claim 17, wherein the connection status of the detection chain is determined by providing a test signal to the first probe pad, and detecting the test signal at the second probe pad.
19. The method of claim 17, further comprising:
providing a first bump and a second bump respectively on the first probe pad and the second probe pad; and
probing the first probe pad and the second probe pad through the first bumps and the second bump.
20. The method of claim 18, further comprising:
disposing a molding to encompass the die;
providing a redistribution layer over the die and the molding wherein the redistribution layer is in contact with each of the first probe pad and the second probe pad;
providing at least a first conductor and at least a second conductor disposed over the redistribution layer; and
probing the first probe pad and the second probe pad through the redistribution layer, the first conductor and the second conductor.
US16/254,060 2018-08-29 2019-01-22 Semiconductor device having probe pads and seal ring Active US11088037B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US16/254,060 US11088037B2 (en) 2018-08-29 2019-01-22 Semiconductor device having probe pads and seal ring
US17/397,416 US11854913B2 (en) 2018-08-29 2021-08-09 Method for detecting defects in semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862724237P 2018-08-29 2018-08-29
US16/254,060 US11088037B2 (en) 2018-08-29 2019-01-22 Semiconductor device having probe pads and seal ring

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/397,416 Division US11854913B2 (en) 2018-08-29 2021-08-09 Method for detecting defects in semiconductor device

Publications (2)

Publication Number Publication Date
US20200075435A1 true US20200075435A1 (en) 2020-03-05
US11088037B2 US11088037B2 (en) 2021-08-10

Family

ID=69641668

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/254,060 Active US11088037B2 (en) 2018-08-29 2019-01-22 Semiconductor device having probe pads and seal ring
US17/397,416 Active 2039-09-22 US11854913B2 (en) 2018-08-29 2021-08-09 Method for detecting defects in semiconductor device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/397,416 Active 2039-09-22 US11854913B2 (en) 2018-08-29 2021-08-09 Method for detecting defects in semiconductor device

Country Status (1)

Country Link
US (2) US11088037B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220216138A1 (en) * 2021-01-04 2022-07-07 Changxin Memory Technologies, Inc. Storage device, semiconductor structure and method for forming same
US20220299719A1 (en) * 2021-03-19 2022-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic integrated circuit and package structure
US11521904B2 (en) * 2020-03-11 2022-12-06 Texas Instruments Incorporated Wire bond damage detector including a detection bond pad over a first and a second connected structures
US20230243885A1 (en) * 2022-02-02 2023-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor testing device and method of operating the same
WO2023184683A1 (en) * 2022-03-31 2023-10-05 长鑫存储技术有限公司 Damage detection structure and semiconductor device
WO2023206976A1 (en) * 2022-04-25 2023-11-02 长鑫存储技术有限公司 Semiconductor structure and manufacturing method for semiconductor structure

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11721597B2 (en) * 2021-08-30 2023-08-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
KR20230052752A (en) * 2021-10-13 2023-04-20 에스케이하이닉스 주식회사 Semiconductor devices including crack sensor
IT202100030482A1 (en) * 2021-12-01 2023-06-01 St Microelectronics Srl CRACK DETECTOR FOR SEMICONDUCTOR DIAGRAM

Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030148558A1 (en) * 2000-04-20 2003-08-07 Masaharu Kubo Integrated circuit and method of manufacturing thereof
US20050087784A1 (en) * 2003-01-16 2005-04-28 Samsung Electronics Co., Ltd. Structure of a CMOS image sensor and method for fabricating the same
US20070052102A1 (en) * 2005-09-06 2007-03-08 Via Technologies, Inc. Integrated circuit chip and manufacturing process thereof
US20070275539A1 (en) * 2006-05-26 2007-11-29 Rashid Mohammed K Method of stimulating die circuitry and structure therefor
US8330159B2 (en) * 2007-11-01 2012-12-11 Texas Instruments Incorporated Mask design elements to aid circuit editing and mask redesign
US20120312590A1 (en) * 2011-06-09 2012-12-13 Ngk Spark Plug Co., Ltd. Method of manufacturing multilayer wiring substrate, and multilayer wiring substrate
US8431970B2 (en) * 2007-03-22 2013-04-30 Freescale Semiconductor, Inc. Integrated circuits with edge-adjacent devices having reactance values
US8735288B2 (en) * 2009-10-09 2014-05-27 Elpida Memory, Inc. Semiconductor device and information processing system including the same
US8970001B2 (en) * 2012-12-28 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Guard ring design for maintaining signal integrity
US20150194465A1 (en) * 2011-08-04 2015-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Pad Structures Formed in Double Openings in Dielectric Layers
US9082761B2 (en) * 2013-06-01 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Polymer layers embedded with metal pads for heat dissipation
US9171767B2 (en) * 2012-03-27 2015-10-27 Renesas Electronics Corporation Semiconductor device and manufacturing method for the same
US20160005697A1 (en) * 2014-07-02 2016-01-07 Samsung Electronics Co., Ltd. Semiconductor chip, semiconductor package and fabricating method thereof
US9355954B2 (en) * 2012-12-27 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor in post-passivation structures and methods of forming the same
US9499399B2 (en) * 2013-06-14 2016-11-22 United Microelectronics Corp. Method for forming MEMS structure with an etch stop layer buried within inter-dielectric layer
US9640638B2 (en) * 2008-05-15 2017-05-02 Great Wall Semiconductor Corporation Semiconductor device and method of forming a power MOSFET with interconnect structure to achieve lower RDSON
US9640489B2 (en) * 2011-06-13 2017-05-02 Mediatek Inc. Seal ring structure with capacitor
US9679857B2 (en) * 2009-07-15 2017-06-13 Nxp B.V. Semiconductor device and method including an intertial mass element
US20190057912A1 (en) * 2011-06-03 2019-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Interposer Test Structures and Methods
US10262952B2 (en) * 2014-05-30 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Ring structures in device die
US10309919B2 (en) * 2015-05-07 2019-06-04 International Business Machines Corporation Moisture detection and ingression monitoring systems and methods of manufacture
US10325861B2 (en) * 2016-09-30 2019-06-18 Intel IP Corporation Methods and structures for dicing integrated circuits from a wafer
US10322929B2 (en) * 2017-09-29 2019-06-18 Silterra Malaysia Sdn. Bhd. Monolithic integration of PMUT on CMOS
US10373865B2 (en) * 2015-07-24 2019-08-06 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
US10495687B2 (en) * 2012-03-02 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Reliability testing method

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4161572B2 (en) * 2001-12-27 2008-10-08 ヤマハ株式会社 Thermoelectric module
US7250311B2 (en) * 2005-02-23 2007-07-31 International Business Machines Corporation Wirebond crack sensor for low-k die
US7791070B2 (en) * 2005-11-02 2010-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device fault detection system and method
US8159254B2 (en) * 2008-02-13 2012-04-17 Infineon Technolgies Ag Crack sensors for semiconductor devices
US20130009663A1 (en) * 2011-07-07 2013-01-10 Infineon Technologies Ag Crack detection line device and method
US8368172B1 (en) * 2011-07-22 2013-02-05 Freescale Semiconductor, Inc. Fused buss for plating features on a semiconductor die
US8796686B2 (en) * 2011-08-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits with leakage current test structure
JP2013048188A (en) * 2011-08-29 2013-03-07 Elpida Memory Inc Semiconductor device manufacturing method
US8441131B2 (en) * 2011-09-12 2013-05-14 Globalfoundries Inc. Strain-compensating fill patterns for controlling semiconductor chip package interactions
JP5970308B2 (en) * 2012-09-19 2016-08-17 ルネサスエレクトロニクス株式会社 Semiconductor device
TW201513242A (en) * 2013-09-02 2015-04-01 Biotronik Se & Co Kg Die and manufacturing method for a die
US9287184B2 (en) * 2013-12-13 2016-03-15 Micron Technology, Inc. Apparatuses and methods for die seal crack detection
US9741667B2 (en) * 2015-04-10 2017-08-22 Avago Technologies General Ip (Singapore) Pte. Ltd. Integrated circuit with die edge assurance structure
KR20170051085A (en) * 2015-11-02 2017-05-11 삼성전자주식회사 Embedded refresh controller and memory device including the same
US10539617B2 (en) * 2016-06-02 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Scan architecture for interconnect testing in 3D integrated circuits
KR102475495B1 (en) * 2018-01-29 2022-12-07 삼성전자주식회사 A semiconductor device
US10770412B2 (en) * 2018-08-23 2020-09-08 Globalfoundries Inc. Guard ring for photonic integrated circuit die
US11031308B2 (en) * 2019-05-30 2021-06-08 Sandisk Technologies Llc Connectivity detection for wafer-to-wafer alignment and bonding

Patent Citations (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030148558A1 (en) * 2000-04-20 2003-08-07 Masaharu Kubo Integrated circuit and method of manufacturing thereof
US20050087784A1 (en) * 2003-01-16 2005-04-28 Samsung Electronics Co., Ltd. Structure of a CMOS image sensor and method for fabricating the same
US20070052102A1 (en) * 2005-09-06 2007-03-08 Via Technologies, Inc. Integrated circuit chip and manufacturing process thereof
US20070275539A1 (en) * 2006-05-26 2007-11-29 Rashid Mohammed K Method of stimulating die circuitry and structure therefor
US8431970B2 (en) * 2007-03-22 2013-04-30 Freescale Semiconductor, Inc. Integrated circuits with edge-adjacent devices having reactance values
US8330159B2 (en) * 2007-11-01 2012-12-11 Texas Instruments Incorporated Mask design elements to aid circuit editing and mask redesign
US9640638B2 (en) * 2008-05-15 2017-05-02 Great Wall Semiconductor Corporation Semiconductor device and method of forming a power MOSFET with interconnect structure to achieve lower RDSON
US9679857B2 (en) * 2009-07-15 2017-06-13 Nxp B.V. Semiconductor device and method including an intertial mass element
US8735288B2 (en) * 2009-10-09 2014-05-27 Elpida Memory, Inc. Semiconductor device and information processing system including the same
US20190057912A1 (en) * 2011-06-03 2019-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Interposer Test Structures and Methods
US20120312590A1 (en) * 2011-06-09 2012-12-13 Ngk Spark Plug Co., Ltd. Method of manufacturing multilayer wiring substrate, and multilayer wiring substrate
US9640489B2 (en) * 2011-06-13 2017-05-02 Mediatek Inc. Seal ring structure with capacitor
US20150194465A1 (en) * 2011-08-04 2015-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Pad Structures Formed in Double Openings in Dielectric Layers
US10495687B2 (en) * 2012-03-02 2019-12-03 Taiwan Semiconductor Manufacturing Company, Ltd. Reliability testing method
US9171767B2 (en) * 2012-03-27 2015-10-27 Renesas Electronics Corporation Semiconductor device and manufacturing method for the same
US9355954B2 (en) * 2012-12-27 2016-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor in post-passivation structures and methods of forming the same
US8970001B2 (en) * 2012-12-28 2015-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Guard ring design for maintaining signal integrity
US9082761B2 (en) * 2013-06-01 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Polymer layers embedded with metal pads for heat dissipation
US9499399B2 (en) * 2013-06-14 2016-11-22 United Microelectronics Corp. Method for forming MEMS structure with an etch stop layer buried within inter-dielectric layer
US10262952B2 (en) * 2014-05-30 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Ring structures in device die
US20160005697A1 (en) * 2014-07-02 2016-01-07 Samsung Electronics Co., Ltd. Semiconductor chip, semiconductor package and fabricating method thereof
US10309919B2 (en) * 2015-05-07 2019-06-04 International Business Machines Corporation Moisture detection and ingression monitoring systems and methods of manufacture
US10373865B2 (en) * 2015-07-24 2019-08-06 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
US10325861B2 (en) * 2016-09-30 2019-06-18 Intel IP Corporation Methods and structures for dicing integrated circuits from a wafer
US10322929B2 (en) * 2017-09-29 2019-06-18 Silterra Malaysia Sdn. Bhd. Monolithic integration of PMUT on CMOS

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11521904B2 (en) * 2020-03-11 2022-12-06 Texas Instruments Incorporated Wire bond damage detector including a detection bond pad over a first and a second connected structures
US20230100709A1 (en) * 2020-03-11 2023-03-30 Texas Instruments Incorporated Wire bond damage detector including a detection bond pad over a first and a second connected structures
US20220216138A1 (en) * 2021-01-04 2022-07-07 Changxin Memory Technologies, Inc. Storage device, semiconductor structure and method for forming same
US20220299719A1 (en) * 2021-03-19 2022-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic integrated circuit and package structure
US11809000B2 (en) * 2021-03-19 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic integrated circuit and package structure
US20230243885A1 (en) * 2022-02-02 2023-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor testing device and method of operating the same
WO2023184683A1 (en) * 2022-03-31 2023-10-05 长鑫存储技术有限公司 Damage detection structure and semiconductor device
WO2023206976A1 (en) * 2022-04-25 2023-11-02 长鑫存储技术有限公司 Semiconductor structure and manufacturing method for semiconductor structure

Also Published As

Publication number Publication date
US11088037B2 (en) 2021-08-10
US11854913B2 (en) 2023-12-26
US20210366794A1 (en) 2021-11-25

Similar Documents

Publication Publication Date Title
US11854913B2 (en) Method for detecting defects in semiconductor device
US10957687B2 (en) ESD hard backend structures in nanometer dimension
US8890560B2 (en) Crack sensors for semiconductor devices
US7622309B2 (en) Mechanical integrity evaluation of low-k devices with bump shear
US8237160B2 (en) Probe pad on a corner stress relief region in a semiconductor chip
US9601443B2 (en) Test structure for seal ring quality monitor
US7256475B2 (en) On-chip test circuit for assessing chip integrity
US8217394B2 (en) Probe pad on a corner stress relief region in a semiconductor chip
US10283424B1 (en) Wafer structure and packaging method
US8648444B2 (en) Wafer scribe line structure for improving IC reliability
US8748295B2 (en) Pads with different width in a scribe line region and method for manufacturing these pads
US9064939B2 (en) Methods of making integrated circuits
US20230207450A1 (en) Semiconductor structure and method of forming the same
US20200266162A1 (en) Semiconductor device
US11121056B2 (en) Semiconductor device and manufacturing method of the same
US10026699B2 (en) Integrated circuit chip and integrated circuit wafer with guard ring
US11495536B2 (en) Semiconductor structure and method for forming thereof
KR20170086382A (en) Kelvin resistance test structure and method of manufacturing structure including the same
US11721597B2 (en) Semiconductor device and manufacturing method of the same
CN113517260B (en) Wafer test structure, manufacturing method thereof and wafer
US20090014717A1 (en) Test ic structure
US9263354B2 (en) Pillar structure having cavities
JP2010278307A (en) Semiconductor device and method of manufacturing the same
JP2012238745A (en) Semiconductor wafer

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, YANG-CHE;CHOU, WEI-YU;SHUE, HONG-SENG;AND OTHERS;SIGNING DATES FROM 20190103 TO 20190108;REEL/FRAME:048093/0627

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE