US20190147928A1 - Cell Structure for Dual-Port SRAM - Google Patents

Cell Structure for Dual-Port SRAM Download PDF

Info

Publication number
US20190147928A1
US20190147928A1 US16/246,670 US201916246670A US2019147928A1 US 20190147928 A1 US20190147928 A1 US 20190147928A1 US 201916246670 A US201916246670 A US 201916246670A US 2019147928 A1 US2019147928 A1 US 2019147928A1
Authority
US
United States
Prior art keywords
pull
fin
finfet
gate
sram cell
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/246,670
Inventor
Jhon Jhy Liaw
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/246,670 priority Critical patent/US20190147928A1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIAW, JHON JHY
Publication of US20190147928A1 publication Critical patent/US20190147928A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/16Multiple access memory array, e.g. addressing one storage element via at least two independent addressing line groups
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • H01L27/11
    • H01L27/1104
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • an embedded static random access memory (SRAM) device has become a popular storage unit of high speed communication, image processing and system-on-chip (SOC) products.
  • SRAM static random access memory
  • DP dual port
  • SRAM device allows parallel operation, such as 1R (read) 1W (write), or 2R (read) in one cycle, and therefore has higher bandwidth than a single port SRAM.
  • advanced technologies with decreased feature size and increased packing density low loading and high speed of the cell structure are important factors in embedded memory and SOC products.
  • the thin style SRAM cell structure with short bit-line (BL) provides better performance on BL RC delay.
  • the thin style cell structure suffers some problems including data node leakage, devices matching of pull-down (PD)/pass-gate (PG) devices and current crowding, etc.
  • Special operation mode (parallel operation) of the DP SRAM requests more pull down drive capability to cover two-ports of the ON operation mode.
  • SNM static noise margin
  • the PD device width will be around 2 ⁇ from the single-port cell.
  • the device width ratio between PD and PG is around 2 ⁇ 4 on the DP cell. This results in an L-shape or T-shape layout of the drain node of the PD device, and therefore may suffer the above problems. It is therefore desired to have a new structure and method to address the above issues.
  • the present disclosure provides one embodiment of a dual port static random access memory (SRAM) cell.
  • the dual-port SRAM cell includes a first and second inverters cross-coupled for data storage, each inverter includes a pull-up device (PU) and a plurality of pull-down devices (PDs); a plurality of pass gate devices configured with the two cross-coupled inverters; and at least two ports coupled with the plurality of pass gate devices (PGs) for reading and writing, wherein each of PU, PDs and PGs includes a fin field-effect transistor (FinFET), a ratio between a number of PDs in the SRAM cell and a number of PGs in the SRAM cell is greater than 1, and a number of FinFETs in the SRAM cell is equal to or greater than 12.
  • FinFET fin field-effect transistor
  • the present disclosure also provides another embodiment of a dual port SRAM cell.
  • the SRAM cell includes a first set of fin field-effect transistors (FinFETs) having two pull-up devices (PUs), a first number of pull-down devices (PDs) configured to form first and second cross-coupled inverters; a second set of FinFETs having a second number of pass-gate devices (PGs) configured to form at least two ports, wherein a ratio between the first number and the second number is greater than 1.
  • FinFETs fin field-effect transistors
  • PUs pull-up devices
  • PDs first number of pull-down devices
  • PGs pass-gate devices
  • the present disclosure also provides yet another embodiment of a dual port SRAM cell.
  • the dual port SRAM cell includes a first inverter having a first pull-up transistor (PU 1 ) and a first group of pull-down transistors (PDs); a second inverter having a second pull-up transistor (PU 2 ) and a second group of PDs, the second inverter being cross-coupled with the first inverter; a first group of pass-gate transistors (PGs) coupled with the first and second inverters to form a first port; and a second group of PGs coupled with the first and second inverters to form a second port, wherein each of the PDs and PGs includes a n-type fin field-effect transistor (nFinFET) and each of the pull-up transistors includes a p-type fin field-effect transistor (pFinFET), and a ratio between a number of PDs and a number of PGs in the SRAM cell is greater than 1.
  • FIG. 1 is a schematic view of a dual port static random access memory (DP SRAM) device constructed according to various aspects of the present disclosure in one embodiment.
  • DP SRAM dual port static random access memory
  • FIG. 2 is a schematic view of a DP SRAM device constructed according to various aspects of the present disclosure in another embodiment.
  • FIG. 3 is a schematic view of a DP SRAM device constructed according to various aspects of the present disclosure in another embodiment.
  • FIGS. 4 and 5 are top views of a DP SRAM device constructed according to various aspects of the present disclosure in one embodiment.
  • FIGS. 6 and 7 are top views of a portion of a DP SRAM device constructed according to various aspects of the present disclosure in various embodiments.
  • FIGS. 8-16, 18-19 are top views of a DP SRAM device or a portion thereof constructed according to various aspects of the present disclosure in various embodiments.
  • FIG. 17 is a schematic view of a DP SRAM device constructed according to various aspects of the present disclosure in yet another embodiment.
  • FIG. 1 is a schematic view of a dual-port (DP) SRAM cell 100 constructed according to various aspects of the present disclosure in one embodiment.
  • the DP SRAM cell 100 includes fin field-effect transistors (FinFETs).
  • the DP SRAM cell 100 includes a first and second inverters that are cross-coupled.
  • the first inverter includes a first pull-up device formed with a p-type fin field-effect transistor (pFinFET), referred to as PU- 1 .
  • the first inverter also includes a first plurality of pull-down devices formed with n-type fin field-effect transistors (nFinFETs) and configured in parallel mode.
  • nFinFETs n-type fin field-effect transistors
  • the second inverter includes a second pull-up device formed with a pFinFET, referred to as PU- 2 .
  • the second inverter also includes a second plurality of pull-down devices formed with nFinFETs and configured in parallel mode. The number of the first plurality of pull-down devices and the number of the second plurality of pull-down devices are equal for a balanced cell structure.
  • the first plurality of pull-down devices includes 3 nFinFETs, referred to as PD- 11 , PD- 12 and PG- 13 , respectively.
  • the second plurality of pull-down devices include 3 nFinFETs, referred to as PD- 21 , PD- 22 and PG- 23 , respectively.
  • the drains of PU- 1 , PD- 11 , PD- 12 and PD- 13 are electrically connected together, defining a first drain node (or first node).
  • the drains of PU- 2 , PD- 21 , PD- 22 and PD- 23 are electrically connected together, defining a second drain node (or second node).
  • the gates of PU- 1 , PD- 11 , PD- 12 and PD- 13 are electrically connected and coupled to the second node.
  • the gates of PU- 2 , PD- 21 , PD- 22 and PD- 23 are electrically connected and coupled to the first node.
  • the sources of PU- 1 and PU- 2 are electrically connected to the power line (Vcc line).
  • the sources of PD- 11 , PD- 12 , PD- 13 , PD- 21 , PD- 22 and PD- 23 are electrically connected to a complementary power line (Vss line).
  • Vss line complementary power line
  • the sources of PD- 11 , PD- 12 and PD- 13 are electrically connected to a first Vss line while the sources of PD- 21 , PD- 22 and PD- 23 are electrically connected to a second Vss line.
  • the DP SRAM cell 100 further includes a first port (port-A) and a second port (port-B).
  • the port-A and port-B include at least four pass-gate devices, referred to as PG- 1 , PG- 2 , PG- 3 and PG- 4 , respectively.
  • the pass-gate devices each includes a nFinFET.
  • the port-A includes a first pass-gate device (PG- 1 ) and a second pass-gate device (PG- 2 ).
  • the port-B includes a third pass-gate device (PG- 3 ) and a fourth pass-gate device (PG- 4 ).
  • the drain of PG- 1 is electrically connected to a first bit-line (referred to as A_BL).
  • the source of PG- 1 is electrically connected to the first node.
  • the gate of PG- 1 is electrically connected to a first word-line (referred to as port-A WL).
  • the drain of PG- 2 is electrically connected to a first bit-line bar (A_BLB).
  • the source of PG- 2 is electrically connected to the second node.
  • the gate of PG- 2 is electrically connected to a first word-line (port-A WL).
  • the drain of PG- 3 is electrically connected to a second bit-line (B_BL).
  • the source of PG- 3 is electrically connected to the first node.
  • the gate of PG- 3 is electrically connected to the second word-line (port-B WL).
  • nFinFETs and pFinFETs may be formed by any proper technology.
  • the various nFinFETs and pFinFETs are formed by a process including etching a semiconductor to form trenches, partially filling the trenches to form shallow trench isolation (STI) features and fin active regions.
  • STI shallow trench isolation
  • an epitaxy semiconductor layer is selectively formed on the fin active region.
  • the various FinFETs are formed by a process including depositing a dielectric material layer on the semiconductor substrate, etching the dielectric material layer to form openings thereof, selective epitaxy growing a semiconductor material (such as silicon) on the semiconductor substrate within the openings to form fin active regions and STI features.
  • the various FinFETs may include strained features for enhanced mobility and device performance.
  • the pFinFETs include epitaxy grown silicon germanium on a silicon substrate.
  • the pFinFETs include epitaxy grown silicon carbide on the silicon substrate.
  • the various FinFETs are formed using high k/metal gate technology.
  • the cell 100 may include additional devices such as additional pull-down devices and pass-gate devices.
  • the first inverter includes a number of pull-down devices configured in parallel similar to the configuration of PD- 11 , PD- 12 and PD- 13 . More specifically, the drains of the pull-down devices in the first inverter are electrically connected together. The sources of the pull-down devices in the first inverter are electrically connected together. The gates of the pull-down devices in the first inverter are electrically connected together or formed with one continuous gate.
  • the second inverter includes the same number of pull-down devices configured in parallel similar to the configuration of PD- 21 , PD- 22 and PD- 23 for balance.
  • the drains of the pull-down devices in the second inverter are electrically connected together.
  • the sources of the pull-down devices in the second inverter are electrically connected together.
  • the gates of the pull-down devices in the second inverter are electrically connected together or formed with one continuous gate.
  • the first port includes the first pass-gate device or a number of the first pass-gate devices (still referred to PG- 1 ) configured in parallel.
  • the number of the first pass-gate devices are configured such that the drains, sources and gates are electrically connected together, respectively. More specifically, the drains of the first pass-gate devices (PG- 1 ) are electrically connected to a first bit-line (A_BL).
  • the sources of PG- 1 are electrically connected to the first node.
  • the gates of PG- 1 is electrically connected to a first word-line (port-A WL).
  • the first port includes the second pass-gate device or the same number of the second pass-gate devices (still referred to PG- 2 ) configured in parallel.
  • the number of the second pass-gate devices are configured such that the drains, sources and gates are electrically connected together, respectively. More specifically, the drains of PG- 2 are electrically connected to a first bit-line bar (A_BLB).
  • the sources of PG- 2 are electrically connected to the second node.
  • the gates of PG- 2 are electrically connected to a first word-line (port-A WL).
  • the second port includes the third pass-gate device or the same number of the third pass-gate devices (still referred to PG- 3 ) configured in parallel.
  • the number of the third pass-gate devices are configured such that the drains, sources and gates are electrically connected together, respectively. More specifically, the drains of PG- 3 are electrically connected to a second bit-line (B_BL).
  • the sources of PG- 3 are electrically connected to the first node.
  • the gates of PG- 3 are electrically connected to the second word-line (port-B WL).
  • the second port includes the fourth pass-gate device or the same number of the fourth pass-gate devices (still referred to PG- 4 ) configured in parallel.
  • the number of the fourth pass-gate devices are configured such that the drains, sources and gates are electrically connected together, respectively. More specifically, the drains of PG- 4 are electrically connected to a second bit-line bar (B_BLB).
  • B_BLB second bit-line bar
  • the sources of PG- 4 are electrically connected to the second node.
  • the gates of PG- 4 are electrically connected to the second word-line (port-B WL).
  • the number of the pull-down devices is greater than the number of the pass-gate devices.
  • the ratio R is greater than 1 to increase sink current, access speed, and device reliability of the SRAM cell.
  • the ratio is 3/2, 2, or 5/4.
  • the total number of the nFinFETs and pFinFETs in the cell is greater than 12 such that the ratio R is tuned to be greater than 1 in the disclosed configuration. In the present embodiment illustrated in FIG. 1 , the ratio R is 3/2 and the total FinFETs in one SRAM cell is 12.
  • FIG. 2 is a schematic view of a dual-port (DP) SRAM cell 102 constructed according to various aspects of the present disclosure in another embodiment.
  • the SRAM cell 102 is similar to the SRAM cell 100 of FIG. 1 except the first inverter includes 4 pull-down devices PD- 11 , PD- 12 , PD- 13 and PD- 14 .
  • the second inverter includes 4 pull-down devices PD- 21 , PD- 22 , PD- 23 and PD- 24 for a balanced configuration.
  • the SRAM cell 102 has a total of 14 FinFETs.
  • FIG. 3 is a schematic view of a dual-port (DP) SRAM cell 104 constructed according to various aspects of the present disclosure in another embodiment.
  • the SRAM cell 104 is similar to the SRAM cell 100 of FIG. 1 except for both the number of the pull-down devices and the number of the pass gate devices are doubled.
  • the first inverter includes 6 pull-down devices PD- 11 , PD- 12 , PD- 13 , PD- 14 , PD- 15 and PD- 16 .
  • the second inverter includes 6 pull-down devices PD- 21 , PD- 22 , PD- 23 , PD- 24 , PD- 25 and PD- 26 .
  • the SRAM cell 104 includes 8 pass gate devices configured to form the first and second ports.
  • the port-A includes 4 pass-gate devices PG- 11 , PG- 12 , PG- 21 and PG- 22 .
  • the port-B includes 4 pass-gate devices PG- 31 , PG- 32 , PG- 41 and PG- 42 .
  • the drains of PG- 11 and PG- 12 are electrically connected to a first bit-line (A_BL).
  • the sources of PG- 11 and PG- 12 are electrically connected to the first node.
  • the gates of PG- 11 and PG- 12 are electrically connected to a first word-line (referred to as port-A WL).
  • the drains of PG- 21 and PG- 22 are electrically connected to a first bit-line bar (A_BLB).
  • the sources of PG- 21 and PG- 22 are electrically connected to the second node.
  • the gates of PG- 21 and PG- 22 are electrically connected to a first word-line (port-A WL).
  • the drains of PG- 31 and PG- 32 are electrically connected to a second bit-line (B_BL).
  • the sources of PG- 31 and PG- 32 are electrically connected to the first node.
  • the gates of PG- 31 and PG- 32 are electrically connected to the second word-line (port-B WL).
  • the drains of PG- 41 and PG- 42 are electrically connected to a second bit-line bar (B_BLB).
  • the sources of PG- 41 and PG- 42 are electrically connected to the second node.
  • the gates of PG- 41 and PG- 42 are electrically connected to the second word-line (port-B WL).
  • the SRAM cell 104 has a total of 22 FinFETs.
  • FIG. 4 is a top view of a DP SRAM cell 110 constructed according to various aspects of the present disclosure in one embodiment.
  • the DP SRAM cell 110 is a portion of the DP SRAM cell 100 in a particular configuration.
  • the DP SRAM cell 110 includes one cell of DP SRAM and is formed on a semiconductor substrate.
  • the semiconductor substrate includes silicon.
  • the substrate includes germanium, silicon germanium or other proper semiconductor materials.
  • the semiconductor substrate may include other proper features and structures.
  • the semiconductor substrate employs a layer of semiconductor material formed over an insulating layer on a supporting bulk wafer for isolation.
  • the technology and structure are referred to as semiconductor on isolation (SOI).
  • SOI structure can be formed by different techniques including separation by implanted oxygen (SIMOX), bonding and etching back (BESOI), and zone melting and recrystallization (ZMR).
  • SIMOX implanted oxygen
  • BESOI bonding and etching back
  • ZMR zone melting and recrystallization
  • the DP SRAM cell 110 is formed in a unit cell region 112 of the semiconductor substrate.
  • the unit cell region 112 is defined by the unit cell boundary 114 .
  • the unit cell region 112 is defined in a rectangular shape spanning to a first dimension 116 in a first direction and spanning to a second dimension 118 in a second direction perpendicular to the first direction.
  • the first dimension 116 is longer than the second dimension 118 .
  • the first and second dimensions ( 116 and 118 ) are referred to as a longer pitch and a shorter pitch, respectively.
  • the first and second directions are also referred to by numerals 116 and 118 , respectively.
  • the SRAM cell 110 includes a N-well region 120 disposed in the central portion of the cell.
  • the SRAM cell 110 further includes a P-well region 122 disposed on the both sides of the N-well 120 .
  • the N-Well 120 and P-well 122 are extended to multiple cells beyond the unit cell boundary.
  • the N-well 120 and P-well 122 are extended to 4 or more cells in the second direction.
  • isolation features are defined in the substrate by isolation features and are isolated from each other by the isolation features.
  • the isolation features are formed in the semiconductor substrate with a proper technology.
  • the isolation features are formed by a shallow trench isolation (STI) technique.
  • the isolation features are alternatively formed by a local oxidation of silicon (LOCOS) technique.
  • the formation of the STI features includes etching a trench in a substrate and filling the trench by one or more insulator materials such as silicon oxide, silicon nitride, or silicon oxynitride.
  • the filled trench may have a multi-layer structure such as a thermal oxide liner layer with silicon nitride filling the trench.
  • the active regions are defined in the semiconductor substrate upon the formation of the isolation features.
  • the DP SRAM cell 110 utilizes fin active regions (fin active features) to form fin transistors, such as FinFETs.
  • the fin active regions are formed on the semiconductor substrate and defined within the SRAM cell 110 .
  • the fin active regions is formed by a suitable technology and may be formed in a process to form both the STI features and the fin active regions.
  • the fin active regions are formed by a process including etching a semiconductor to form trenches, partially filling the trenches to form shallow trench isolation (STI) features and fin active regions interdigitized with each other.
  • STI shallow trench isolation
  • an epitaxy semiconductor layer is selectively formed on the fin active region.
  • the fin active regions are formed by a process including depositing a dielectric material layer on a semiconductor substrate, etching the dielectric material layer to form openings thereof, and selective epitaxy growing a semiconductor material (such as silicon) on the semiconductor substrate within the openings to form fin active regions and the isolation features.
  • the various FinFETs may include strained features for enhanced mobility and device performance.
  • the pFinFETs include epitaxy grown silicon germanium on a silicon substrate.
  • the pFinFETs include epitaxy grown silicon carbide on the silicon substrate.
  • the DP SRAM cell 110 includes a first active region 124 , a second active region 126 , a third active region 128 and a fourth active region 130 formed in the P-well 122 .
  • the DP SRAM cell 110 further includes a fifth active region 132 and a sixth active region 134 formed in the N-well 120 .
  • the first active region 124 through the sixth active region 134 are disposed along the second dimension.
  • the first through sixth active regions or a subset thereof may be extended to multiple cells, such as 4 or more cells in the second direction.
  • each of the active regions includes one or more fin active features configured to form various FinFETs.
  • at least some of the first active region 124 through the fourth active region 130 in the P-well 122 include multiple fin active features.
  • a pull-down device (PD), a pass-gate device (PG), or a combination thereof can be formed.
  • each fin active feature includes one PD, one PG, two PDs, two PGs, or PD/PG (one PD and one PG).
  • the first active region 124 includes one fin active feature (still referred to as 124 ) oriented in the second direction 118 .
  • the pass-gate PG- 2 is formed on the fin active feature 124 .
  • the second active feature 126 includes three fin active features lined up, referred to as 126 a , 126 b and 126 c , respectively.
  • the fin active feature 126 b is interposed between the fin active features 126 a and 126 c .
  • the pull-down devices PD- 11 , PD- 12 and PD- 13 are formed on the fin active features 126 a , 126 b and 126 c , respectively.
  • the fin active feature 126 b is extended longer than the fin active features 126 a and 126 c .
  • the pass-gate device PG- 1 is formed on the fin active feature 126 b as illustrated in FIG. 4 .
  • the third active region 128 includes one fin active feature (still referred to as 128 ) oriented in the second direction 118 .
  • the pass-gate PG- 3 is formed on the fin active feature 128 .
  • the fourth active feature 130 includes three fin active features lined up, referred to as 130 a , 130 b and 130 c , respectively.
  • the fin active feature 130 b is interposed between the fin active features 130 a and 130 c .
  • the pull-down devices PD- 21 , PD- 22 and PD- 23 are formed on the fin active features 130 a , 130 b and 130 c , respectively.
  • the fin active feature 130 b is extended longer than the fin active features 130 a and 130 c .
  • the pass-gate device PG- 4 is formed on the fin active feature 130 b as illustrated in FIG. 4 .
  • a gate feature includes a gate dielectric layer (such as silicon oxide) and a gate electrode (such as doped polysilicon) disposed on the gate dielectric layer.
  • the gate feature alternatively or additionally includes other proper materials for circuit performance and manufacturing integration.
  • the gate dielectric layer includes high k dielectric material layer.
  • the gate electrode includes metal, such as aluminum, copper, tungsten or other proper conductive material.
  • Various gates are oriented in the first direction 116 and configured with the various active regions to form the pull-up devices, pull-down devices and pass-gate devices.
  • a long gate 136 is disposed over the fin active features 126 a , 126 b and 126 c and further extends over the fifth active feature 132 , forming PD- 11 , PD- 12 , PD- 13 and PU- 1 , respectively.
  • another long gate 138 is disposed over the fin active features 130 a , 130 b and 130 c and further extended over the sixth active feature 134 , forming PD- 21 , PD- 22 , PD- 23 and PU- 2 , respectively.
  • a short gate 140 is disposed on the active features 124 and 126 b and configured to form PG- 2 and PG- 1 , respectively.
  • another short gate 144 is disposed on the active features 128 and 130 b and configured to form PG- 3 and PG- 4 , respectively.
  • the first active region 124 through the fourth active region 130 in the P-well 122 and the associated pull-down devices and pass-gate devices are symmetrically disposed on the two sides of the N-well 120 with symmetrical interconnect routing.
  • FIG. 5 illustrates a top view of the DP SRAM cell 110 , including interconnect routings.
  • Various interconnect structures may be utilized to couple the nFinFETs and pFinFETs to form the functional DP SRAM cell.
  • the drain of PD- 12 is electrically connected to the source of PG- 1 by sharing a common doped region, a region defined in the fin active region 126 b and positioned between the PD- 12 and PG- 1 .
  • the drain of PD- 12 is electrically connected to the source of PG- 1 by a silicide feature (not shown) formed on the common doped region within the fin active region 126 b .
  • the silicide feature is formed by a process known in the art such as self-aligned silicide (salicide) and can be formed together with other contact silicide in a same processing procedure.
  • the drain of PD- 12 is electrically connected to the source of PG- 1 by a contact feature designed to contact both the drain of PD- 12 and the source of PG- 1 .
  • the geometries of the contacts are to be further described later.
  • the drain of PD- 22 and the source of PG- 4 are electrically connected in a way similar to the connection between the drain of PD- 12 and the source of PG- 1 , such as by a silicide feature.
  • the source of the pass-gate PG- 2 is configured to electrically connect to the gate 136 by various interconnect technique.
  • the interconnect between the source to the gate is achieved by a local interconnect (LI) technology.
  • the local interconnect is formed using the gate electrode material, such as polysilicon. In this situation, the polysilicon is used not only to form gate electrode but also to form interconnect. More particularly, the gate electrode is extended to the targeted source region and directly lands on the silicon substrate within the targeted source region.
  • the gate electrode is a metal gate
  • the metal gate is extended to form the local interconnect.
  • the LI features and gates are formed during a same processing procedure.
  • this routing can be alternatively achieved by an intra-cell routing through a contact feature designed to land on both the targeted gate and the source.
  • the source of PG- 3 is electrically connected to the gate 138 .
  • the DP SRAM cell 110 further includes various contacts (shown as and labeled as 126 ) on gates, drain nodes, Vss connection, and various landing pads (such as silicide feature).
  • the contact features are positioned and configured for routing including electrically connecting the doped regions or the gates to a metal layer. Additionally or alternatively, the contact features are designed to have various geometries to function as a local interconnect.
  • one or more contact features in the SRAM cell 110 are designed in a square shape for normal contact function, such as contact features 146 - 1 through 146 - 8 .
  • contact features 146 - 1 through 146 - 8 are routed to the corresponding metal lines in the first metal layer or the second metal layer.
  • one or more contact features are designed in a rectangular shape oriented in the first direction 116 to function as contacting drains (or sources) of the multiple pull-down devices in the same inverter, such as those contact features 146 - 9 through 146 - 12 .
  • one or more contact features are designed in a rectangular shape oriented in the second direction 118 to function as contacting a drain/source feature to a gate, such as those contact features 146 - 13 through 146 - 16 .
  • the contact feature 146 - 1 is routed to the bit-line BL-B; the contact feature 146 - 2 is routed to the word-line WL-B; the contact feature 146 - 3 is routed to the bit-line BL-B-bar; the contact feature 146 - 4 is routed to the Vcc power line; the contact feature 146 - 5 is routed to the Vcc power line; the contact feature 146 - 6 is routed to the bit-line BL-A-bar; the contact feature 146 - 7 is routed to the bit-line BL-A; the contact feature 146 - 8 is routed to the word-line WL-A; the contact feature 146 - 9 is routed to the complimentary power line Vss; the contact feature 146 - 10 is designed to electrically connect the drains of PD- 21 , PD- 22 , PD- 23 and PU- 2 ; the contact feature 146 - 12 is designed to electrically connect the drains of PD-
  • FIGS. 6 and 7 are top views of the DP SRAM cell 110 constructed according to various aspects of the present disclosure in one embodiment. More particularly, various interconnect structures 180 in the DP SRAM cell 110 are constructed and presented in FIGS. 6 and 7 .
  • the DP SRAM cell 110 includes at least three interconnect layers (i.e. metal layers). The contact features are described with reference to FIG. 5 .
  • the interconnect structure 180 of the DP SRAM cell 110 includes a second interconnect layer (referred to as second metal layer or M2) disposed over the first metal layer, and a third interconnect layer (referred to as third metal layer or M3) disposed over the second metal layer.
  • second metal layer or M2 second metal layer
  • third metal layer referred to as third metal layer or M3
  • the second metal layer includes various metal lines substantially aligned in the second direction of the DP SRAM cell 110 .
  • the second metal layer includes a power line (Vcc line) 182 .
  • the Vcc line 182 is electrically connected to the Vcc landing pads through the respective contacts.
  • the Vcc line 182 is substantially positioned in the center portion of the cell 112 in the first dimension of the cell.
  • the second metal layer also includes complementary power lines, such as first Vss line 184 and the second Vss line 186 positioned at both sides of the Vcc line 182 .
  • the first and second Vss lines ( 184 and 186 ) are electrically connected to the first and second Vss connects, respectively.
  • the second metal layer includes first word lines (WL-A) 188 and 190 positioned on the border of the cell, respectively.
  • the first word lines 188 and 190 are electrically connected to the first and second word contacts 146 - 2 and 146 - 8 of FIG. 5 .
  • the second metal layer includes a first bit line (A-BL) 192 and a second bit line (B-BL) 194 electrically connected to the corresponding bit-line contacts 146 - 7 and 146 - 1 of FIG. 5 , respectively.
  • the second metal layer includes a first bit line bar (A-BL-bar) 196 and a second bit line bar (B-BL-bar) 198 electrically connected to the corresponding bit-line contacts 146 - 6 and 146 - 3 of FIG. 5 , respectively.
  • the metal lines in the second metal layer have different configurations.
  • various vias for coupling the second metal layer to the third metal layer are properly configured and formed on the second metal layer.
  • the vias on the second metal layer include a first via 208 landing on the first word line 190 of the second metal layer, a second via 212 landing on the first word line 188 of the second metal layer.
  • at least one of the Vdd line and the Vss lines is configured between the two bit-lines for noise shielding.
  • the interconnect structure 180 of the DP SRAM cell 110 includes various metal lines in the third metal layer for word line routing.
  • the metal lines in the third metal layer are substantially aligned along the first direction of the cell 110 .
  • the third metal layer includes a first word line (WL-A) 204 and a second word line (WL-B) 206 .
  • the first word line 204 is electrically connected to the gates of PG- 1 and PG- 2 through the first via 208 .
  • the second word line 206 is electrically connected to the gates of PG- 3 and PG- 4 through the second via 212 .
  • the various metal lines may be configured and/or assigned differently according to the configurations of the various pull-up devices, pull-down devices and pass-gate devices.
  • the second metal layer includes a first bit line bar (A-BL-bar) 192 and a second bit line (B-BL) 194 electrically connected to the corresponding bit-line contacts 146 - 7 and 146 - 1 of FIG. 5 , respectively.
  • the second metal layer includes a first bit line (A-BL) 196 and a second bit line bar (B-BL-bar) 198 electrically connected to the corresponding bit-line contacts 146 - 6 and 146 - 3 of FIG. 5 , respectively.
  • FIG. 8 is a top view of a DP SRAM device 240 in another embodiment, similar to the SRAM cell 110 of FIG. 5 .
  • the differences between FIG. 8 and FIG. 5 in the configuration are self-explained from the layouts and are not further described in detail.
  • the DP SRAM cell 240 is a portion of the DP SRAM cell 100 of FIG. 1 in a particular configuration.
  • the ratio R of the DP SRAM cell 240 is 3/2.
  • FIG. 9 is a top view of a DP SRAM device 242 in another embodiment.
  • the first inverter of the DP SRAM cell 242 includes 5 pull-down devices formed of FinFETs PD- 11 , PD- 12 , PD- 13 , PD- 14 and PD- 15 .
  • the second inverter of the DP SRAM cell 242 includes 5 pull-down devices formed of FinFETs PD- 21 , PD- 22 , PD- 23 , PD- 24 and PD- 25 .
  • the pass-gate device PG- 1 through PG- 4 each includes two nFinFETs.
  • the ratio R of the DP SRAM cell 242 is 5/4.
  • FIG. 10 is a top view of a DP SRAM device 244 .
  • the first inverter of the DP SRAM cell 242 includes 4 pull-down devices. More specifically, the pull-down device PD- 11 includes 2 nFinFETs formed in the P-well. Similarly, each of the pull-down devices PD- 12 , PD- 21 and PD- 22 includes 2 nFinFETs formed in the P-well.
  • the ratio R of the DP SRAM cell 244 is 2/1.
  • FIG. 11 is a top view of a DP SRAM device 246 .
  • each of the pull-down devices PD- 11 , PD- 12 , PD- 21 and PD- 22 includes 3 nFinFETs formed on the P-well.
  • Each of the pass-down devices PG- 1 , PG- 2 , PG- 3 and PG- 4 includes 2 nFinFETs formed in the P-well.
  • the ratio R of the DP SRAM cell 246 is 3/2.
  • FIG. 12 is a top view of a SRAM cell 248 .
  • the pull-up devices, pull-down devices and pass-gate devices are all formed as FinFETs.
  • the DP SRAM cell 248 is designed have a rectangular shape with a long dimension (length) along a first direction 116 and a short dimension (width) along the second direction 118 .
  • the DP SRAM includes a N-well 120 disposed in the center of the cell and a P-well disposed on the both side portions (first portion 122 a and second portion 122 b ) of the SRAM cell 248 .
  • Two or more fin active features 132 and 134 are formed in the N-well 120 and oriented in the second direction 118 .
  • the two fin active features 132 and 134 are configured to form two pull-up devices PU- 1 and PU- 2 .
  • a plurality of fin active features are formed in the P-well and oriented in the second direction.
  • the plurality of fin active features are configured to form various pull-down devices and pass-gate devices.
  • the number of the pull-down devices and number of the pass-gate devices are chosen such that the ratio R is greater than 1.
  • the fin active features 125 - 1 through 125 - n are formed in the first portion of the P-well 122 a .
  • the pull-down devices PD- 11 through PD- 1 n of the first inverter are lined up in parallel and formed in the first portion 122 a of the P-well.
  • the parameter “n” is an integer. Only 5 fin active features and 5 pull-down devices are shown in FIG. 12 for illustration. The parameter “n” is not limited to 5.
  • the various gates are oriented in the first direction 116 .
  • a first gate 136 is designed as a straight line, formed in the first portion of the P-well, oriented in the first direction and crossed over the corresponding fin active features 125 - 1 through 125 - n to form the pull-down devices PD- 11 through PD- 1 n of the first inverter.
  • the first gate is further extended to the N-well and configured to form the PU- 1 .
  • the gates of the pull-down devices and pull-up device(s) of the first inverter are intrinsically connected.
  • the second inverter is designed and configured similarly to form a balanced structure.
  • the fin active features 129 - 1 through 129 - n are formed in the second portion of the P-well 122 b .
  • the pull-down devices PD- 21 through PD- 2 n of the second inverter are lined up in parallel and formed in the second portion 122 b of the P-well.
  • a second gate 138 is designed as a straight line, formed in the second portion of the P-well, oriented in the first direction and crossed over the corresponding fin active features 129 - 1 through 129 - n to form the pull-down devices PD- 21 through PD- 2 n of the second inverter.
  • the second gate 138 is further extended to the N-well and configured to form the PU- 2 .
  • the gates of the pull-down devices and pull-up device(s) of the second inverter are intrinsically connected.
  • the SRAM cells in FIGS. 4 and 8-11 are several exemplary embodiments of the SRAM cell 248 .
  • FIG. 13 is a top view of a SRAM cell 250 in another embodiment.
  • the pull-up devices, pull-down devices and pass-gate devices are all formed as FinFETs.
  • the DP SRAM cell 250 is designed have a rectangular shape with a long dimension (length) along a first direction 116 and a short dimension (width) along the second direction 118 .
  • the DP SRAM includes a N-well 120 disposed in the center of the cell and a P-well disposed on the both side portions (first portion 122 a and second portion 122 b ) of the SRAM cell.
  • Two or more fin active features 132 and 134 are formed in the N-well 120 and oriented in the second direction 118 .
  • the two fin active features 132 and 134 are configured to form two pull-up devices PU- 1 and PU- 2 .
  • a plurality of fin active features are formed in the P-well and oriented in the second direction.
  • the plurality of fin active features are configured to form various pull-down devices and pass-gate devices.
  • the number of the pull-down devices and number of the pass-gate devices are chosen such that the ratio R is greater than 1.
  • the fin active features 125 - 1 through 125 - n are formed in the first portion of the P-well 122 a .
  • the pull-down devices PD- 11 through PD- 1 n of the first inverter are lined up in parallel and formed on the corresponding fin active features 125 - 1 through 125 - n within the first portion 122 a of the P-well, respectively.
  • the parameter “n” is an integer.
  • the parameter “n” is not limited to 3. Furthermore, the pull-down devices PD- 1 ( n +1) through PD- 1 ( 2 n ) of the first inverter are paired with the pull-down devices PD- 11 through PD- 1 n , respectively, lined up in parallel and formed on the corresponding fin active features 125 - 1 through 125 - n , as illustrated in FIG. 13 .
  • a first gate 136 is designed to include three portions.
  • the first portion of the first gate 136 is designed as a straight line, formed in the first portion of the P-well, oriented in the first direction and crossed over the corresponding fin active features 125 - 1 through 125 - n to form the pull-down devices PD- 11 through PD- 1 n of the first inverter.
  • the second portion of the first gate 136 is also designed as a straight line, formed in the first portion of the P-well, oriented in the first direction and crossed over the corresponding fin active features 125 - 1 through 125 - n to form the pull-down devices PD- 1 ( n +1) through PD- 1 ( 2 n ) of the first inverter.
  • the first portion of the first gate 136 is further extended to the N-well and configured to form the pull-up device PU- 1 .
  • the first gate 136 further includes a third portion designed as a straight line oriented in the second direction 118 and is connected to the first and second portions of the first gate 136 .
  • the gates of the pull-down devices and pull-up device(s) of the first inverter are intrinsically connected.
  • the second inverter is designed and configured similarly to form a balanced structure.
  • the fin active features 129 - 1 through 129 - n are formed in the second portion of the P-well 122 b .
  • the pull-down devices PD- 21 through PD- 2 n of the second inverter are lined up in parallel and formed on the corresponding fin active features 129 - 1 through 129 - n within the second portion 122 b of the P-well, respectively.
  • the pull-down devices PD- 2 ( n +1) through PD- 2 ( 2 n ) of the second inverter are paired with the pull-down devices PD- 21 through PD- 2 n , respectively, lined up in parallel and formed on the corresponding fin active features 129 - 1 through 129 - n , as illustrated in FIG. 13 .
  • a second gate 138 is designed to include three portions.
  • the first portion of the second gate 138 is designed as a straight line, formed in the second portion of the P-well, oriented in the first direction and crossed over the corresponding fin active features 129 - 1 through 129 - n to form the pull-down devices PD- 21 through PD- 2 n of the second inverter.
  • the second portion of the second gate 138 is also designed as a straight line, formed in the second portion of the P-well, oriented in the first direction and crossed over the corresponding fin active features 129 - 1 through 129 - n to form the pull-down devices PD- 2 ( n +1) through PD- 2 ( 2 n ) of the second inverter.
  • the first portion of the second gate 138 is further extended to the N-well and configured to form the pull-up device PU- 2 .
  • the second gate 138 further includes a third portion designed as a straight line oriented in the second direction 118 and is connected to the first and second portions of the second gate 138 .
  • the gates of the pull-down devices and pull-up device(s) of the second inverter are intrinsically connected.
  • the pass-gates may be similarly paired such that each fin active feature includes two pass-gate fin transistors.
  • FIG. 14 is a top view of a DP SRAM device 252 in another embodiment.
  • the DP SRAM device 252 includes 4 pull-down devices PD- 11 , PD- 12 , PD- 13 and PD- 14 for the first inverter.
  • the DP SRAM device 252 also includes 4 pull-down devices PD- 21 , PD- 22 , PD- 23 and PD- 24 for the second inverter.
  • the DP SRAM device 252 further includes 4 pass-gate devices PG- 1 , PG- 2 , PG- 3 and PG- 4 configured as shown in FIG. 14 .
  • DP SRAM device 252 further includes various contact features configured and designed for different routing functions.
  • the DP SRAM device 252 includes rectangular contact features oriented in the first direction 116 for drain connections. In another example, the DP SRAM device 252 includes rectangular contact features oriented in the second direction 118 for source and gate connections.
  • the DP SRAM device 252 also includes various metal features (various non-shading rectangles) formed in a first metal layer as illustrated in FIG. 14 .
  • the ratio R of the DP SRAM device 252 is 2/1.
  • FIG. 15 is a top view of a DP SRAM device 254 in another embodiment.
  • the DP SRAM device 254 includes 6 pull-down devices PD- 11 , PD- 12 , PD- 13 , PD- 14 , PD- 15 and PD- 16 for the first inverter.
  • the DP SRAM device 254 also includes 6 pull-down devices PD- 21 , PD- 22 , PD- 23 , PD- 24 , PD- 25 and PD- 26 for the second inverter.
  • the DP SRAM device 254 further includes 8 pass-gate devices PG- 11 , PG- 12 , PG- 21 , PG- 22 , PG- 31 , PG- 32 , PG- 41 and PG- 42 configured as shown in FIG. 15 .
  • DP SRAM device 254 further includes various contact features configured and designed for different routing functions.
  • the DP SRAM device 254 includes rectangular contact features oriented in the first direction 116 for drain connections.
  • the DP SRAM device 254 includes rectangular contact features oriented in the second direction 118 for source and gate connections.
  • the DP SRAM device 254 also includes various metal features (various non-shading rectangles) formed in a first metal layer as illustrated in FIG. 15 .
  • the ratio R of the DP SRAM device 254 is 3/2.
  • the DP SRAM devices 252 of FIG. 14 and 254 of FIG. 15 are two examples of the SRAM cell 252 with segmented gates.
  • FIG. 16 is a portion of a DP SRAM cell in a top view and constructed according to various embodiments. More particularly, an interconnect structure 256 of the DP SRAM cell, such as the DP SRAM cell 252 of FIG. 14 or 254 of FIG. 15 , is constructed and presented in FIG. 16 .
  • the interconnect structure 256 includes a second metal layer with various metal lines oriented in the second direction 118 and a third metal layer with various metal lines oriented in the first direction 116 configured for various routings.
  • FIG. 17 is a schematic view of a dual-port (DP) SRAM cell 258 constructed according to various aspects of the present disclosure in one embodiment.
  • the DP SRAM cell 258 includes a write port configured similar to one port of the SRAM cell 100 of FIG. 1 .
  • the DP SRAM cell 258 also includes a read port having read pull-down devices and read pass-gate devices.
  • the read port includes one or more read pull-down devices configured in parallel and one or more pass-gate devices configured in parallel.
  • the sources of the read pull-down devices are connected power line Vss, the drains of the read pull-down devices are connected to the sources of the read pass-gate devices, and the gates of the read pull-down devices are connected to the drain node of the first inverter.
  • the sources of the read pass-gate devices are connected the drains of the read pull-down devices, the drains of the read pass-gate devices are connected to the read bit lines (read-BL), and the gates of the read pass-gate devices are connected to the read word line (read-WL).
  • the read port includes 2 pull-down devices and 2 pass-gate devices.
  • FIG. 18 is a top view of a DP SRAM device 260 in another embodiment.
  • the DP SRAM device 260 is a portion of the DP SRAM cell 258 in one layout.
  • the read port includes 2 read pull-down devices RPD- 11 and RPD- 12 .
  • the read port also includes 2 read pass-gate devices RPG- 11 and RPG- 12 .
  • the DP SRAM device 260 also includes various contacts and metal lines (non-shading rectangles) of the first metal layer.
  • FIG. 19 is a portion of a DP SRAM cell in a top view and constructed according to various embodiments. More particularly, an interconnect structure 262 of the DP SRAM cell, such as the DP SRAM cell 260 of FIG. 18 , is constructed and illustrated in FIG. 19 .
  • the interconnect structure 262 includes a second metal layer with various metal lines oriented in the second direction 118 and a third metal layer with various metal lines oriented in the first direction 116 configured for various routings.
  • the interconnect structure 262 includes metal lines write bit-line (W-BL), write bit-line bar (W-BLB), read bit-line (R-BL), power line Vdd, and the complimentary power lines Vss.
  • the interconnect structure 262 also includes metal lines write word-line (W-WL) and read word-line (R-WL).
  • the interconnect structure 262 may include other metal features in the first metal layer.
  • the disclosed DP SRAM device addresses various issues noted in the background.
  • the present disclosure provides a dual-port SRAM cell structure and a layout with multiple pull-sown devices and multiple pass-gate devices configured such that the ratio R is greater than 1.
  • the disclosed structure and layout are also good for high-k/metal-gate.
  • the fin active features are straight and some are long and continuous to form two FinFETs, such as pull-down devices and/or pass-gate devices, to provide a better device tracking/matching between the pass-gate devices and pull-down devices on a wider range operation voltage (from the highest to the lowest Vdd operation).
  • the simple shape of the active regions solves pull-down device current crowding issue as well as lithography proximity effect.
  • the higher beta ratio is achieved and provides better static noise margin (SNM) performance for the cell stability.

Abstract

The present disclosure provides a dual port static random access memory (SRAM) cell. The dual-port SRAM cell includes a first and second inverters cross-coupled for data storage, each inverter includes a pull-up device (PU) and a plurality of pull-down devices (PDs); a plurality of pass gate devices configured with the two cross-coupled inverters; and at least two ports coupled with the plurality of pass gate devices (PGs) for reading and writing, wherein each of PU, PDs and PGs includes a fin field-effect transistor (FinFET), a ratio between a number of PDs in the SRAM cell and a number of PGs in the SRAM cell is greater than 1, and a number of FinFETs in the SRAM cell is equal to or greater than 12.

Description

    CROSS REFERENCE
  • This application is a continuation of U.S. patent application Ser. No. 15/074,710, filed Mar. 18, 2016, which is a reissue application of U.S. patent application Ser. No. 12/823,907, filed Jun. 25, 2010, granted as U.S. Pat. No. 8,675,397, the entire disclosure of each which is incorporated herein by reference. The present disclosure is related to the following commonly-assigned U.S. patent applications, the entire disclosures of which are incorporated herein by reference: U.S. Ser. No. 12/721,476 filed Mar. 10, 2010 by the same inventor Jhon Jhy Liaw for “FULLY BALANCED DUAL-PORT MEMORY CELL” (attorney reference TSMC 2009-0686).
  • BACKGROUND
  • In deep sub-micron integrated circuit technology, an embedded static random access memory (SRAM) device has become a popular storage unit of high speed communication, image processing and system-on-chip (SOC) products. For example, a dual port (DP) SRAM device allows parallel operation, such as 1R (read) 1W (write), or 2R (read) in one cycle, and therefore has higher bandwidth than a single port SRAM. In advanced technologies with decreased feature size and increased packing density, low loading and high speed of the cell structure are important factors in embedded memory and SOC products. The thin style SRAM cell structure with short bit-line (BL) provides better performance on BL RC delay. However, the thin style cell structure suffers some problems including data node leakage, devices matching of pull-down (PD)/pass-gate (PG) devices and current crowding, etc. Special operation mode (parallel operation) of the DP SRAM requests more pull down drive capability to cover two-ports of the ON operation mode. This further requires double beta ratio setting for static noise margin (SNM). As such, the PD device width will be around 2× from the single-port cell. To consider reasonable SNM, the device width ratio between PD and PG is around 2˜4 on the DP cell. This results in an L-shape or T-shape layout of the drain node of the PD device, and therefore may suffer the above problems. It is therefore desired to have a new structure and method to address the above issues.
  • SUMMARY
  • The present disclosure provides one embodiment of a dual port static random access memory (SRAM) cell. The dual-port SRAM cell includes a first and second inverters cross-coupled for data storage, each inverter includes a pull-up device (PU) and a plurality of pull-down devices (PDs); a plurality of pass gate devices configured with the two cross-coupled inverters; and at least two ports coupled with the plurality of pass gate devices (PGs) for reading and writing, wherein each of PU, PDs and PGs includes a fin field-effect transistor (FinFET), a ratio between a number of PDs in the SRAM cell and a number of PGs in the SRAM cell is greater than 1, and a number of FinFETs in the SRAM cell is equal to or greater than 12.
  • The present disclosure also provides another embodiment of a dual port SRAM cell. The SRAM cell includes a first set of fin field-effect transistors (FinFETs) having two pull-up devices (PUs), a first number of pull-down devices (PDs) configured to form first and second cross-coupled inverters; a second set of FinFETs having a second number of pass-gate devices (PGs) configured to form at least two ports, wherein a ratio between the first number and the second number is greater than 1.
  • The present disclosure also provides yet another embodiment of a dual port SRAM cell. The dual port SRAM cell includes a first inverter having a first pull-up transistor (PU1) and a first group of pull-down transistors (PDs); a second inverter having a second pull-up transistor (PU2) and a second group of PDs, the second inverter being cross-coupled with the first inverter; a first group of pass-gate transistors (PGs) coupled with the first and second inverters to form a first port; and a second group of PGs coupled with the first and second inverters to form a second port, wherein each of the PDs and PGs includes a n-type fin field-effect transistor (nFinFET) and each of the pull-up transistors includes a p-type fin field-effect transistor (pFinFET), and a ratio between a number of PDs and a number of PGs in the SRAM cell is greater than 1.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion. Various drawings and associated text are provided in a Power Point file. Particularly,
  • FIG. 1 is a schematic view of a dual port static random access memory (DP SRAM) device constructed according to various aspects of the present disclosure in one embodiment.
  • FIG. 2 is a schematic view of a DP SRAM device constructed according to various aspects of the present disclosure in another embodiment.
  • FIG. 3 is a schematic view of a DP SRAM device constructed according to various aspects of the present disclosure in another embodiment.
  • FIGS. 4 and 5 are top views of a DP SRAM device constructed according to various aspects of the present disclosure in one embodiment.
  • FIGS. 6 and 7 are top views of a portion of a DP SRAM device constructed according to various aspects of the present disclosure in various embodiments.
  • FIGS. 8-16, 18-19 are top views of a DP SRAM device or a portion thereof constructed according to various aspects of the present disclosure in various embodiments.
  • FIG. 17 is a schematic view of a DP SRAM device constructed according to various aspects of the present disclosure in yet another embodiment.
  • DETAILED DESCRIPTION
  • It is to be understood that the following disclosure provides many different embodiments, or examples, for implementing different features of various embodiments. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • FIG. 1 is a schematic view of a dual-port (DP) SRAM cell 100 constructed according to various aspects of the present disclosure in one embodiment. The DP SRAM cell 100 includes fin field-effect transistors (FinFETs). The DP SRAM cell 100 includes a first and second inverters that are cross-coupled. The first inverter includes a first pull-up device formed with a p-type fin field-effect transistor (pFinFET), referred to as PU-1. The first inverter also includes a first plurality of pull-down devices formed with n-type fin field-effect transistors (nFinFETs) and configured in parallel mode. Specifically, the drains of the first plurality pull-down devices are electrically connected together, the corresponding sources are electrically connected together, and the corresponding gates are electrically connected together. The second inverter includes a second pull-up device formed with a pFinFET, referred to as PU-2. The second inverter also includes a second plurality of pull-down devices formed with nFinFETs and configured in parallel mode. The number of the first plurality of pull-down devices and the number of the second plurality of pull-down devices are equal for a balanced cell structure. In one embodiment, the first plurality of pull-down devices includes 3 nFinFETs, referred to as PD-11, PD-12 and PG-13, respectively. In one embodiment, the second plurality of pull-down devices include 3 nFinFETs, referred to as PD-21, PD-22 and PG-23, respectively.
  • The drains of PU-1, PD-11, PD-12 and PD-13 are electrically connected together, defining a first drain node (or first node). The drains of PU-2, PD-21, PD-22 and PD-23 are electrically connected together, defining a second drain node (or second node). The gates of PU-1, PD-11, PD-12 and PD-13 are electrically connected and coupled to the second node. The gates of PU-2, PD-21, PD-22 and PD-23 are electrically connected and coupled to the first node. The sources of PU-1 and PU-2 are electrically connected to the power line (Vcc line). The sources of PD-11, PD-12, PD-13, PD-21, PD-22 and PD-23 are electrically connected to a complementary power line (Vss line). In one embodiment of the DP SRAM cell layout, the sources of PD-11, PD-12 and PD-13 are electrically connected to a first Vss line while the sources of PD-21, PD-22 and PD-23 are electrically connected to a second Vss line.
  • The DP SRAM cell 100 further includes a first port (port-A) and a second port (port-B). In one embodiment, the port-A and port-B include at least four pass-gate devices, referred to as PG-1, PG-2, PG-3 and PG-4, respectively. The pass-gate devices each includes a nFinFET. The port-A includes a first pass-gate device (PG-1) and a second pass-gate device (PG-2). The port-B includes a third pass-gate device (PG-3) and a fourth pass-gate device (PG-4). The drain of PG-1 is electrically connected to a first bit-line (referred to as A_BL). The source of PG-1 is electrically connected to the first node. The gate of PG-1 is electrically connected to a first word-line (referred to as port-A WL). The drain of PG-2 is electrically connected to a first bit-line bar (A_BLB). The source of PG-2 is electrically connected to the second node. The gate of PG-2 is electrically connected to a first word-line (port-A WL). The drain of PG-3 is electrically connected to a second bit-line (B_BL). The source of PG-3 is electrically connected to the first node. The gate of PG-3 is electrically connected to the second word-line (port-B WL). The drain of PG-4 is electrically connected to a second bit-line bar (B_BLB). The source of PG-4 is electrically connected to the second node. The gate of PG-4 is electrically connected to the second word-line (port-B WL). Various nFinFETs and pFinFETs may be formed by any proper technology. In one embodiment, the various nFinFETs and pFinFETs are formed by a process including etching a semiconductor to form trenches, partially filling the trenches to form shallow trench isolation (STI) features and fin active regions. In furtherance of the present embodiment, an epitaxy semiconductor layer is selectively formed on the fin active region. In another embodiment, the various FinFETs are formed by a process including depositing a dielectric material layer on the semiconductor substrate, etching the dielectric material layer to form openings thereof, selective epitaxy growing a semiconductor material (such as silicon) on the semiconductor substrate within the openings to form fin active regions and STI features. In another embodiment, the various FinFETs may include strained features for enhanced mobility and device performance. For example, the pFinFETs include epitaxy grown silicon germanium on a silicon substrate. The pFinFETs include epitaxy grown silicon carbide on the silicon substrate. In another embodiment, the various FinFETs are formed using high k/metal gate technology.
  • The cell 100 may include additional devices such as additional pull-down devices and pass-gate devices. Specifically, the first inverter includes a number of pull-down devices configured in parallel similar to the configuration of PD-11, PD-12 and PD-13. More specifically, the drains of the pull-down devices in the first inverter are electrically connected together. The sources of the pull-down devices in the first inverter are electrically connected together. The gates of the pull-down devices in the first inverter are electrically connected together or formed with one continuous gate. The second inverter includes the same number of pull-down devices configured in parallel similar to the configuration of PD-21, PD-22 and PD-23 for balance. Specifically, the drains of the pull-down devices in the second inverter are electrically connected together. The sources of the pull-down devices in the second inverter are electrically connected together. The gates of the pull-down devices in the second inverter are electrically connected together or formed with one continuous gate.
  • The first port includes the first pass-gate device or a number of the first pass-gate devices (still referred to PG-1) configured in parallel. Specifically, the number of the first pass-gate devices are configured such that the drains, sources and gates are electrically connected together, respectively. More specifically, the drains of the first pass-gate devices (PG-1) are electrically connected to a first bit-line (A_BL). The sources of PG-1 are electrically connected to the first node. The gates of PG-1 is electrically connected to a first word-line (port-A WL).
  • Similarly, the first port includes the second pass-gate device or the same number of the second pass-gate devices (still referred to PG-2) configured in parallel. Specifically, the number of the second pass-gate devices are configured such that the drains, sources and gates are electrically connected together, respectively. More specifically, the drains of PG-2 are electrically connected to a first bit-line bar (A_BLB). The sources of PG-2 are electrically connected to the second node. The gates of PG-2 are electrically connected to a first word-line (port-A WL).
  • The second port includes the third pass-gate device or the same number of the third pass-gate devices (still referred to PG-3) configured in parallel. Specifically, the number of the third pass-gate devices are configured such that the drains, sources and gates are electrically connected together, respectively. More specifically, the drains of PG-3 are electrically connected to a second bit-line (B_BL). The sources of PG-3 are electrically connected to the first node. The gates of PG-3 are electrically connected to the second word-line (port-B WL).
  • The second port includes the fourth pass-gate device or the same number of the fourth pass-gate devices (still referred to PG-4) configured in parallel. Specifically, the number of the fourth pass-gate devices are configured such that the drains, sources and gates are electrically connected together, respectively. More specifically, the drains of PG-4 are electrically connected to a second bit-line bar (B_BLB). The sources of PG-4 are electrically connected to the second node. The gates of PG-4 are electrically connected to the second word-line (port-B WL).
  • In the SRAM cell 100, the number of the pull-down devices is greater than the number of the pass-gate devices. Specifically, a ratio “R” is defined as R=Npd/Npg where Npd is a number of the pull-down devices in a SRAM cell and Npg is a number of the pass gate devices in the SRAM cell. The ratio R is greater than 1 to increase sink current, access speed, and device reliability of the SRAM cell. For examples, the ratio is 3/2, 2, or 5/4. The total number of the nFinFETs and pFinFETs in the cell is greater than 12 such that the ratio R is tuned to be greater than 1 in the disclosed configuration. In the present embodiment illustrated in FIG. 1, the ratio R is 3/2 and the total FinFETs in one SRAM cell is 12.
  • FIG. 2 is a schematic view of a dual-port (DP) SRAM cell 102 constructed according to various aspects of the present disclosure in another embodiment. The SRAM cell 102 is similar to the SRAM cell 100 of FIG. 1 except the first inverter includes 4 pull-down devices PD-11, PD-12, PD-13 and PD-14. Likewise, the second inverter includes 4 pull-down devices PD-21, PD-22, PD-23 and PD-24 for a balanced configuration. In this particular embodiment, the ratio R is 4/2=2. The SRAM cell 102 has a total of 14 FinFETs.
  • FIG. 3 is a schematic view of a dual-port (DP) SRAM cell 104 constructed according to various aspects of the present disclosure in another embodiment. The SRAM cell 104 is similar to the SRAM cell 100 of FIG. 1 except for both the number of the pull-down devices and the number of the pass gate devices are doubled. In the SRAM cell 104, the first inverter includes 6 pull-down devices PD-11, PD-12, PD-13, PD-14, PD-15 and PD-16. The second inverter includes 6 pull-down devices PD-21, PD-22, PD-23, PD-24, PD-25 and PD-26. Additionally, the SRAM cell 104 includes 8 pass gate devices configured to form the first and second ports. Specifically, the port-A includes 4 pass-gate devices PG-11, PG-12, PG-21 and PG-22. The port-B includes 4 pass-gate devices PG-31, PG-32, PG-41 and PG-42. The drains of PG-11 and PG-12 are electrically connected to a first bit-line (A_BL). The sources of PG-11 and PG-12 are electrically connected to the first node. The gates of PG-11 and PG-12 are electrically connected to a first word-line (referred to as port-A WL). The drains of PG-21 and PG-22 are electrically connected to a first bit-line bar (A_BLB). The sources of PG-21 and PG-22 are electrically connected to the second node. The gates of PG-21 and PG-22 are electrically connected to a first word-line (port-A WL). The drains of PG-31 and PG-32 are electrically connected to a second bit-line (B_BL). The sources of PG-31 and PG-32 are electrically connected to the first node. The gates of PG-31 and PG-32 are electrically connected to the second word-line (port-B WL). The drains of PG-41 and PG-42 are electrically connected to a second bit-line bar (B_BLB). The sources of PG-41 and PG-42 are electrically connected to the second node. The gates of PG-41 and PG-42 are electrically connected to the second word-line (port-B WL). In the present embodiment, the ratio R is 6/4=3/2. The SRAM cell 104 has a total of 22 FinFETs.
  • FIG. 4 is a top view of a DP SRAM cell 110 constructed according to various aspects of the present disclosure in one embodiment. In one embodiment, the DP SRAM cell 110 is a portion of the DP SRAM cell 100 in a particular configuration. The DP SRAM cell 110 includes one cell of DP SRAM and is formed on a semiconductor substrate. The semiconductor substrate includes silicon. Alternatively, the substrate includes germanium, silicon germanium or other proper semiconductor materials. The semiconductor substrate may include other proper features and structures. In one embodiment, the semiconductor substrate employs a layer of semiconductor material formed over an insulating layer on a supporting bulk wafer for isolation. The technology and structure are referred to as semiconductor on isolation (SOI). The SOI structure can be formed by different techniques including separation by implanted oxygen (SIMOX), bonding and etching back (BESOI), and zone melting and recrystallization (ZMR).
  • The DP SRAM cell 110 is formed in a unit cell region 112 of the semiconductor substrate. The unit cell region 112 is defined by the unit cell boundary 114. In one embodiment, the unit cell region 112 is defined in a rectangular shape spanning to a first dimension 116 in a first direction and spanning to a second dimension 118 in a second direction perpendicular to the first direction. The first dimension 116 is longer than the second dimension 118. The first and second dimensions (116 and 118) are referred to as a longer pitch and a shorter pitch, respectively. The first and second directions are also referred to by numerals 116 and 118, respectively. The SRAM cell 110 includes a N-well region 120 disposed in the central portion of the cell. The SRAM cell 110 further includes a P-well region 122 disposed on the both sides of the N-well 120. In one embodiment, the N-Well 120 and P-well 122 are extended to multiple cells beyond the unit cell boundary. For example, the N-well 120 and P-well 122 are extended to 4 or more cells in the second direction.
  • Various active regions are defined in the substrate by isolation features and are isolated from each other by the isolation features. The isolation features are formed in the semiconductor substrate with a proper technology. In one embodiment, the isolation features are formed by a shallow trench isolation (STI) technique. In another embodiment, the isolation features are alternatively formed by a local oxidation of silicon (LOCOS) technique. In yet another embodiment, the formation of the STI features includes etching a trench in a substrate and filling the trench by one or more insulator materials such as silicon oxide, silicon nitride, or silicon oxynitride. The filled trench may have a multi-layer structure such as a thermal oxide liner layer with silicon nitride filling the trench. The active regions are defined in the semiconductor substrate upon the formation of the isolation features.
  • The DP SRAM cell 110 utilizes fin active regions (fin active features) to form fin transistors, such as FinFETs. The fin active regions are formed on the semiconductor substrate and defined within the SRAM cell 110. The fin active regions is formed by a suitable technology and may be formed in a process to form both the STI features and the fin active regions. In one embodiment, the fin active regions are formed by a process including etching a semiconductor to form trenches, partially filling the trenches to form shallow trench isolation (STI) features and fin active regions interdigitized with each other. In furtherance of the present embodiment, an epitaxy semiconductor layer is selectively formed on the fin active region. In another embodiment, the fin active regions are formed by a process including depositing a dielectric material layer on a semiconductor substrate, etching the dielectric material layer to form openings thereof, and selective epitaxy growing a semiconductor material (such as silicon) on the semiconductor substrate within the openings to form fin active regions and the isolation features. In yet another embodiment, the various FinFETs may include strained features for enhanced mobility and device performance. For example, the pFinFETs include epitaxy grown silicon germanium on a silicon substrate. The pFinFETs include epitaxy grown silicon carbide on the silicon substrate.
  • In one embodiment, the DP SRAM cell 110 includes a first active region 124, a second active region 126, a third active region 128 and a fourth active region 130 formed in the P-well 122. The DP SRAM cell 110 further includes a fifth active region 132 and a sixth active region 134 formed in the N-well 120. The first active region 124 through the sixth active region 134 are disposed along the second dimension. The first through sixth active regions or a subset thereof may be extended to multiple cells, such as 4 or more cells in the second direction.
  • In one embodiment, each of the active regions includes one or more fin active features configured to form various FinFETs. In another embodiment, at least some of the first active region 124 through the fourth active region 130 in the P-well 122 include multiple fin active features. In each fin active feature, a pull-down device (PD), a pass-gate device (PG), or a combination thereof can be formed. Particularly, each fin active feature includes one PD, one PG, two PDs, two PGs, or PD/PG (one PD and one PG). In the present embodiment, the first active region 124 includes one fin active feature (still referred to as 124) oriented in the second direction 118. The pass-gate PG-2 is formed on the fin active feature 124. The second active feature 126 includes three fin active features lined up, referred to as 126 a, 126 b and 126 c, respectively. The fin active feature 126 b is interposed between the fin active features 126 a and 126 c. The pull-down devices PD-11, PD-12 and PD-13 are formed on the fin active features 126 a, 126 b and 126 c, respectively. The fin active feature 126 b is extended longer than the fin active features 126 a and 126 c. The pass-gate device PG-1 is formed on the fin active feature 126 b as illustrated in FIG. 4.
  • Similarly, for a balanced structure of the SRAM cell 110, the third active region 128 includes one fin active feature (still referred to as 128) oriented in the second direction 118. The pass-gate PG-3 is formed on the fin active feature 128. The fourth active feature 130 includes three fin active features lined up, referred to as 130 a, 130 b and 130 c, respectively. The fin active feature 130 b is interposed between the fin active features 130 a and 130 c. The pull-down devices PD-21, PD-22 and PD-23 are formed on the fin active features 130 a, 130 b and 130 c, respectively. The fin active feature 130 b is extended longer than the fin active features 130 a and 130 c. The pass-gate device PG-4 is formed on the fin active feature 130 b as illustrated in FIG. 4.
  • Various gate features are formed within the DP SRAM cell 110 for various nFinFETs and pFinFETs. A gate feature includes a gate dielectric layer (such as silicon oxide) and a gate electrode (such as doped polysilicon) disposed on the gate dielectric layer. In another embodiment, the gate feature alternatively or additionally includes other proper materials for circuit performance and manufacturing integration. For example, the gate dielectric layer includes high k dielectric material layer. The gate electrode includes metal, such as aluminum, copper, tungsten or other proper conductive material. Various gates are oriented in the first direction 116 and configured with the various active regions to form the pull-up devices, pull-down devices and pass-gate devices.
  • In the present embodiment, a long gate 136 is disposed over the fin active features 126 a, 126 b and 126 c and further extends over the fifth active feature 132, forming PD-11, PD-12, PD-13 and PU-1, respectively. Similarly, another long gate 138 is disposed over the fin active features 130 a, 130 b and 130 c and further extended over the sixth active feature 134, forming PD-21, PD-22, PD-23 and PU-2, respectively. A short gate 140 is disposed on the active features 124 and 126 b and configured to form PG-2 and PG-1, respectively. Similarly, another short gate 144 is disposed on the active features 128 and 130 b and configured to form PG-3 and PG-4, respectively.
  • In another embodiment of the configuration as illustrated in FIG. 4, the first active region 124 through the fourth active region 130 in the P-well 122 and the associated pull-down devices and pass-gate devices are symmetrically disposed on the two sides of the N-well 120 with symmetrical interconnect routing.
  • FIG. 5 illustrates a top view of the DP SRAM cell 110, including interconnect routings. Various interconnect structures may be utilized to couple the nFinFETs and pFinFETs to form the functional DP SRAM cell. In one embodiment, the drain of PD-12 is electrically connected to the source of PG-1 by sharing a common doped region, a region defined in the fin active region 126 b and positioned between the PD-12 and PG-1.
  • In another embodiment, the drain of PD-12 is electrically connected to the source of PG-1 by a silicide feature (not shown) formed on the common doped region within the fin active region 126 b. The silicide feature is formed by a process known in the art such as self-aligned silicide (salicide) and can be formed together with other contact silicide in a same processing procedure.
  • In yet another embodiment, the drain of PD-12 is electrically connected to the source of PG-1 by a contact feature designed to contact both the drain of PD-12 and the source of PG-1. The geometries of the contacts are to be further described later. Similarly, the drain of PD-22 and the source of PG-4 are electrically connected in a way similar to the connection between the drain of PD-12 and the source of PG-1, such as by a silicide feature.
  • The source of the pass-gate PG-2 is configured to electrically connect to the gate 136 by various interconnect technique. In one embodiment, the interconnect between the source to the gate is achieved by a local interconnect (LI) technology. In one embodiment, the local interconnect is formed using the gate electrode material, such as polysilicon. In this situation, the polysilicon is used not only to form gate electrode but also to form interconnect. More particularly, the gate electrode is extended to the targeted source region and directly lands on the silicon substrate within the targeted source region.
  • Alternatively, if the gate electrode is a metal gate, then the metal gate is extended to form the local interconnect. The LI features and gates are formed during a same processing procedure. In another embodiment, this routing can be alternatively achieved by an intra-cell routing through a contact feature designed to land on both the targeted gate and the source. Similarly, the source of PG-3 is electrically connected to the gate 138.
  • Referring to FIG. 5, the DP SRAM cell 110 further includes various contacts (shown as
    Figure US20190147928A1-20190516-P00001
    and labeled as 126) on gates, drain nodes, Vss connection, and various landing pads (such as silicide feature). The contact features are positioned and configured for routing including electrically connecting the doped regions or the gates to a metal layer. Additionally or alternatively, the contact features are designed to have various geometries to function as a local interconnect.
  • In one embodiment, one or more contact features in the SRAM cell 110 are designed in a square shape for normal contact function, such as contact features 146-1 through 146-8. In one example, contact features 146-1 through 146-8 are routed to the corresponding metal lines in the first metal layer or the second metal layer. In another embodiment, one or more contact features are designed in a rectangular shape oriented in the first direction 116 to function as contacting drains (or sources) of the multiple pull-down devices in the same inverter, such as those contact features 146-9 through 146-12. In another embodiment, one or more contact features are designed in a rectangular shape oriented in the second direction 118 to function as contacting a drain/source feature to a gate, such as those contact features 146-13 through 146-16.
  • In various embodiments, the contact feature 146-1 is routed to the bit-line BL-B; the contact feature 146-2 is routed to the word-line WL-B; the contact feature 146-3 is routed to the bit-line BL-B-bar; the contact feature 146-4 is routed to the Vcc power line; the contact feature 146-5 is routed to the Vcc power line; the contact feature 146-6 is routed to the bit-line BL-A-bar; the contact feature 146-7 is routed to the bit-line BL-A; the contact feature 146-8 is routed to the word-line WL-A; the contact feature 146-9 is routed to the complimentary power line Vss; the contact feature 146-10 is designed to electrically connect the drains of PD-21, PD-22, PD-23 and PU-2; the contact feature 146-12 is designed to electrically connect the drains of PD-11, PD-12, PD-13 and PU-1; the contact feature 146-12 is routed to the complimentary power line Vss; the contact feature 146-13 is designed to electrically connect the gate 138 and the source of the PG-3; the contact feature 146-14 is designed to electrically connect the gate 138 and the drain of the PU-1; the contact feature 146-15 is designed to electrically connect the gate 136 and the drain of the PU-2; and the contact feature 146-16 is designed to electrically connect the gate 136 and the source of the PG-2.
  • FIGS. 6 and 7 are top views of the DP SRAM cell 110 constructed according to various aspects of the present disclosure in one embodiment. More particularly, various interconnect structures 180 in the DP SRAM cell 110 are constructed and presented in FIGS. 6 and 7. In one embodiment, the DP SRAM cell 110 includes at least three interconnect layers (i.e. metal layers). The contact features are described with reference to FIG. 5. The interconnect structure 180 of the DP SRAM cell 110 includes a second interconnect layer (referred to as second metal layer or M2) disposed over the first metal layer, and a third interconnect layer (referred to as third metal layer or M3) disposed over the second metal layer. The previously described elements of the DP SRAM cell 110 are eliminated from FIG. 6 for simplicity.
  • Referring to FIG. 6, the second metal layer includes various metal lines substantially aligned in the second direction of the DP SRAM cell 110. In one embodiment, the second metal layer includes a power line (Vcc line) 182. The Vcc line 182 is electrically connected to the Vcc landing pads through the respective contacts. The Vcc line 182 is substantially positioned in the center portion of the cell 112 in the first dimension of the cell. The second metal layer also includes complementary power lines, such as first Vss line 184 and the second Vss line 186 positioned at both sides of the Vcc line 182. The first and second Vss lines (184 and 186) are electrically connected to the first and second Vss connects, respectively.
  • The second metal layer includes first word lines (WL-A) 188 and 190 positioned on the border of the cell, respectively. The first word lines 188 and 190 are electrically connected to the first and second word contacts 146-2 and 146-8 of FIG. 5. The second metal layer includes a first bit line (A-BL) 192 and a second bit line (B-BL) 194 electrically connected to the corresponding bit-line contacts 146-7 and 146-1 of FIG. 5, respectively. The second metal layer includes a first bit line bar (A-BL-bar) 196 and a second bit line bar (B-BL-bar) 198 electrically connected to the corresponding bit-line contacts 146-6 and 146-3 of FIG. 5, respectively. In various embodiments, the metal lines in the second metal layer have different configurations.
  • Still referring to FIG. 6, various vias for coupling the second metal layer to the third metal layer are properly configured and formed on the second metal layer. In one embodiment, the vias on the second metal layer include a first via 208 landing on the first word line 190 of the second metal layer, a second via 212 landing on the first word line 188 of the second metal layer. In the present embodiment, at least one of the Vdd line and the Vss lines is configured between the two bit-lines for noise shielding.
  • Referring to FIG. 7, the interconnect structure 180 of the DP SRAM cell 110 includes various metal lines in the third metal layer for word line routing. The metal lines in the third metal layer are substantially aligned along the first direction of the cell 110. The third metal layer includes a first word line (WL-A) 204 and a second word line (WL-B) 206. The first word line 204 is electrically connected to the gates of PG-1 and PG-2 through the first via 208. The second word line 206 is electrically connected to the gates of PG-3 and PG-4 through the second via 212.
  • The various metal lines may be configured and/or assigned differently according to the configurations of the various pull-up devices, pull-down devices and pass-gate devices. In an alternative embodiment with reference to FIGS. 6 and 7, the second metal layer includes a first bit line bar (A-BL-bar) 192 and a second bit line (B-BL) 194 electrically connected to the corresponding bit-line contacts 146-7 and 146-1 of FIG. 5, respectively. The second metal layer includes a first bit line (A-BL)196 and a second bit line bar (B-BL-bar) 198 electrically connected to the corresponding bit-line contacts 146-6 and 146-3 of FIG. 5, respectively.
  • FIG. 8 is a top view of a DP SRAM device 240 in another embodiment, similar to the SRAM cell 110 of FIG. 5. The differences between FIG. 8 and FIG. 5 in the configuration are self-explained from the layouts and are not further described in detail. In one embodiment, the DP SRAM cell 240 is a portion of the DP SRAM cell 100 of FIG. 1 in a particular configuration. The ratio R of the DP SRAM cell 240 is 3/2.
  • FIG. 9 is a top view of a DP SRAM device 242 in another embodiment. In one embodiment, the first inverter of the DP SRAM cell 242 includes 5 pull-down devices formed of FinFETs PD-11, PD-12, PD-13, PD-14 and PD-15. The second inverter of the DP SRAM cell 242 includes 5 pull-down devices formed of FinFETs PD-21, PD-22, PD-23, PD-24 and PD-25. The pass-gate device PG-1 through PG-4 each includes two nFinFETs. The ratio R of the DP SRAM cell 242 is 5/4.
  • FIG. 10 is a top view of a DP SRAM device 244. In one embodiment, the first inverter of the DP SRAM cell 242 includes 4 pull-down devices. More specifically, the pull-down device PD-11 includes 2 nFinFETs formed in the P-well. Similarly, each of the pull-down devices PD-12, PD-21 and PD-22 includes 2 nFinFETs formed in the P-well. The ratio R of the DP SRAM cell 244 is 2/1.
  • FIG. 11 is a top view of a DP SRAM device 246. In one embodiment, each of the pull-down devices PD-11, PD-12, PD-21 and PD-22 includes 3 nFinFETs formed on the P-well. Each of the pass-down devices PG-1, PG-2, PG-3 and PG-4 includes 2 nFinFETs formed in the P-well. The ratio R of the DP SRAM cell 246 is 3/2.
  • In another embodiment, FIG. 12 is a top view of a SRAM cell 248. In FIG. 12, some features are eliminated for simplicity. The similar features illustrated in FIG. 12 use similar numerals of FIG. 4. The pull-up devices, pull-down devices and pass-gate devices are all formed as FinFETs. The DP SRAM cell 248 is designed have a rectangular shape with a long dimension (length) along a first direction 116 and a short dimension (width) along the second direction 118. The DP SRAM includes a N-well 120 disposed in the center of the cell and a P-well disposed on the both side portions (first portion 122 a and second portion 122 b) of the SRAM cell 248. Two or more fin active features 132 and 134 are formed in the N-well 120 and oriented in the second direction 118. The two fin active features 132 and 134 are configured to form two pull-up devices PU-1 and PU-2. A plurality of fin active features are formed in the P-well and oriented in the second direction.
  • The plurality of fin active features are configured to form various pull-down devices and pass-gate devices. The number of the pull-down devices and number of the pass-gate devices are chosen such that the ratio R is greater than 1. Particularly, the fin active features 125-1 through 125-n are formed in the first portion of the P-well 122 a. The pull-down devices PD-11 through PD-1 n of the first inverter are lined up in parallel and formed in the first portion 122 a of the P-well. The parameter “n” is an integer. Only 5 fin active features and 5 pull-down devices are shown in FIG. 12 for illustration. The parameter “n” is not limited to 5. The various gates are oriented in the first direction 116. A first gate 136 is designed as a straight line, formed in the first portion of the P-well, oriented in the first direction and crossed over the corresponding fin active features 125-1 through 125-n to form the pull-down devices PD-11 through PD-1 n of the first inverter. The first gate is further extended to the N-well and configured to form the PU-1. Thus the gates of the pull-down devices and pull-up device(s) of the first inverter are intrinsically connected.
  • The second inverter is designed and configured similarly to form a balanced structure. In one embodiment, the fin active features 129-1 through 129-n are formed in the second portion of the P-well 122 b. The pull-down devices PD-21 through PD-2 n of the second inverter are lined up in parallel and formed in the second portion 122 b of the P-well. A second gate 138 is designed as a straight line, formed in the second portion of the P-well, oriented in the first direction and crossed over the corresponding fin active features 129-1 through 129-n to form the pull-down devices PD-21 through PD-2 n of the second inverter. The second gate 138 is further extended to the N-well and configured to form the PU-2. Thus the gates of the pull-down devices and pull-up device(s) of the second inverter are intrinsically connected. The SRAM cells in FIGS. 4 and 8-11 are several exemplary embodiments of the SRAM cell 248.
  • FIG. 13 is a top view of a SRAM cell 250 in another embodiment. In FIG. 13, some features are eliminated for simplicity. The similar features illustrated use similar numerals of the FIG. 4. The pull-up devices, pull-down devices and pass-gate devices are all formed as FinFETs. The DP SRAM cell 250 is designed have a rectangular shape with a long dimension (length) along a first direction 116 and a short dimension (width) along the second direction 118. The DP SRAM includes a N-well 120 disposed in the center of the cell and a P-well disposed on the both side portions (first portion 122 a and second portion 122 b) of the SRAM cell. Two or more fin active features 132 and 134 are formed in the N-well 120 and oriented in the second direction 118. The two fin active features 132 and 134 are configured to form two pull-up devices PU-1 and PU-2.
  • A plurality of fin active features are formed in the P-well and oriented in the second direction. The plurality of fin active features are configured to form various pull-down devices and pass-gate devices. The number of the pull-down devices and number of the pass-gate devices are chosen such that the ratio R is greater than 1. Particularly, the fin active features 125-1 through 125-n are formed in the first portion of the P-well 122 a. The pull-down devices PD-11 through PD-1 n of the first inverter are lined up in parallel and formed on the corresponding fin active features 125-1 through 125-n within the first portion 122 a of the P-well, respectively. The parameter “n” is an integer. Only 3 fin active features and 3 pull-down devices are shown in FIG. 13 for illustration. The parameter “n” is not limited to 3. Furthermore, the pull-down devices PD-1(n+1) through PD-1(2 n) of the first inverter are paired with the pull-down devices PD-11 through PD-1 n, respectively, lined up in parallel and formed on the corresponding fin active features 125-1 through 125-n, as illustrated in FIG. 13.
  • A first gate 136 is designed to include three portions. The first portion of the first gate 136 is designed as a straight line, formed in the first portion of the P-well, oriented in the first direction and crossed over the corresponding fin active features 125-1 through 125-n to form the pull-down devices PD-11 through PD-1 n of the first inverter. The second portion of the first gate 136 is also designed as a straight line, formed in the first portion of the P-well, oriented in the first direction and crossed over the corresponding fin active features 125-1 through 125-n to form the pull-down devices PD-1(n+1) through PD-1(2 n) of the first inverter. The first portion of the first gate 136 is further extended to the N-well and configured to form the pull-up device PU-1. The first gate 136 further includes a third portion designed as a straight line oriented in the second direction 118 and is connected to the first and second portions of the first gate 136. Thus the gates of the pull-down devices and pull-up device(s) of the first inverter are intrinsically connected.
  • The second inverter is designed and configured similarly to form a balanced structure. In one embodiment, the fin active features 129-1 through 129-n are formed in the second portion of the P-well 122 b. The pull-down devices PD-21 through PD-2 n of the second inverter are lined up in parallel and formed on the corresponding fin active features 129-1 through 129-n within the second portion 122 b of the P-well, respectively. Furthermore, the pull-down devices PD-2(n+1) through PD-2(2 n) of the second inverter are paired with the pull-down devices PD-21 through PD-2 n, respectively, lined up in parallel and formed on the corresponding fin active features 129-1 through 129-n, as illustrated in FIG. 13.
  • A second gate 138 is designed to include three portions. The first portion of the second gate 138 is designed as a straight line, formed in the second portion of the P-well, oriented in the first direction and crossed over the corresponding fin active features 129-1 through 129-n to form the pull-down devices PD-21 through PD-2 n of the second inverter. The second portion of the second gate 138 is also designed as a straight line, formed in the second portion of the P-well, oriented in the first direction and crossed over the corresponding fin active features 129-1 through 129-n to form the pull-down devices PD-2(n+1) through PD-2(2 n) of the second inverter. The first portion of the second gate 138 is further extended to the N-well and configured to form the pull-up device PU-2. The second gate 138 further includes a third portion designed as a straight line oriented in the second direction 118 and is connected to the first and second portions of the second gate 138. Thus the gates of the pull-down devices and pull-up device(s) of the second inverter are intrinsically connected. In another embodiment, the pass-gates may be similarly paired such that each fin active feature includes two pass-gate fin transistors.
  • FIG. 14 is a top view of a DP SRAM device 252 in another embodiment. The DP SRAM device 252 includes 4 pull-down devices PD-11, PD-12, PD-13 and PD-14 for the first inverter. The DP SRAM device 252 also includes 4 pull-down devices PD-21, PD-22, PD-23 and PD-24 for the second inverter. The DP SRAM device 252 further includes 4 pass-gate devices PG-1, PG-2, PG-3 and PG-4 configured as shown in FIG. 14. DP SRAM device 252 further includes various contact features configured and designed for different routing functions. For example, the DP SRAM device 252 includes rectangular contact features oriented in the first direction 116 for drain connections. In another example, the DP SRAM device 252 includes rectangular contact features oriented in the second direction 118 for source and gate connections. The DP SRAM device 252 also includes various metal features (various non-shading rectangles) formed in a first metal layer as illustrated in FIG. 14. The ratio R of the DP SRAM device 252 is 2/1.
  • FIG. 15 is a top view of a DP SRAM device 254 in another embodiment. The DP SRAM device 254 includes 6 pull-down devices PD-11, PD-12, PD-13, PD-14, PD-15 and PD-16 for the first inverter. The DP SRAM device 254 also includes 6 pull-down devices PD-21, PD-22, PD-23, PD-24, PD-25 and PD-26 for the second inverter. The DP SRAM device 254 further includes 8 pass-gate devices PG-11, PG-12, PG-21, PG-22, PG-31, PG-32, PG-41 and PG-42 configured as shown in FIG. 15. DP SRAM device 254 further includes various contact features configured and designed for different routing functions. For example, the DP SRAM device 254 includes rectangular contact features oriented in the first direction 116 for drain connections. In another example, the DP SRAM device 254 includes rectangular contact features oriented in the second direction 118 for source and gate connections. The DP SRAM device 254 also includes various metal features (various non-shading rectangles) formed in a first metal layer as illustrated in FIG. 15. The ratio R of the DP SRAM device 254 is 3/2. The DP SRAM devices 252 of FIG. 14 and 254 of FIG. 15 are two examples of the SRAM cell 252 with segmented gates.
  • FIG. 16 is a portion of a DP SRAM cell in a top view and constructed according to various embodiments. More particularly, an interconnect structure 256 of the DP SRAM cell, such as the DP SRAM cell 252 of FIG. 14 or 254 of FIG. 15, is constructed and presented in FIG. 16. The interconnect structure 256 includes a second metal layer with various metal lines oriented in the second direction 118 and a third metal layer with various metal lines oriented in the first direction 116 configured for various routings.
  • FIG. 17 is a schematic view of a dual-port (DP) SRAM cell 258 constructed according to various aspects of the present disclosure in one embodiment. The DP SRAM cell 258 includes a write port configured similar to one port of the SRAM cell 100 of FIG. 1. The DP SRAM cell 258 also includes a read port having read pull-down devices and read pass-gate devices. In one embodiment, the read port includes one or more read pull-down devices configured in parallel and one or more pass-gate devices configured in parallel. The sources of the read pull-down devices are connected power line Vss, the drains of the read pull-down devices are connected to the sources of the read pass-gate devices, and the gates of the read pull-down devices are connected to the drain node of the first inverter. The sources of the read pass-gate devices are connected the drains of the read pull-down devices, the drains of the read pass-gate devices are connected to the read bit lines (read-BL), and the gates of the read pass-gate devices are connected to the read word line (read-WL). In the present embodiment, the read port includes 2 pull-down devices and 2 pass-gate devices.
  • FIG. 18 is a top view of a DP SRAM device 260 in another embodiment. In one embodiment, the DP SRAM device 260 is a portion of the DP SRAM cell 258 in one layout. In FIG. 18, the read port includes 2 read pull-down devices RPD-11 and RPD-12. The read port also includes 2 read pass-gate devices RPG-11 and RPG-12. The DP SRAM device 260 also includes various contacts and metal lines (non-shading rectangles) of the first metal layer.
  • FIG. 19 is a portion of a DP SRAM cell in a top view and constructed according to various embodiments. More particularly, an interconnect structure 262 of the DP SRAM cell, such as the DP SRAM cell 260 of FIG. 18, is constructed and illustrated in FIG. 19. The interconnect structure 262 includes a second metal layer with various metal lines oriented in the second direction 118 and a third metal layer with various metal lines oriented in the first direction 116 configured for various routings. In the present embodiment, the interconnect structure 262 includes metal lines write bit-line (W-BL), write bit-line bar (W-BLB), read bit-line (R-BL), power line Vdd, and the complimentary power lines Vss. The interconnect structure 262 also includes metal lines write word-line (W-WL) and read word-line (R-WL). The interconnect structure 262 may include other metal features in the first metal layer.
  • In various embodiments, the disclosed DP SRAM device addresses various issues noted in the background. The present disclosure provides a dual-port SRAM cell structure and a layout with multiple pull-sown devices and multiple pass-gate devices configured such that the ratio R is greater than 1. The disclosed structure and layout are also good for high-k/metal-gate. One or more other advantages may present in various embodiments. In one example, the fin active features are straight and some are long and continuous to form two FinFETs, such as pull-down devices and/or pass-gate devices, to provide a better device tracking/matching between the pass-gate devices and pull-down devices on a wider range operation voltage (from the highest to the lowest Vdd operation). In another example, the simple shape of the active regions solves pull-down device current crowding issue as well as lithography proximity effect. In another example of lower operation voltage, the higher beta ratio is achieved and provides better static noise margin (SNM) performance for the cell stability.
  • The foregoing has outlined features of several embodiments. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A static random access memory (SRAM) cell including a plurality of fin field-effect transistors (FINFETs), the SRAM cell comprising:
a first fin and a second fin on a semiconductor substrate in a first well region, wherein each of the first and second fins includes source, channel, and drain regions of a pull-up FINFET associated with at least one of the first and second fins;
a third fin on the semiconductor substrate in a second well region, wherein the third fin includes source, channel, and drain regions of a pull-down FINFET associated with the third fin, wherein the third fin is spaced apart from a first side of the first and second fins;
a fourth fin on the semiconductor substrate in the second well region, wherein the fourth fin includes source, channel, and drain regions of a pull-down FINFET associated with the fourth fin, wherein the fourth fin is spaced apart from a second side opposite to the first side of the first and second fins, wherein the first, second, third, and fourth fins extend in a first direction;
a first gate layer formed on at least one of the first and second fins and at least one of the third and fourth fins, wherein the first gate layer includes gate regions of a pull-down FINFET associated with at least one of the first and second fins;
a second gate layer formed on at least one the first, second, and fourth fins, wherein the second gate layer includes gate regions of at least one pull-down FINFET and at least one pull-up FINFET associated with at least one of the first, second, and fourth fins;
a third gate layer formed on the third fin, wherein the third gate layer includes gate regions of at least one pass gate FINFET associated with the third fin;
a fourth gate layer formed on the fourth fin, wherein the fourth gate layer includes gate regions of at least one pass gate FINFET associated with the fourth fin, wherein the first, second, third and fourth gate layers extend in a second direction perpendicular to the first direction;
a first contact feature formed on the third and fourth fins between the first and third gate layers, wherein the first contact feature extends in the second direction; and
a second contact feature formed on the first fin for connecting the first contact feature with the second gate layer, wherein the second contact feature extends in the first direction.
2. The SRAM cell of claim 1, wherein the first well region is one of a n-well region and a p-well region and the second well region is another one of the n-well region and the p-well region.
3. The SRAM cell of claim 1, wherein the first gate layer is formed on the first fin and the second gate layer is formed on the second fin.
4. The SRAM cell of claim 1, wherein, along the second direction, each of the contact features has a dimension greater than a dimension of the fin where the respective contact feature is formed on.
5. The SRAM cell of claim 1, further comprising:
a third contact feature on the first fin for connecting to a first power line; and
a fourth contact feature on the second fin for connecting to the first power line.
6. The SRAM cell of claim 5, wherein the first power line connects to one of VCC and VSS and a second power line connects to another one of VCC and VSS.
7. The SRAM cell of claim 1, further comprising a read port that includes at least two pull-down FINFETs in parallel and at least two pass gate FINFETs in parallel.
8. The SRAM cell of claim 1, further comprising a first common region on the third fin defined by the source and drain regions of a pull down FINFET and a pass gate FINFET associated with the third fin connected in series therethrough.
9. The SRAM cell of claim 8, further comprising a second common region on the fourth fin defined by the source and drain regions of a pull down FINFET and a pass gate FINFET associated with the fourth fin connected in series therethrough.
10. The SRAM cell of claim 9, wherein the first contact feature is formed on the first common region and the second contact feature is formed on the second common region.
11. A static random access memory (SRAM) cell including a plurality of fin field-effect transistors (FINFETs), the SRAM cell comprising:
a first fin on a semiconductor substrate in an n-well region;
second, third, fourth, and fifth fins on the semiconductor substrate in a p-well region;
a sixth fin on the semiconductor substrate in the n-well region;
seventh, eighth, ninth, and tenth fins on the semiconductor substrate in another p-well region, wherein the first fin and the seventh through tenth fins are on opposite sides of the sixth fin, wherein the first through tenth fins are distributed along a first direction and oriented along a second direction that is perpendicular to the first direction,
wherein the first fin includes source, channel, and drain regions of a first pull-up FINFET,
wherein the second, third, and fourth fins include source, channel, and drain regions of first, second, third pull-down FINFETs, respectively,
wherein the third fin further includes source, channel, and drain regions of a first pass gate FINFET,
wherein the fifth fin includes source, channel, and drain regions of a second pass gate FINFET,
wherein the sixth fin includes source, channel, and drain regions of a second pull-up FINFET,
wherein the seventh, eighth, and ninth fins include source, channel, and drain regions of fourth, fifth, and sixth pull-down FINFETs respectively, and the eighth fin further includes source, channel, and drain regions of a third pass gate FINFET,
wherein the tenth fin includes source, channel, and drain regions of a fourth pass gate FINFET;
a first contact feature that electrically connects to the drain region of the first pull-up FINFET;
a second contact feature that electrically connects to the second pull-up FINFET; and
a read port that includes at least two pull-down FINFETs and at least two pass gate FINFETs.
12. The SRAM cell of claim 11, further comprising:
a first gate electrode oriented in the first direction and over the channel regions of the first pull-up FINFET and the first, second, and third pull-down FINFETs;
a second gate electrode oriented in the first direction and over the channel regions of the second pull-up FINFET and the fourth, fifth, and sixth pull-down FINFETs;
a third gate electrode oriented in the first direction and over the channel regions of the first and second pass gate FINFETs; and
a fourth gate electrode oriented in the first direction and over the channel regions of the third and fourth pass gate FINFETs.
13. The SRAM cell of claim 12, further comprising:
a third contact feature that electrically connects the source region of the first pull-up FINFET to a first power line;
a fourth contact feature that electrically connects the source regions of the first, second, and third pull-down FINFETs to a second power line;
a fifth contact feature that electrically connects the drain region of the first pass gate FINFET to a first bit line;
a sixth contact feature that electrically connects the drain region of the second pass gate FINFET to a second bit line;
a seventh contact feature that electrically connects the third gate electrode to a first word line;
an eighth contact feature that electrically connects the source region of the second pull-up FINFET to the first power line;
a ninth contact feature that electrically connects the source regions of the fourth, fifth, and sixth pull-down FINFETs to the second power line;
a tenth contact feature that electrically connects the drain region of the third pass gate FINFET to a third bit line;
an eleventh contact feature that electrically connects the drain region of the fourth pass gate FINFET to a fourth bit line; and
a twelfth contact feature that electrically connects the fourth gate electrode to a second word line.
14. The SRAM cell of claim 12, further comprising:
a third contact feature that electrically connects to the first contact feature and the second gate electrode, wherein the first and third contact features form an L-shape.
15. The SRAM cell of claim 14, wherein the first and third contact features and the second gate electrode are in a same interconnect level of the SRAM cell.
16. The SRAM cell of claim 14, further comprising:
a fourth contact feature that electrically connects to the second contact feature and the first gate electrode, wherein the second and fourth contact features form an L-shape.
17. The SRAM cell of claim 16, wherein the second and fourth contact features and the first gate electrode are in a same interconnect level of the SRAM cell.
18. The SRAM cell of claim 12, further comprising:
a first conductive feature that electrically connects to the source region of the second pass gate FINFET and the first gate electrode; and
a second conductive feature that electrically connects to the source region of the fourth pass gate FINFET and the second gate electrode.
19. A dual port static random access memory (SRAM) cell including a plurality of fin field-effect transistors (FINFETs), the SRAM cell comprising:
a first fin on a semiconductor substrate in an n-well region;
second, third, fourth, and fifth fins on the semiconductor substrate in a p-well region;
a sixth fin on the semiconductor substrate in the n-well region;
seventh, eighth, ninth, and tenth fins on the semiconductor substrate in a second p-well region,
wherein the first and sixth fins include source, channel, and drain regions of first and second pull-up FINFETs,
wherein the second, third, and fourth fins include source, channel, and drain regions of first, second, and third pull-down FINFETs respectively,
wherein the third fin further includes source, channel, and drain regions of a first pass gate FINFET,
wherein the fifth fin includes source, channel, and drain regions of a second pass gate FINFETs,
wherein the seventh, eighth, and ninth fins include source, channel, and drain regions of fourth, fifth, and sixth pull-down FINFETs respectively, and the eighth fin further includes source, channel, and drain regions of a third pass gate FINFET,
wherein the tenth fin includes source, channel, and drain regions of a fourth pass gate FINFET;
a first gate electrode oriented in a first direction and over the channel regions of the first pull-up FINFET and the first, second, and third pull-down FINFETs;
a second gate electrode oriented in the first direction and over the channel regions of the second pull-up FINFET, and the fourth, fifth, and sixth pull-down FINFETs;
a first contact feature that electrically connects to at least the drain region of the first pull-up FINFET, the drain regions of the first, second, and third pull-down FINFETs, the source region of the first pass gate FINFET, and the second gate electrode; and
a second contact feature that electrically connects to at least the drain region of the second pull-up FINFET, the drain regions of the fourth, fifth, and sixth pull-down FINFETs, the source region of the third pass gate FINFET, and the first gate electrode.
20. The SRAM cell of claim 19, further comprising a read port that includes at least two pull-down FINFETs in parallel and at least two pass gate FINFETs in parallel.
US16/246,670 2010-06-25 2019-01-14 Cell Structure for Dual-Port SRAM Abandoned US20190147928A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/246,670 US20190147928A1 (en) 2010-06-25 2019-01-14 Cell Structure for Dual-Port SRAM

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/823,907 US8675397B2 (en) 2010-06-25 2010-06-25 Cell structure for dual-port SRAM
US15/074,710 USRE47207E1 (en) 2010-06-25 2016-03-18 Cell structure for dual-port SRAM
US16/246,670 US20190147928A1 (en) 2010-06-25 2019-01-14 Cell Structure for Dual-Port SRAM

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/074,710 Continuation USRE47207E1 (en) 2010-06-25 2016-03-18 Cell structure for dual-port SRAM

Publications (1)

Publication Number Publication Date
US20190147928A1 true US20190147928A1 (en) 2019-05-16

Family

ID=45352440

Family Applications (3)

Application Number Title Priority Date Filing Date
US12/823,907 Ceased US8675397B2 (en) 2010-03-10 2010-06-25 Cell structure for dual-port SRAM
US15/074,710 Active 2030-12-29 USRE47207E1 (en) 2010-06-25 2016-03-18 Cell structure for dual-port SRAM
US16/246,670 Abandoned US20190147928A1 (en) 2010-06-25 2019-01-14 Cell Structure for Dual-Port SRAM

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US12/823,907 Ceased US8675397B2 (en) 2010-03-10 2010-06-25 Cell structure for dual-port SRAM
US15/074,710 Active 2030-12-29 USRE47207E1 (en) 2010-06-25 2016-03-18 Cell structure for dual-port SRAM

Country Status (3)

Country Link
US (3) US8675397B2 (en)
CN (1) CN102298963A (en)
SG (1) SG177040A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200365589A1 (en) * 2019-05-17 2020-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuit Device and Method of Forming the Same
US11521676B2 (en) * 2020-04-30 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with asymmetric interconnection

Families Citing this family (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7908578B2 (en) 2007-08-02 2011-03-15 Tela Innovations, Inc. Methods for designing semiconductor device with dynamic array section
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US7446352B2 (en) 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US9424889B1 (en) * 2015-02-04 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-port SRAM device
US9099172B2 (en) * 2013-01-02 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-port SRAM connection structure
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
US7939443B2 (en) 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
MY152456A (en) 2008-07-16 2014-09-30 Tela Innovations Inc Methods for cell phasing and placement in dynamic array architecture and implementation of the same
US8153493B2 (en) 2008-08-28 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET process compatible native transistor
US8357569B2 (en) 2009-09-29 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating finfet device
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
US8110466B2 (en) 2009-10-27 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Cross OD FinFET patterning
US8415718B2 (en) 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8675397B2 (en) * 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM
US8964455B2 (en) * 2010-03-10 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a SRAM circuit
US8942030B2 (en) * 2010-06-25 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for SRAM cell circuit
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8212295B2 (en) 2010-06-30 2012-07-03 Taiwan Semiconductor Manufacturing Company, Ltd. ROM cell circuit for FinFET devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9130058B2 (en) 2010-07-26 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Forming crown active regions for FinFETs
US8659072B2 (en) * 2010-09-24 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Series FinFET implementation schemes
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
US8633076B2 (en) 2010-11-23 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for adjusting fin width in integrated circuitry
US9472550B2 (en) 2010-11-23 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Adjusted fin width in integrated circuitry
US8796124B2 (en) 2011-10-25 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Doping method in 3D semiconductor device
WO2013106799A1 (en) * 2012-01-13 2013-07-18 Tela Innovations, Inc. Circuits with linear finfet structures
US9099199B2 (en) 2012-03-15 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell and memory array
KR20140049356A (en) 2012-10-17 2014-04-25 삼성전자주식회사 Semiconductor device
US8779528B2 (en) 2012-11-30 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell comprising FinFETs
KR102072407B1 (en) 2013-05-03 2020-02-03 삼성전자 주식회사 Memory device and method for operating the same
CN104183268B (en) * 2013-05-21 2017-11-03 中芯国际集成电路制造(上海)有限公司 SRAM structure
US9076552B2 (en) * 2013-07-08 2015-07-07 Globalfoundries Inc. Device including a dual port static random access memory cell and method for the formation thereof
US8913455B1 (en) * 2013-07-29 2014-12-16 Xilinx, Inc. Dual port memory cell
WO2015019411A1 (en) * 2013-08-06 2015-02-12 ルネサスエレクトロニクス株式会社 Semiconductor integrated circuit device
CN109616445B (en) * 2013-09-27 2022-09-27 株式会社索思未来 Semiconductor integrated circuit and logic circuit
US8995178B1 (en) * 2013-10-31 2015-03-31 Freescale Semiconductor, Inc. SRAM with embedded ROM
US9202552B2 (en) * 2013-12-13 2015-12-01 Globalfoundries Inc. Dual port SRAM bitcell structures with improved transistor arrangement
CN104751878B (en) * 2013-12-30 2018-03-09 中芯国际集成电路制造(上海)有限公司 The dual-port SRAM structures and its unit of read and write abruption
US9257439B2 (en) 2014-02-27 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET SRAM
CN105336358B (en) * 2014-05-28 2019-01-22 中芯国际集成电路制造(上海)有限公司 A kind of SRAM memory cell, SRAM memory and its control method
US9564211B2 (en) * 2014-06-27 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory chip and layout design for manufacturing same
TWI550607B (en) * 2014-07-24 2016-09-21 智原科技股份有限公司 Write disturbance mitigation circuit for dual port sram
US9418728B2 (en) 2014-07-24 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-port static random-access memory cell
KR20160025056A (en) * 2014-08-25 2016-03-08 삼성전자주식회사 Memory device
US9536596B2 (en) * 2014-08-26 2017-01-03 Qualcomm Incorporated Three-port bit cell having increased width
CN105448326B (en) * 2014-09-01 2018-08-10 中芯国际集成电路制造(上海)有限公司 Static RAM and its layout and access method
CN105719687B (en) * 2014-12-01 2018-12-07 中芯国际集成电路制造(上海)有限公司 A kind of static storage circuit, static storage cell and preparation method thereof
US9859286B2 (en) * 2014-12-23 2018-01-02 International Business Machines Corporation Low-drive current FinFET structure for improving circuit density of ratioed logic in SRAM devices
KR102193633B1 (en) 2014-12-30 2020-12-21 삼성전자주식회사 Dual-port sram devices and methods of manufacturing the same
US9524972B2 (en) 2015-02-12 2016-12-20 Qualcomm Incorporated Metal layers for a three-port bit cell
KR102352154B1 (en) 2015-03-03 2022-01-17 삼성전자주식회사 Integrated circuit device
US9911727B2 (en) 2015-03-16 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Strapping structure of memory circuit
KR102352153B1 (en) 2015-03-25 2022-01-17 삼성전자주식회사 Integrated circuit device and method for manufacturing the same
TWI573138B (en) * 2015-05-08 2017-03-01 修平學校財團法人修平科技大學 7t dual port static random access memory (7)
CN116665733A (en) * 2015-09-25 2023-08-29 太浩研究有限公司 Architecture for transmitting signals to operate static random access memory
TWI573139B (en) * 2015-10-07 2017-03-01 修平學校財團法人修平科技大學 Single port static random access memory
TWI579846B (en) * 2015-12-10 2017-04-21 修平學校財團法人修平科技大學 7t dual port static random access memory
TWI726869B (en) * 2016-02-24 2021-05-11 聯華電子股份有限公司 Layout structure for sram and manufacturing methods thereof
US9646974B1 (en) * 2016-03-25 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-port static random access memory
TWI579861B (en) * 2016-05-03 2017-04-21 修平學校財團法人修平科技大學 Dual port static random access memory
TWI681542B (en) * 2016-05-04 2020-01-01 聯華電子股份有限公司 Layout pattern for sram
KR102457130B1 (en) * 2016-05-17 2022-10-24 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US10020312B2 (en) * 2016-05-18 2018-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory
US9892781B2 (en) * 2016-06-30 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Cell structure for dual-port static random access memory
TWI675454B (en) * 2016-07-04 2019-10-21 聯華電子股份有限公司 Layout pattern for static random access memory
TWI579863B (en) * 2016-07-12 2017-04-21 修平學校財團法人修平科技大學 7t dual port static random access memory
TWI579847B (en) * 2016-11-16 2017-04-21 修平學校財團法人修平科技大學 Seven transistor dual port static random access memory
CN108074930B (en) * 2016-11-17 2020-11-27 中芯国际集成电路制造(上海)有限公司 Memory structure and forming method thereof, memory circuit and working method thereof
US11545495B2 (en) 2017-06-29 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Preventing gate-to-contact bridging by reducing contact dimensions in FinFET SRAM
US10043571B1 (en) * 2017-08-09 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structure
US10134744B1 (en) 2017-08-21 2018-11-20 United Microelectronics Corp. Semiconductor memory device
US10803227B2 (en) * 2017-08-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit layouts with line-end extensions
US10559573B2 (en) 2017-09-22 2020-02-11 United Microelectronics Corp. Static random access memory structure
CN109545252B (en) * 2017-09-22 2021-10-08 联华电子股份有限公司 Layout pattern of static random access memory
CN109979942B (en) * 2017-12-28 2023-02-21 中芯国际集成电路制造(上海)有限公司 Static random access memory and forming method thereof
US10672459B2 (en) * 2018-02-07 2020-06-02 Arm Limited Transition coupling circuitry for memory applications
TWI754722B (en) 2018-02-13 2022-02-11 聯華電子股份有限公司 Layout of semiconductor device, semiconductor device and method of forming the same
CN110739310B (en) 2018-07-20 2022-01-04 联华电子股份有限公司 Layout pattern of static random access memory
TWI766082B (en) * 2018-08-15 2022-06-01 聯華電子股份有限公司 Layout pattern for static random access memory
CN109637570B (en) * 2018-12-12 2020-10-02 上海华力集成电路制造有限公司 Storage unit structure of SRAM
CN109509752B (en) * 2018-12-12 2020-08-04 上海华力集成电路制造有限公司 Storage unit structure of SRAM
US11031336B2 (en) 2019-04-25 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory device having contact element of rectangular shape
US11158368B2 (en) * 2019-09-06 2021-10-26 Coventor, Inc. Static random-access memory cell design
CN110752210B (en) * 2019-10-28 2022-05-27 上海华力集成电路制造有限公司 Layout of dual-port SRAM, dual-port SRAM and manufacturing method thereof
US11444072B2 (en) * 2020-02-25 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-port SRAM structure
TW202141703A (en) 2020-02-25 2021-11-01 台灣積體電路製造股份有限公司 Static random access memory cell
CN114725109A (en) 2021-01-06 2022-07-08 联华电子股份有限公司 Layout pattern of static random access memory and forming method thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110317477A1 (en) * 2010-06-25 2011-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port sram

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5289432A (en) 1991-04-24 1994-02-22 International Business Machines Corporation Dual-port static random access memory cell
US5828597A (en) 1997-04-02 1998-10-27 Texas Instruments Incorporated Low voltage, low power static random access memory cell
US6084820A (en) 1999-01-06 2000-07-04 Virage Logic Corporation Dual port memory device with vertical shielding
JP3226886B2 (en) 1999-01-29 2001-11-05 エヌイーシーマイクロシステム株式会社 Semiconductor memory device and control method thereof
JP4885365B2 (en) * 2000-05-16 2012-02-29 ルネサスエレクトロニクス株式会社 Semiconductor device
JP4357101B2 (en) * 2000-08-23 2009-11-04 株式会社ルネサステクノロジ Semiconductor memory device
JP2003173681A (en) * 2001-12-07 2003-06-20 Mitsubishi Electric Corp Semiconductor memory circuit and latch circuit
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US20040070008A1 (en) * 2002-10-09 2004-04-15 Sun Microsystems, Inc. High speed dual-port memory cell having capacitive coupling isolation and layout design
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6864519B2 (en) * 2002-11-26 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS SRAM cell configured using multiple-gate transistors
US7888201B2 (en) * 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
JP2005142289A (en) * 2003-11-05 2005-06-02 Toshiba Corp Semiconductor storage device
US7023056B2 (en) 2003-11-26 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
US7002258B2 (en) 2003-12-03 2006-02-21 Arm Physical Ip, Inc. Dual port memory core cell architecture with matched bit line capacitances
JP2005175415A (en) 2003-12-05 2005-06-30 Taiwan Semiconductor Manufacturing Co Ltd Integrated circuit device and its manufacturing method
KR100513405B1 (en) 2003-12-16 2005-09-09 삼성전자주식회사 Method for forming fin field effect transistor
US7187036B2 (en) 2004-03-31 2007-03-06 Taiwan Semiconductor Manufacturing Company Connection structure for SOI devices
US6914338B1 (en) 2004-04-06 2005-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell having conductive sill
US7092309B2 (en) 2004-04-30 2006-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Standby mode SRAM design for power reduction
US20050247981A1 (en) 2004-05-10 2005-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device having shielded access lines
US20050253287A1 (en) 2004-05-11 2005-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-port SRAM cell structure
US7257017B2 (en) 2004-05-28 2007-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell for soft-error rate reduction and cell stability improvement
US7112857B2 (en) 2004-07-06 2006-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Devices with different electrical gate dielectric thicknesses but with substantially similar physical configurations
US7176125B2 (en) 2004-07-23 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a static random access memory with a buried local interconnect
US7365432B2 (en) 2004-08-23 2008-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Memory cell structure
US7026689B2 (en) 2004-08-27 2006-04-11 Taiwan Semiconductor Manufacturing Company Metal gate structure for MOS devices
US7244640B2 (en) 2004-10-19 2007-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a body contact in a Finfet structure and a device including the same
US7250657B2 (en) 2005-03-11 2007-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Layout structure for memory arrays with SOI devices
US8405216B2 (en) 2005-06-29 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for integrated circuits
US7807523B2 (en) 2005-07-01 2010-10-05 Synopsys, Inc. Sequential selective epitaxial growth
US8466490B2 (en) 2005-07-01 2013-06-18 Synopsys, Inc. Enhanced segmented channel MOS transistor with multi layer regions
US7605449B2 (en) 2005-07-01 2009-10-20 Synopsys, Inc. Enhanced segmented channel MOS transistor with high-permittivity dielectric isolation material
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7508031B2 (en) 2005-07-01 2009-03-24 Synopsys, Inc. Enhanced segmented channel MOS transistor with narrowed base regions
US7190050B2 (en) 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7247887B2 (en) 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7405994B2 (en) 2005-07-29 2008-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Dual port cell structure
US7485934B2 (en) 2005-10-25 2009-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor structure for SRAM cells
US7675124B2 (en) 2006-02-24 2010-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Memory array structure with strapping cells
US7723806B2 (en) 2006-03-28 2010-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cells and semiconductor memory device using the same
US7586147B2 (en) 2006-04-17 2009-09-08 Taiwan Semiconductor Manufacturing Co. Ltd. Butted source contact and well strap
US7269056B1 (en) 2006-04-27 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Power grid design for split-word line style memory cell
US7403413B2 (en) 2006-06-28 2008-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple port resistive memory cell
US7440356B2 (en) * 2006-07-13 2008-10-21 Lsi Corporation Modular design of multiport memory bitcells
US7577040B2 (en) 2006-07-18 2009-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Dual port memory device with reduced coupling effect
US7864561B2 (en) 2006-07-28 2011-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Cell structure with buried capacitor for soft error rate improvement
US7679947B2 (en) 2006-08-02 2010-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with source and bulk coupled to separate voltage supplies
US7505354B2 (en) 2006-08-10 2009-03-17 Taiwan Semiconductor Manufacturing Co., Ltd. Word line voltage control circuit for memory devices
US7514757B2 (en) 2006-08-31 2009-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Memory formation with reduced metallization layers
US7502273B2 (en) 2006-09-27 2009-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Two-port SRAM with a high speed sensing scheme
US7468902B2 (en) 2006-09-27 2008-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM device with a low operation voltage
US7592675B2 (en) 2006-10-02 2009-09-22 Taiwan Semiconductor Manufacutring Company, Ltd. Partial FinFET memory cell
US7525868B2 (en) 2006-11-29 2009-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-port SRAM device
US7692230B2 (en) 2006-12-06 2010-04-06 Taiwan Semiconductor Manufacturing Co. Ltd. MRAM cell structure
US7660149B2 (en) 2006-12-07 2010-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM cell with separate read and write ports
US7738282B2 (en) 2007-02-15 2010-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure of dual port SRAM
US7529117B2 (en) 2007-03-07 2009-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Design solutions for integrated circuits with triple gate oxides
US8421130B2 (en) 2007-04-04 2013-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing SRAM devices with reduced threshold voltage deviation
US8286114B2 (en) 2007-04-18 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3-dimensional device design layout
US7939862B2 (en) 2007-05-30 2011-05-10 Synopsys, Inc. Stress-enhanced performance of a FinFet using surface/channel orientations and strained capping layers
US8883597B2 (en) 2007-07-31 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8283231B2 (en) 2008-06-11 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. finFET drive strength modification
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8153493B2 (en) 2008-08-28 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET process compatible native transistor
US8737107B2 (en) 2009-01-15 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Memory circuits and routing of conductive layers thereof
US7989355B2 (en) 2009-02-12 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pitch halving
US7862962B2 (en) 2009-01-20 2011-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout design
US8390033B2 (en) 2009-02-23 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Metal structure for memory device
US8144540B2 (en) 2009-04-14 2012-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Two-port 8T SRAM design
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8018007B2 (en) * 2009-07-20 2011-09-13 International Business Machines Corporation Selective floating body SRAM cell
US8472227B2 (en) 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8009463B2 (en) 2009-07-31 2011-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Cell structure for dual port SRAM
US8189368B2 (en) 2009-07-31 2012-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Cell structure for dual port SRAM
US8399935B2 (en) 2009-09-18 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SRAM memory for low power applications
US8120939B2 (en) 2009-09-24 2012-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. ROM cell having an isolation transistor formed between first and second pass transistors and connected between a differential bitline pair
US8174868B2 (en) 2009-09-30 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded SRAM structure and chip
US8258572B2 (en) 2009-12-07 2012-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with FinFETs having multiple fins
US8218354B2 (en) 2009-12-30 2012-07-10 Taiwan Semicondcutor Manufacturing Co., Ltd. SRAM word-line coupling noise restriction
US8315084B2 (en) 2010-03-10 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fully balanced dual-port memory cell

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110317477A1 (en) * 2010-06-25 2011-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port sram
US8675397B2 (en) * 2010-06-25 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Cell structure for dual-port SRAM

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200365589A1 (en) * 2019-05-17 2020-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuit Device and Method of Forming the Same
US11094695B2 (en) * 2019-05-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and method of forming the same
US20210375866A1 (en) * 2019-05-17 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuit Device and Method of Forming the Same
US11955486B2 (en) * 2019-05-17 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and method of forming the same
US11521676B2 (en) * 2020-04-30 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM structure with asymmetric interconnection
TWI820418B (en) * 2020-04-30 2023-11-01 台灣積體電路製造股份有限公司 Semiconductor structure and fabricating method thereof

Also Published As

Publication number Publication date
SG177040A1 (en) 2012-01-30
US8675397B2 (en) 2014-03-18
US20110317477A1 (en) 2011-12-29
CN102298963A (en) 2011-12-28
USRE47207E1 (en) 2019-01-15

Similar Documents

Publication Publication Date Title
US20190147928A1 (en) Cell Structure for Dual-Port SRAM
US9916893B2 (en) Dual-port SRAM connection structure
US9824747B2 (en) Dual-port static random-access memory cell
US10163495B2 (en) Two-port SRAM connection structure
US9892781B2 (en) Cell structure for dual-port static random access memory
US8315084B2 (en) Fully balanced dual-port memory cell
US10147729B2 (en) Structures, devices and methods for memory devices
US9691774B2 (en) Structure and method for SRAM cell circuit
JP5232201B2 (en) Dual port SRAM cell structure
US20170077106A1 (en) FinFET Memory Device
US20160133633A1 (en) Sram cells with vertical gate-all-round mosfets
US20140153322A1 (en) SRAM Cell Comprising FinFETs
TWI768249B (en) Semiconductor structure
US9768179B1 (en) Connection structures for routing misaligned metal lines between TCAM cells and periphery circuits
US11444072B2 (en) Dual-port SRAM structure
US11901352B2 (en) Dual-port SRAM structure
US20240087642A1 (en) Dual-port static random access memory

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LIAW, JHON JHY;REEL/FRAME:048834/0701

Effective date: 20190211

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION