US20190096804A1 - Semiconductor devices and methods of forming the same - Google Patents

Semiconductor devices and methods of forming the same Download PDF

Info

Publication number
US20190096804A1
US20190096804A1 US16/203,632 US201816203632A US2019096804A1 US 20190096804 A1 US20190096804 A1 US 20190096804A1 US 201816203632 A US201816203632 A US 201816203632A US 2019096804 A1 US2019096804 A1 US 2019096804A1
Authority
US
United States
Prior art keywords
layer
layers
tantalum
dielectric layer
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US16/203,632
Other versions
US10510661B2 (en
Inventor
Hon-Lin Huang
Chen-Shien Chen
Chin-Yu Ku
Kuan-Chih Huang
Wei-Li Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US16/203,632 priority Critical patent/US10510661B2/en
Publication of US20190096804A1 publication Critical patent/US20190096804A1/en
Application granted granted Critical
Publication of US10510661B2 publication Critical patent/US10510661B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5227Inductive arrangements or effects of, or between, wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/10Inductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer

Definitions

  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layers over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon.
  • an inductor is a passive electrical component that can store energy in a magnetic field created by an electric current passing through it.
  • Inductors may be utilized in a wide variety of applications. However, there are many challenges related to inductors.
  • FIG. 1A is a schematic cross-sectional view of a semiconductor device in accordance with some embodiments
  • FIG. 1B is an enlarged view of an indicated portion B of FIG. 1A .
  • FIG. 2A to FIG. 2H are schematic cross-sectional views of a method of forming a semiconductor structure in accordance with some embodiments.
  • FIG. 3 is a flowchart showing a method of forming a semiconductor device in accordance with some embodiments of the disclosure.
  • first and first features are formed in direct contact
  • additional features may be formed between the second and first features, such that the second and first features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath”, “below”, “lower”, “on”, “over”, “overlying”, “above”, “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • testing structures may be included to aid in the verification testing of the 3D packaging or 3DIC devices.
  • the testing structures may include, for example, test pads formed in a redistribution layer or on a substrate that allows the testing of the 3D packaging or 3DIC, the use of probes and/or probe cards, and the like.
  • the verification testing may be performed on intermediate structures as well as the final structure.
  • the structures and methods disclosed herein may be used in conjunction with testing methodologies that incorporate intermediate verification of known good dies to increase the yield and decrease costs.
  • FIG. 1A is a schematic cross-sectional view of a semiconductor device in accordance with some embodiments
  • FIG. 1B is an enlarged view of an indicated portion B of FIG. 1A .
  • the substrate 100 is a semiconductor substrate, such as a silicon substrate, a silicon-on-insulator (SOI) substrate, a silicon germanium substrate, or a substrate formed of other suitable semiconductor materials.
  • the substrate 100 may be a P-type substrate or an N-type substrate and may have doped regions therein.
  • the substrate 100 may have a first area 10 and a second area 20 adjacent to the first area 10 .
  • the first area 10 is an area where a semiconductor structure is formed
  • the second area 20 is a package area configured to bond the semiconductor structure to an application specific integrated circuit (ASIC).
  • ASIC application specific integrated circuit
  • the second area 20 provides an electrical connection where an electrical connector (e.g., a ball/bump) may be placed.
  • An electrical circuit 102 is formed on the substrate 100 in the first area 10 .
  • the electrical circuit 102 includes gate stacks formed by dielectric layers and electrode layers.
  • the dielectric layers may include an interfacial layer (IL) and a high-k layer having a dielectric constant greater than about 4, greater than about 8 or even greater than about 10.
  • the dielectric layers are deposited by suitable techniques, such as chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD), thermal oxidation, a combination thereof, or the like.
  • the electrode layers may include a single layer or multiple layers, such as a metal layer, a liner layer, a wetting layer, an adhesion layer, a combination thereof, or the like, and may be formed by ALD, PVD, CVD or the like.
  • An inter-layer dielectric (ILD) layer 104 is formed over the substrate 100 in the first and second areas 10 and 20 .
  • the ILD layer 104 covers the electrical circuit 102 .
  • the ILD layer 104 includes a low-k dielectric material having a dielectric constant less than about 4, less than about 3.5 or even less than about 3.
  • the low-k material includes phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorinated silicate glass (FSG), silicon oxycarbide (SiO x C y ), Spin-On-Glass (SOG), Spin-On-Polymer (SOP), a combination thereof, or the like.
  • the ILD layer 104 may be formed by a suitable method, such as spinning, CVD or plasma-enhanced CVD (PECVD).
  • PECVD plasma-enhanced CVD
  • the ILD layer 104 may have a single-layer or multi-layer structure.
  • Contacts 105 are formed through the ILD layer 104 to provide electrical contacts to the electrical circuit 102 .
  • openings are formed in the ILD layer 104 by photolithography and etching processes.
  • the openings may be lined with a diffusion barrier layer and/or an adhesion layer (not shown), and filled with a conductive material.
  • the diffusion barrier layer incudes TaN, Ta, TiN, Ti, CoW, a combination thereof, or the like, and the conductive material includes copper, tungsten, aluminum, silver, a combination thereof, or the like.
  • An interconnect structure 107 is formed over the substrate 100 in the first and second areas 10 and 20 .
  • the interconnect structure 107 is formed on the ILD layer 104 .
  • the interconnect structure 107 includes one or more inter-metal dielectric (IMD) layers 106 and the associated metallization features 108 (e.g., metal layers and vias) embedded in the IMD layers 106 .
  • IMD inter-metal dielectric
  • the one or more IMD layers 106 and the associated metallization features 108 are used to interconnect the electrical circuit 102 to each other and to provide an external electrical connection.
  • the IMD layers 106 include a low-k dielectric material having a dielectric constant less than about 4, less than about 3.5 or even less than about 3.
  • the low-k material includes PSG, BPSG, FSG, SiO x C y , SOG, SOP, a combination thereof, or the like.
  • the IMD layers 106 is formed by a suitable method, such as spinning, CVD or PECVD.
  • one or more insulating etch stop layers may be positioned between adjacent ones of the dielectric layers, e.g., the ILD layer 104 and the IMD layers 106 .
  • the insulating etch stop layers are formed of a dielectric material having a different etch selectivity from adjacent layers.
  • the insulating etch stop layers may be formed of SiN, SiCN, SiCO, a combination thereof, or the like, and may be formed by a suitable method, such as CVD or PECVD.
  • a passivation layer 110 is formed over the substrate 100 in the first and second areas 10 and 20 .
  • the passivation layer 110 is formed on the IMD layers 106 .
  • the passivation layer 110 may be formed of a dielectric material, such as SiN, a plasma-enhanced oxide (PEOX), a plasma-enhanced SiN (PE-SiN), plasma-enhanced undoped silicate glass (PE-USG), a high density plasma (HDP) chemical vapor deposition (CVD) oxide, a combination thereof, or the like.
  • the passivation layer 110 is formed to protect the underlying layers from various environmental contaminants.
  • a semiconductor structure 1 is then formed on the substrate 100 in the first area 10 .
  • the semiconductor structure 1 may be an inductor structure 1 , and includes a post-passivation interconnect (PPI) structure 112 over the substrate 100 and a magnetic layer 128 , for example.
  • PPI post-passivation interconnect
  • the PPI structure 112 is a spiral, and the magnetic layer 128 is disposed in a central region of the spiral, for example.
  • the PPI structure 112 includes aluminum, copper or a copper alloy. In some embodiments, the PPI structure 112 may be formed by an electro-plating process. In alternative embodiments, the PPI structure 112 may include a copper layer coated with electro-less nickel electro-less palladium immersion gold (ENEPIG), which includes a nickel layer, a palladium layer on the nickel layer, and a gold layer on the palladium layer. The gold layer may be formed using immersion plating. In yet alternative embodiments, other conductive materials may be used to form the PPI structure 112 .
  • EPIG electro-less nickel electro-less palladium immersion gold
  • the PPI structure 112 includes a first conductive layer 113 , a second conductive layer 132 and a via 131 therebetween.
  • the second conductive layer 132 is electrically connected to the first conductive layer 113 through the via 131 , and the first conductive layer 113 , the via 131 and the second conductive layer 132 constitute a spiral structure (not shown).
  • the semiconductor structure 1 includes the first conductive layer 113 , a dielectric layer 115 , an etch stop stack 120 , the magnetic layer 128 , a dielectric layer 130 and the second conductive layer 132 .
  • the first conductive layer 113 is over the passivation layer 110 .
  • the dielectric layer 115 is formed over the first conductive layer 113 .
  • the magnetic layer 128 is over the dielectric layer 115 .
  • the etch stop stack 120 is between the dielectric layer 115 and the magnetic layer 128 .
  • the dielectric layer 130 is over the first conductive layer 113 and encapsulates the magnetic layer 128 , the etch stop stack 120 and the dielectric layer 115 .
  • the second conductive layer 132 is over the dielectric layer 130 .
  • the etch stop stack 120 includes a plurality of tantalum layers (Ta layers) 124 - 1 and a plurality of tantalum oxide layers (TaO layers) 124 - 2 .
  • the etch stop stack 120 includes a dielectric layer 122 and a plurality of unit layers 124 between the dielectric layer 122 and the magnetic layer 128 , and each of the unit layers 124 includes the Ta layer 124 - 1 and the TaO layer 124 - 2 on the Ta layer 124 - 1 .
  • the Ta layers 124 - 1 and the TaO layers 124 - 2 are disposed alternately from bottom to top.
  • the lowermost Ta layer 124 - 1 of the etch stop stack 120 is adjacent to and in physical contact with the dielectric layer 122 .
  • the topmost TaO layer 124 - 2 of the etch stop stack 120 is adjacent to and in physical contact with the magnetic layer 128 .
  • the present disclosure in not limited thereto.
  • the Ta layers 124 - 1 and the TaO layer 124 - 2 may have other arrangement, for example, the lowermost layer of the etch stop stack 120 may be the TaO layer 124 - 2 , or the topmost layer of the etch stop stack 120 may be the Ta layer 124 - 1 .
  • the total number of the Ta layers 124 - 1 and the TaO layer 124 - 2 may be odd.
  • the etch stop stack 120 may consist of the Ta layers 124 - 1 and the TaO layers 124 - 2 , that is, the dielectric layer 122 is omitted.
  • the unit layers 124 are formed by a suitable method, such as performing a plurality of cycles, and each cycle includes forming the Ta layer 124 - 1 and performing an oxidation process on the Ta layer 124 - 1 to form the TaO layer 124 - 2 .
  • a suitable method such as performing a plurality of cycles
  • each cycle includes forming the Ta layer 124 - 1 and performing an oxidation process on the Ta layer 124 - 1 to form the TaO layer 124 - 2 .
  • three unit layers 124 are formed by performing three cycles of forming the Ta layer 124 - 1 and performing an oxidation process on the Ta layer 124 - 1 to form the TaO layer 124 - 2 .
  • a top portion of the Ta layer 124 - 1 is oxidized to form the TaO layer 124 - 2 .
  • the Ta layer 124 - 1 may be formed by a suitable method, such as CVD or PECVD, and the oxidation process is a thermal oxidation process. In some alternative embodiments, the Ta layer 124 - 1 may be formed by a deposition process such as CVD or PECVD.
  • the dielectric layer 122 includes SiN, SiC, SiCN, SiCO, SiON, SiO x , nitrogen-oxide-nitrogen (NON), oxide-nitrogen-oxide (ONO) or a combination thereof, or the like, and may be formed by a suitable method, such as CVD or PECVD.
  • the etch selectivity of the magnetic layer 128 to the etch stop stack 120 ranges from about 1:10 to 1:300.
  • a thickness ratio of the Ta layer 124 - 1 and the TaO layer 124 - 2 is 1:1 to 4:1. In some embodiments, a thickness ratio of the unit layers 124 and the dielectric layer 122 ranges from about 50:1 to 1.67:1. In some embodiments, a total thickness of the unit layers 124 ranges from about 100 angstroms to 600 angstroms. If the TaO layer 124 - 2 is too thick (i.e., the thickness ratio less than 1:1), cracking of the etch stop stack 120 or the delamination of the etch stop stack 120 form the underlying layer may occur.
  • a thickness of the dielectric layer 122 ranges from about 1000 angstroms to 5000 angstroms. If the thickness of the dielectric layer 122 is out of the above range, the delamination of the etch stop stack 120 form the underlying layer may occur.
  • the dimension of the etch stop stack 120 is greater than the magnetic layer 128 , as shown in FIG. 1A . However, the present disclosure in not limited thereto. In alternative embodiments, the dimension of the etch stop stack 120 can be equal to or less than the magnetic layer 128 .
  • the etch stop stack 120 in FIG. 1A is illustrated as having three unit layers 124 .
  • Such etch stop stack 120 including three unit layers 124 is merely an example of the etch stop stack 120 .
  • the number of unit layers 124 of the etch stop stack 120 is not limited by the embodiments of the disclosure. It should be appreciated by those skilled in the art that the number of unit layers 124 can be adjusted upon the process requirements.
  • the etch stop stack 120 includes a center section 120 a and an edge section 120 b connected to and surrounding the center section 120 a .
  • the center section 120 a is overlapped with the magnetic layer 128 , and the edge section 120 b is protruded from the magnetic layer 128 and has an inclined sidewall 126 .
  • the center section 120 a is contact with the magnetic layer 128 and substantially has a uniform thickness.
  • the edge section 120 b is like a tail with a gradually decreasing thickness.
  • the included angle ⁇ 1 between a bottom surface of the unit layers 124 and a line tangent to the inclined sidewall 126 - 1 of the unit layers 124 ranges from about 10 degrees to 60 degrees.
  • the included angle ⁇ 2 between a bottom surface of the dielectric layer 122 and a line tangent to the inclined sidewall 126 - 2 of the dielectric layer 122 ranges from about 20 degrees to 90 degrees.
  • the included angle ⁇ 1 is substantially less than the included angle ⁇ 2 , as shown in FIG. 1B .
  • the present disclosure in not limited thereto.
  • the included angle ⁇ 1 can be equal to or greater than the included angle ⁇ 2 .
  • the included angle ⁇ 1 is substantially formed by the bottom surface of the bottommost Ta layer 124 - 1 and at least one sidewall of the Ta layers 124 - 1 and the TaO layers 124 - 2 disposed on the bottommost Ta layer 124 - 1 .
  • the magnetic layer 128 includes a magnetic metal material containing cobalt (Co), zirconium (Zr), tantalum (Ta) and niobium (Nb), rhenium (Re), neodymium (Nd), praseodymium (Pr), dysprosium (Dy) or a combination thereof.
  • the magnetic layer 128 includes an amorphous cobalt (Co) alloy including cobalt (Co) and zirconium (Zr). Zirconium (Zr) helps to make cobalt (Co) amorphous.
  • magnetic layer 128 includes a cobalt-zirconium (CoZr) alloy having one or more additional elements, such as tantalum (Ta) and niobium (Nb).
  • magnetic layer 128 includes a cobalt-zirconium (CoZr) alloy having one or more additional elements, such as a rare earth element, that helps to increase the ferromagnetic resonance of the cobalt-zirconium (CoZr) alloy.
  • the rare earth element include rhenium (Re), neodymium (Nd), praseodymium (Pr), or dysprosium (Dy).
  • the magnetic layer 128 includes CoZrTa, CoZr, CoZrRe, NiFe or a combination thereof.
  • the magnetic layer 128 may be formed by a suitable method such as PVD, CVD or the like.
  • the magnetic layer 128 is insulated from the PPI structure 112 by the dielectric layer 130 , the dielectric layer 115 and the etch stop stack 120 .
  • the dielectric layer 115 is disposed between the bottom of the etch stop stack 120 and the first conductive layer 113 .
  • the dielectric layer 115 includes silicon oxide, silicon nitride, silicon oxynitride or a combination thereof.
  • the dielectric layer 115 may be formed by a suitable method such as CVD, ALD, PVD, thermal oxidation or the like.
  • the dielectric layer 130 encapsulates the sidewall and the top of the magnetic layer 128 .
  • the dielectric layer 130 further encapsulates the sidewall of the etch stop stack 120 .
  • the dielectric layer 130 may be formed of a polymer such as an epoxy, polyimide, benzocyclobutene (BCB), polybenzoxazole (PBO) or the like.
  • the dielectric layer 130 may be formed by a suitable method such as spin-coating, lamination, deposition or the like.
  • another dielectric layer 134 is further included in the semiconductor structure 1 .
  • the dielectric layer 134 is formed on the dielectric layer 130 and encapsulates the second conductive layer 132 .
  • the dielectric layer 134 may be formed of a polymer such as an epoxy, polyimide, benzocyclobutene (BCB), polybenzoxazole (PBO) or the like.
  • the material of the dielectric layer 134 can be the same as or different from that of the dielectric layer 130 .
  • the dielectric layer 134 may be formed by a suitable method such as spin-coating, lamination, deposition or the like.
  • an under bump metallization (UBM) layer 138 and a bump 140 are formed over the substrate 100 in the second area 20 .
  • the dielectric layer 130 is further formed on the substrate 100 in the second area 20 .
  • the second conductive layer 132 may be formed as a connecting pad at an end of the spiral structure in the second area 20 , for example.
  • the dielectric layer 134 is further formed on the second conductive layer 132 in the second area 20 .
  • the UBM layer 138 is formed over and electrically connected to the connecting pads.
  • the UBM layer 138 includes copper, nickel, titanium, a combination thereof, or the like, and is formed by an electroplating process.
  • the bump 140 is formed over and electrically connected to the UBM layer 138 .
  • the bump 140 includes a conductive material with low resistivity, such as Sn, Pb, Ag, Cu, Ni, Bi or an alloy thereof, and may be formed by a suitable process such as evaporation, plating, ball drop, or screen printing. A semiconductor device including the semiconductor structure 1 and the bump 140 is thus completed.
  • FIG. 2A to FIG. 2H are schematic cross-sectional views of a method of forming a semiconductor structure in accordance with some embodiments.
  • a dielectric layer 115 is formed over a first conductive layer 113 .
  • a substrate 100 is provided with an electrical circuit 102 , contacts 105 , an interconnect structure 107 and a passivation layer 110 thereon. Thereafter, the first conductive layer 113 is formed on the passivation layer 110 , and the dielectric layer 115 is formed on the first conductive layer 113 .
  • the materials, dispositions and forming methods of these elements have been described above, and the details are not iterated herein.
  • an etch stop stack 120 is formed over the dielectric layer 115 , wherein the etch stop stack 120 includes a plurality of tantalum layers 124 - 1 and a plurality of tantalum oxide layers 124 - 2 .
  • a dielectric layer 122 is blanket-formed on the first conductive layer 113 and the dielectric layer 115 , and then a plurality of unit layers 124 is blanket-formed on the dielectric layer 122 .
  • each unit layer 124 includes, from bottom to top, the Ta layer 124 - 1 and the TaO layer 124 - 2 .
  • the materials and forming methods of the dielectric layer 122 and the unit layers 124 have been described above, and the details are not iterated herein.
  • Step S 30 a magnetic layer 128 is formed over the etch stop stack 120 .
  • the magnetic layer 128 is blanket-formed on the dielectric layer 115 with the unit layers 124 interposed therebetween.
  • the material and forming method of the magnetic layer 128 have been described above, and the details are not iterated herein.
  • a first photolithography step is performed to form a first photoresist layer 152 on the magnetic layer 128 .
  • the method of forming the first photoresist layer 152 includes coating a photoresist material on the magnetic layer 128 , exposing the photoresist material with a photolithography mask (or called photomask), and developing the exposed photoresist material.
  • the first photoresist layer 152 includes a positive photoresist material which is photo-solubilized when exposed to light.
  • the first photoresist layer 152 includes a negative photoresist material.
  • Step S 40 a portion of the magnetic layer 128 is removed while the etch stop stack 120 covers the dielectric layer 115 and the first conductive layer 113 .
  • a first etching step is performed to the magnetic layer 128 by using the first photoresist layer 152 as an etch mask, so the magnetic layer 128 is patterned.
  • the first etching step is a wet etching process used to partially remove the magnetic layer 128 .
  • an etching solution used in the first etching step includes an acid solution containing HNO 3 , HCl, H 2 O 2 , HF or a combination thereof.
  • the etching solution includes HNO 3 , HCl and H 2 O 2 .
  • the etching solution includes HF, HNO 3 and water.
  • the magnetic layer 128 is patterned, while the dielectric layer 115 remains substantially intact. After the magnetic layer 128 is patterned, the first photoresist layer 152 is removed, as shown in FIG. 2C .
  • a second photolithography step is performed to form a second photoresist layer 154 that covers the magnetic layer 128 and exposes the etch stop stack 120 .
  • the method of forming the second photoresist layer 154 includes coating a photoresist material on the magnetic layer 128 , exposing the photoresist material with a photolithography mask, and developing the exposed photoresist material.
  • the second photoresist layer 154 includes a positive photoresist material which is photo-solubilized when exposed to light.
  • the second photoresist layer 154 includes a negative photoresist material.
  • the first and second photoresist layers 152 and 154 are defined with the same photolithography mask.
  • the photolithography recipe for the second photolithography step is the same as that for the first photolithography step. That is, the first and second photolithography steps are performed using the same process parameters. For example, the first and second photolithography steps are performed using the same photoresist material, the same photolithography mask, the same exposure energy and the same development time. In alternative embodiments, at least one of the process parameters is different in the first and second photolithography steps.
  • a portion of the etch stop stack 120 is removed.
  • a second etching step is performed to the etch stop stack 120 by using the second photoresist layer 154 and the magnetic layer 128 as an etch mask, so the etch stop stack 120 is patterned.
  • the second etching step is a dry etching step.
  • an etchant used in the second etching step includes carbon fluoride C x F y such as CF 4 or other suitable etchant.
  • the dimension of the etch stop stack 120 is greater than the magnetic layer 128 , for example.
  • a dielectric layer 130 is formed over the substrate 100 and covers the magnetic layer 128 and the etch stop stack 120 .
  • a second conductive layer 132 is formed over the dielectric layer 130 .
  • openings are formed in the second conductive layer 132 by photolithography and etching processes. Conductive materials are filled into the openings to form a via 131 and the second conductive layer 132 .
  • the second conductive layer 132 is electrically connected to the first conductive layer 113 through the via 131 .
  • another dielectric layer 134 is formed on the dielectric layer 130 and encapsulates the second conductive layer 132 . A semiconductor structure 1 is thus completed.
  • the first conductive layer 113 , the via 131 and the second conductive layer 132 constitute a spiral structure.
  • the magnetic layer 128 and the etch stop stack 120 formed by the process described in FIGS. 2A-2F is disposed in a central portion of the semiconductor structure 1 and surrounded by the spiral structure.
  • the etch stop layer at least includes a plurality of Ta layers and a plurality of TaO layer.
  • the etch stop layer is formed between the magnetic layer and the dielectric layer (for example. the dielectric layer 115 ) covering a portion of the first conductive layer, and thus the etch stop layer protects the dielectric layer from being damaged by the etchant used in the etching process of the magnetic layer. Accordingly, the delamination of the dielectric layer from the first conductive layer, the crack of the dielectric layer, the pull back of another dielectric layer (for example. the dielectric layer 130 ) covering the magnetic layer and bubble defect are reduced, and the reliability of the semiconductor device is improved.
  • a semiconductor device comprises a conductive layer, a first dielectric layer disposed over the conductive layer, a magnetic layer disposed over the first dielectric layer, and a plurality of tantalum layers and a plurality of tantalum oxide layers alternately disposed between the magnetic layer and the first dielectric layer.
  • a semiconductor device comprises a conductive layer, a first dielectric layer disposed over the conductive layer, a magnetic layer disposed over the first dielectric layer, a second dielectric layer between the first dielectric layer and the magnetic layer; and a plurality of unit layers between the magnetic layer and the second dielectric layer.
  • Each of the plurality of unit layers comprises a tantalum layer and a tantalum oxide layer, and an included angle between a bottom surface and a sidewall of the plurality of unit layers is substantially less than an included angle between a bottom surface and a sidewall of the second dielectric layer.
  • a method of forming a semiconductor device comprises: depositing a first dielectric layer on a conductive layer; performing a plurality of cycles to form a plurality of tantalum layers and a plurality of tantalum oxide layers over the first dielectric layer, each cycle comprising depositing a tantalum layer and oxidizing the tantalum layer to form a tantalum oxide layer; depositing a magnetic layer over the plurality of tantalum layers and the plurality of tantalum oxide layers; patterning the magnetic layer; and patterning the plurality of tantalum layers and the plurality of tantalum oxide layers by using the patterned magnetic layer as a mask.

Abstract

Semiconductor devices and methods of forming the same are provided. One of the semiconductor devices comprises a conductive layer, a first dielectric layer disposed over the conductive layer, a magnetic layer disposed over the first dielectric layer, and a plurality of tantalum layers and a plurality of tantalum oxide layers alternately disposed between the magnetic layer and the first dielectric layer.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This is a continuation application of and claims priority benefit of U.S. application Ser. No. 15/798,422, filed on Oct. 31, 2017 and now allowed which claims the priority benefit of U.S. provisional application Ser. No. 62/512,735, filed on May 31, 2017. The entirety of the above-mentioned patent application is hereby incorporated by reference herein and made a part of this specification.
  • BACKGROUND
  • Semiconductor devices are used in a variety of electronic applications, such as personal computers, cell phones, digital cameras, and other electronic equipment. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric layers, conductive layers, and semiconductor layers over a semiconductor substrate, and patterning the various material layers using lithography to form circuit components and elements thereon.
  • Generally, an inductor is a passive electrical component that can store energy in a magnetic field created by an electric current passing through it. Inductors may be utilized in a wide variety of applications. However, there are many challenges related to inductors.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the critical dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1A is a schematic cross-sectional view of a semiconductor device in accordance with some embodiments, and FIG. 1B is an enlarged view of an indicated portion B of FIG. 1A.
  • FIG. 2A to FIG. 2H are schematic cross-sectional views of a method of forming a semiconductor structure in accordance with some embodiments.
  • FIG. 3 is a flowchart showing a method of forming a semiconductor device in accordance with some embodiments of the disclosure.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a second feature over or on a first feature in the description that follows may include embodiments in which the second and first features are formed in direct contact, and may also include embodiments in which additional features may be formed between the second and first features, such that the second and first features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath”, “below”, “lower”, “on”, “over”, “overlying”, “above”, “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • Other features and processes may also be included. For example, testing structures may be included to aid in the verification testing of the 3D packaging or 3DIC devices. The testing structures may include, for example, test pads formed in a redistribution layer or on a substrate that allows the testing of the 3D packaging or 3DIC, the use of probes and/or probe cards, and the like. The verification testing may be performed on intermediate structures as well as the final structure. Additionally, the structures and methods disclosed herein may be used in conjunction with testing methodologies that incorporate intermediate verification of known good dies to increase the yield and decrease costs.
  • FIG. 1A is a schematic cross-sectional view of a semiconductor device in accordance with some embodiments, and FIG. 1B is an enlarged view of an indicated portion B of FIG. 1A.
  • Referring to FIG. 1A, a substrate 100 is provided. In some embodiments, the substrate 100 is a semiconductor substrate, such as a silicon substrate, a silicon-on-insulator (SOI) substrate, a silicon germanium substrate, or a substrate formed of other suitable semiconductor materials. Depending on the requirements of design, the substrate 100 may be a P-type substrate or an N-type substrate and may have doped regions therein.
  • The substrate 100 may have a first area 10 and a second area 20 adjacent to the first area 10. In some embodiments, the first area 10 is an area where a semiconductor structure is formed, and the second area 20 is a package area configured to bond the semiconductor structure to an application specific integrated circuit (ASIC). In some embodiments, the second area 20 provides an electrical connection where an electrical connector (e.g., a ball/bump) may be placed.
  • An electrical circuit 102 is formed on the substrate 100 in the first area 10. In some embodiment, the electrical circuit 102 includes gate stacks formed by dielectric layers and electrode layers. The dielectric layers may include an interfacial layer (IL) and a high-k layer having a dielectric constant greater than about 4, greater than about 8 or even greater than about 10. The dielectric layers are deposited by suitable techniques, such as chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD), thermal oxidation, a combination thereof, or the like. The electrode layers may include a single layer or multiple layers, such as a metal layer, a liner layer, a wetting layer, an adhesion layer, a combination thereof, or the like, and may be formed by ALD, PVD, CVD or the like.
  • An inter-layer dielectric (ILD) layer 104 is formed over the substrate 100 in the first and second areas 10 and 20. In some embodiments, the ILD layer 104 covers the electrical circuit 102. In some embodiments, the ILD layer 104 includes a low-k dielectric material having a dielectric constant less than about 4, less than about 3.5 or even less than about 3. The low-k material includes phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorinated silicate glass (FSG), silicon oxycarbide (SiOxCy), Spin-On-Glass (SOG), Spin-On-Polymer (SOP), a combination thereof, or the like. The ILD layer 104 may be formed by a suitable method, such as spinning, CVD or plasma-enhanced CVD (PECVD). The ILD layer 104 may have a single-layer or multi-layer structure.
  • Contacts 105 are formed through the ILD layer 104 to provide electrical contacts to the electrical circuit 102. In some embodiments, openings are formed in the ILD layer 104 by photolithography and etching processes. The openings may be lined with a diffusion barrier layer and/or an adhesion layer (not shown), and filled with a conductive material. In some embodiments, the diffusion barrier layer incudes TaN, Ta, TiN, Ti, CoW, a combination thereof, or the like, and the conductive material includes copper, tungsten, aluminum, silver, a combination thereof, or the like.
  • An interconnect structure 107 is formed over the substrate 100 in the first and second areas 10 and 20. In some embodiments, the interconnect structure 107 is formed on the ILD layer 104. In some embodiments, the interconnect structure 107 includes one or more inter-metal dielectric (IMD) layers 106 and the associated metallization features 108 (e.g., metal layers and vias) embedded in the IMD layers 106. Generally, the one or more IMD layers 106 and the associated metallization features 108 are used to interconnect the electrical circuit 102 to each other and to provide an external electrical connection. The IMD layers 106 include a low-k dielectric material having a dielectric constant less than about 4, less than about 3.5 or even less than about 3. The low-k material includes PSG, BPSG, FSG, SiOxCy, SOG, SOP, a combination thereof, or the like. In some embodiments, the IMD layers 106 is formed by a suitable method, such as spinning, CVD or PECVD.
  • In some embodiments, one or more insulating etch stop layers (not shown) may be positioned between adjacent ones of the dielectric layers, e.g., the ILD layer 104 and the IMD layers 106. The insulating etch stop layers are formed of a dielectric material having a different etch selectivity from adjacent layers. In some embodiments, the insulating etch stop layers may be formed of SiN, SiCN, SiCO, a combination thereof, or the like, and may be formed by a suitable method, such as CVD or PECVD.
  • A passivation layer 110 is formed over the substrate 100 in the first and second areas 10 and 20. In some embodiments, the passivation layer 110 is formed on the IMD layers 106. In some embodiments, the passivation layer 110 may be formed of a dielectric material, such as SiN, a plasma-enhanced oxide (PEOX), a plasma-enhanced SiN (PE-SiN), plasma-enhanced undoped silicate glass (PE-USG), a high density plasma (HDP) chemical vapor deposition (CVD) oxide, a combination thereof, or the like. The passivation layer 110 is formed to protect the underlying layers from various environmental contaminants.
  • A semiconductor structure 1 is then formed on the substrate 100 in the first area 10. In some embodiments, the semiconductor structure 1 may be an inductor structure 1, and includes a post-passivation interconnect (PPI) structure 112 over the substrate 100 and a magnetic layer 128, for example. In some embodiments, the PPI structure 112 is a spiral, and the magnetic layer 128 is disposed in a central region of the spiral, for example.
  • In some embodiments, the PPI structure 112 includes aluminum, copper or a copper alloy. In some embodiments, the PPI structure 112 may be formed by an electro-plating process. In alternative embodiments, the PPI structure 112 may include a copper layer coated with electro-less nickel electro-less palladium immersion gold (ENEPIG), which includes a nickel layer, a palladium layer on the nickel layer, and a gold layer on the palladium layer. The gold layer may be formed using immersion plating. In yet alternative embodiments, other conductive materials may be used to form the PPI structure 112.
  • In some embodiments, the PPI structure 112 includes a first conductive layer 113, a second conductive layer 132 and a via 131 therebetween. Specifically, the second conductive layer 132 is electrically connected to the first conductive layer 113 through the via 131, and the first conductive layer 113, the via 131 and the second conductive layer 132 constitute a spiral structure (not shown).
  • In some embodiments, the semiconductor structure 1 includes the first conductive layer 113, a dielectric layer 115, an etch stop stack 120, the magnetic layer 128, a dielectric layer 130 and the second conductive layer 132. The first conductive layer 113 is over the passivation layer 110. The dielectric layer 115 is formed over the first conductive layer 113. The magnetic layer 128 is over the dielectric layer 115. The etch stop stack 120 is between the dielectric layer 115 and the magnetic layer 128. The dielectric layer 130 is over the first conductive layer 113 and encapsulates the magnetic layer 128, the etch stop stack 120 and the dielectric layer 115. The second conductive layer 132 is over the dielectric layer 130.
  • In some embodiments, the etch stop stack 120 includes a plurality of tantalum layers (Ta layers) 124-1 and a plurality of tantalum oxide layers (TaO layers) 124-2. In some embodiments, the etch stop stack 120 includes a dielectric layer 122 and a plurality of unit layers 124 between the dielectric layer 122 and the magnetic layer 128, and each of the unit layers 124 includes the Ta layer 124-1 and the TaO layer 124-2 on the Ta layer 124-1. In some embodiments, the Ta layers 124-1 and the TaO layers 124-2 are disposed alternately from bottom to top. In some embodiments, the lowermost Ta layer 124-1 of the etch stop stack 120 is adjacent to and in physical contact with the dielectric layer 122. The topmost TaO layer 124-2 of the etch stop stack 120 is adjacent to and in physical contact with the magnetic layer 128. However, the present disclosure in not limited thereto. In alternative embodiments, the Ta layers 124-1 and the TaO layer 124-2 may have other arrangement, for example, the lowermost layer of the etch stop stack 120 may be the TaO layer 124-2, or the topmost layer of the etch stop stack 120 may be the Ta layer 124-1. In addition, the total number of the Ta layers 124-1 and the TaO layer 124-2 may be odd. Furthermore, in alternative embodiments, the etch stop stack 120 may consist of the Ta layers 124-1 and the TaO layers 124-2, that is, the dielectric layer 122 is omitted.
  • In some embodiments, the unit layers 124 are formed by a suitable method, such as performing a plurality of cycles, and each cycle includes forming the Ta layer 124-1 and performing an oxidation process on the Ta layer 124-1 to form the TaO layer 124-2. For example, three unit layers 124 are formed by performing three cycles of forming the Ta layer 124-1 and performing an oxidation process on the Ta layer 124-1 to form the TaO layer 124-2. In other words, a top portion of the Ta layer 124-1 is oxidized to form the TaO layer 124-2. In some embodiments, the Ta layer 124-1 may be formed by a suitable method, such as CVD or PECVD, and the oxidation process is a thermal oxidation process. In some alternative embodiments, the Ta layer 124-1 may be formed by a deposition process such as CVD or PECVD. In some embodiments, the dielectric layer 122 includes SiN, SiC, SiCN, SiCO, SiON, SiOx, nitrogen-oxide-nitrogen (NON), oxide-nitrogen-oxide (ONO) or a combination thereof, or the like, and may be formed by a suitable method, such as CVD or PECVD. In some embodiments, the etch selectivity of the magnetic layer 128 to the etch stop stack 120 ranges from about 1:10 to 1:300.
  • In some embodiments, a thickness ratio of the Ta layer 124-1 and the TaO layer 124-2 is 1:1 to 4:1. In some embodiments, a thickness ratio of the unit layers 124 and the dielectric layer 122 ranges from about 50:1 to 1.67:1. In some embodiments, a total thickness of the unit layers 124 ranges from about 100 angstroms to 600 angstroms. If the TaO layer 124-2 is too thick (i.e., the thickness ratio less than 1:1), cracking of the etch stop stack 120 or the delamination of the etch stop stack 120 form the underlying layer may occur. If the TaO layer 124-2 is too thin (i.e., the thickness ratio larger than 4:1), the TaO layer 124-2 fails to function as an etch stop layer. In some embodiments, a thickness of the dielectric layer 122 ranges from about 1000 angstroms to 5000 angstroms. If the thickness of the dielectric layer 122 is out of the above range, the delamination of the etch stop stack 120 form the underlying layer may occur. In some embodiments, the dimension of the etch stop stack 120 is greater than the magnetic layer 128, as shown in FIG. 1A. However, the present disclosure in not limited thereto. In alternative embodiments, the dimension of the etch stop stack 120 can be equal to or less than the magnetic layer 128.
  • For the sake of simplicity and clarity, the etch stop stack 120 in FIG. 1A is illustrated as having three unit layers 124. Such etch stop stack 120 including three unit layers 124 is merely an example of the etch stop stack 120. In other words, the number of unit layers 124 of the etch stop stack 120 is not limited by the embodiments of the disclosure. It should be appreciated by those skilled in the art that the number of unit layers 124 can be adjusted upon the process requirements.
  • Referring to FIGS. 1A and 1B, in some embodiments, the etch stop stack 120 includes a center section 120 a and an edge section 120 b connected to and surrounding the center section 120 a. The center section 120 a is overlapped with the magnetic layer 128, and the edge section 120 b is protruded from the magnetic layer 128 and has an inclined sidewall 126. In some embodiments, the center section 120 a is contact with the magnetic layer 128 and substantially has a uniform thickness. In some embodiments, the edge section 120 b is like a tail with a gradually decreasing thickness. In some embodiments, the included angle θ1 between a bottom surface of the unit layers 124 and a line tangent to the inclined sidewall 126-1 of the unit layers 124 ranges from about 10 degrees to 60 degrees. The included angle θ2 between a bottom surface of the dielectric layer 122 and a line tangent to the inclined sidewall 126-2 of the dielectric layer 122 ranges from about 20 degrees to 90 degrees. In some embodiments, the included angle θ1 is substantially less than the included angle θ2, as shown in FIG. 1B. However, the present disclosure in not limited thereto. In alternative embodiments, the included angle θ1 can be equal to or greater than the included angle θ2. In addition, although the included angle θ1 in FIG. 1B is formed by the bottom surface and the sidewall of the bottommost Ta layer 124-1, the included angle θ1 is substantially formed by the bottom surface of the bottommost Ta layer 124-1 and at least one sidewall of the Ta layers 124-1 and the TaO layers 124-2 disposed on the bottommost Ta layer 124-1.
  • In some embodiments, the magnetic layer 128 includes a magnetic metal material containing cobalt (Co), zirconium (Zr), tantalum (Ta) and niobium (Nb), rhenium (Re), neodymium (Nd), praseodymium (Pr), dysprosium (Dy) or a combination thereof. In some embodiments, the magnetic layer 128 includes an amorphous cobalt (Co) alloy including cobalt (Co) and zirconium (Zr). Zirconium (Zr) helps to make cobalt (Co) amorphous. In some embodiments, magnetic layer 128 includes a cobalt-zirconium (CoZr) alloy having one or more additional elements, such as tantalum (Ta) and niobium (Nb). In some other embodiments, magnetic layer 128 includes a cobalt-zirconium (CoZr) alloy having one or more additional elements, such as a rare earth element, that helps to increase the ferromagnetic resonance of the cobalt-zirconium (CoZr) alloy. The rare earth element include rhenium (Re), neodymium (Nd), praseodymium (Pr), or dysprosium (Dy). In some embodiments, the magnetic layer 128 includes CoZrTa, CoZr, CoZrRe, NiFe or a combination thereof. The magnetic layer 128 may be formed by a suitable method such as PVD, CVD or the like.
  • The magnetic layer 128 is insulated from the PPI structure 112 by the dielectric layer 130, the dielectric layer 115 and the etch stop stack 120. In some embodiments, the dielectric layer 115 is disposed between the bottom of the etch stop stack 120 and the first conductive layer 113. In some embodiments, the dielectric layer 115 includes silicon oxide, silicon nitride, silicon oxynitride or a combination thereof. The dielectric layer 115 may be formed by a suitable method such as CVD, ALD, PVD, thermal oxidation or the like. In some embodiments, the dielectric layer 130 encapsulates the sidewall and the top of the magnetic layer 128. In some embodiments, the dielectric layer 130 further encapsulates the sidewall of the etch stop stack 120. In some embodiments, the dielectric layer 130 may be formed of a polymer such as an epoxy, polyimide, benzocyclobutene (BCB), polybenzoxazole (PBO) or the like. The dielectric layer 130 may be formed by a suitable method such as spin-coating, lamination, deposition or the like.
  • In some embodiments, another dielectric layer 134 is further included in the semiconductor structure 1. The dielectric layer 134 is formed on the dielectric layer 130 and encapsulates the second conductive layer 132. In some embodiments, the dielectric layer 134 may be formed of a polymer such as an epoxy, polyimide, benzocyclobutene (BCB), polybenzoxazole (PBO) or the like. The material of the dielectric layer 134 can be the same as or different from that of the dielectric layer 130. The dielectric layer 134 may be formed by a suitable method such as spin-coating, lamination, deposition or the like.
  • In some embodiments, after the semiconductor structure 1 is formed in the first area 10, an under bump metallization (UBM) layer 138 and a bump 140 are formed over the substrate 100 in the second area 20.
  • In some embodiments, the dielectric layer 130 is further formed on the substrate 100 in the second area 20. In some embodiments, while the second conductive layer 132 is formed on the dielectric layer 130 in the first area 10, the second conductive layer 132 may be formed as a connecting pad at an end of the spiral structure in the second area 20, for example. Besides, the dielectric layer 134 is further formed on the second conductive layer 132 in the second area 20.
  • The UBM layer 138 is formed over and electrically connected to the connecting pads. In some embodiments, the UBM layer 138 includes copper, nickel, titanium, a combination thereof, or the like, and is formed by an electroplating process. The bump 140 is formed over and electrically connected to the UBM layer 138. In some embodiments, the bump 140 includes a conductive material with low resistivity, such as Sn, Pb, Ag, Cu, Ni, Bi or an alloy thereof, and may be formed by a suitable process such as evaporation, plating, ball drop, or screen printing. A semiconductor device including the semiconductor structure 1 and the bump 140 is thus completed.
  • The method of forming a semiconductor structure including an etch stop stack will be described in details below with reference to FIG. 2A to FIG. 2H. FIG. 2A to FIG. 2H are schematic cross-sectional views of a method of forming a semiconductor structure in accordance with some embodiments.
  • Referring to FIGS. 2A and 3, in Step S10, a dielectric layer 115 is formed over a first conductive layer 113. In some embodiments, a substrate 100 is provided with an electrical circuit 102, contacts 105, an interconnect structure 107 and a passivation layer 110 thereon. Thereafter, the first conductive layer 113 is formed on the passivation layer 110, and the dielectric layer 115 is formed on the first conductive layer 113. The materials, dispositions and forming methods of these elements have been described above, and the details are not iterated herein.
  • Afterwards, in Step S20, an etch stop stack 120 is formed over the dielectric layer 115, wherein the etch stop stack 120 includes a plurality of tantalum layers 124-1 and a plurality of tantalum oxide layers 124-2. In detail, a dielectric layer 122 is blanket-formed on the first conductive layer 113 and the dielectric layer 115, and then a plurality of unit layers 124 is blanket-formed on the dielectric layer 122. In some embodiments, each unit layer 124 includes, from bottom to top, the Ta layer 124-1 and the TaO layer 124-2. The materials and forming methods of the dielectric layer 122 and the unit layers 124 have been described above, and the details are not iterated herein.
  • Then, in Step S30, a magnetic layer 128 is formed over the etch stop stack 120. In some embodiments, the magnetic layer 128 is blanket-formed on the dielectric layer 115 with the unit layers 124 interposed therebetween. The material and forming method of the magnetic layer 128 have been described above, and the details are not iterated herein.
  • Continue referring to FIG. 2A, a first photolithography step is performed to form a first photoresist layer 152 on the magnetic layer 128. In some embodiments, the method of forming the first photoresist layer 152 includes coating a photoresist material on the magnetic layer 128, exposing the photoresist material with a photolithography mask (or called photomask), and developing the exposed photoresist material. In some embodiments, the first photoresist layer 152 includes a positive photoresist material which is photo-solubilized when exposed to light. In alternative embodiments, the first photoresist layer 152 includes a negative photoresist material.
  • Referring to FIGS. 2B, 2C and 3, in Step S40, a portion of the magnetic layer 128 is removed while the etch stop stack 120 covers the dielectric layer 115 and the first conductive layer 113. In some embodiments, a first etching step is performed to the magnetic layer 128 by using the first photoresist layer 152 as an etch mask, so the magnetic layer 128 is patterned. In some embodiments, the first etching step is a wet etching process used to partially remove the magnetic layer 128. In some embodiments, an etching solution used in the first etching step includes an acid solution containing HNO3, HCl, H2O2, HF or a combination thereof. In some embodiments, the etching solution includes HNO3, HCl and H2O2. In alternative embodiments, the etching solution includes HF, HNO3 and water.
  • In some embodiments, as shown in FIG. 2B, by providing the first photoresist layer 152, the magnetic layer 128 is patterned, while the dielectric layer 115 remains substantially intact. After the magnetic layer 128 is patterned, the first photoresist layer 152 is removed, as shown in FIG. 2C.
  • Referring to FIG. 2D, a second photolithography step is performed to form a second photoresist layer 154 that covers the magnetic layer 128 and exposes the etch stop stack 120. In some embodiments, the method of forming the second photoresist layer 154 includes coating a photoresist material on the magnetic layer 128, exposing the photoresist material with a photolithography mask, and developing the exposed photoresist material. In some embodiments, the second photoresist layer 154 includes a positive photoresist material which is photo-solubilized when exposed to light. In alternative embodiments, the second photoresist layer 154 includes a negative photoresist material.
  • In some embodiments, the first and second photoresist layers 152 and 154 are defined with the same photolithography mask. In some embodiments, the photolithography recipe for the second photolithography step is the same as that for the first photolithography step. That is, the first and second photolithography steps are performed using the same process parameters. For example, the first and second photolithography steps are performed using the same photoresist material, the same photolithography mask, the same exposure energy and the same development time. In alternative embodiments, at least one of the process parameters is different in the first and second photolithography steps.
  • Referring to FIGS. 2E, 2F and 3, a portion of the etch stop stack 120 is removed. In some embodiments, a second etching step is performed to the etch stop stack 120 by using the second photoresist layer 154 and the magnetic layer 128 as an etch mask, so the etch stop stack 120 is patterned. In some embodiments, the second etching step is a dry etching step. In some embodiments, an etchant used in the second etching step includes carbon fluoride CxFy such as CF4 or other suitable etchant. In some embodiments, the dimension of the etch stop stack 120 is greater than the magnetic layer 128, for example. After the etch stop stack 120 is patterned, the second photoresist layer 154 is removed, as shown in FIG. 2F.
  • Referring to FIG. 2G, a dielectric layer 130 is formed over the substrate 100 and covers the magnetic layer 128 and the etch stop stack 120.
  • Referring to FIG. 2H, a second conductive layer 132 is formed over the dielectric layer 130. In some embodiments, openings are formed in the second conductive layer 132 by photolithography and etching processes. Conductive materials are filled into the openings to form a via 131 and the second conductive layer 132. The second conductive layer 132 is electrically connected to the first conductive layer 113 through the via 131. In some embodiments, another dielectric layer 134 is formed on the dielectric layer 130 and encapsulates the second conductive layer 132. A semiconductor structure 1 is thus completed.
  • In some embodiments, the first conductive layer 113, the via 131 and the second conductive layer 132 constitute a spiral structure. The magnetic layer 128 and the etch stop stack 120 formed by the process described in FIGS. 2A-2F is disposed in a central portion of the semiconductor structure 1 and surrounded by the spiral structure.
  • In some embodiments, the etch stop layer at least includes a plurality of Ta layers and a plurality of TaO layer. The etch stop layer is formed between the magnetic layer and the dielectric layer (for example. the dielectric layer 115) covering a portion of the first conductive layer, and thus the etch stop layer protects the dielectric layer from being damaged by the etchant used in the etching process of the magnetic layer. Accordingly, the delamination of the dielectric layer from the first conductive layer, the crack of the dielectric layer, the pull back of another dielectric layer (for example. the dielectric layer 130) covering the magnetic layer and bubble defect are reduced, and the reliability of the semiconductor device is improved.
  • In accordance with some embodiments of the present disclosure, a semiconductor device comprises a conductive layer, a first dielectric layer disposed over the conductive layer, a magnetic layer disposed over the first dielectric layer, and a plurality of tantalum layers and a plurality of tantalum oxide layers alternately disposed between the magnetic layer and the first dielectric layer.
  • In accordance with alternative embodiments of the present disclosure, a semiconductor device comprises a conductive layer, a first dielectric layer disposed over the conductive layer, a magnetic layer disposed over the first dielectric layer, a second dielectric layer between the first dielectric layer and the magnetic layer; and a plurality of unit layers between the magnetic layer and the second dielectric layer. Each of the plurality of unit layers comprises a tantalum layer and a tantalum oxide layer, and an included angle between a bottom surface and a sidewall of the plurality of unit layers is substantially less than an included angle between a bottom surface and a sidewall of the second dielectric layer.
  • In accordance with yet alternative embodiments of the present disclosure, a method of forming a semiconductor device comprises: depositing a first dielectric layer on a conductive layer; performing a plurality of cycles to form a plurality of tantalum layers and a plurality of tantalum oxide layers over the first dielectric layer, each cycle comprising depositing a tantalum layer and oxidizing the tantalum layer to form a tantalum oxide layer; depositing a magnetic layer over the plurality of tantalum layers and the plurality of tantalum oxide layers; patterning the magnetic layer; and patterning the plurality of tantalum layers and the plurality of tantalum oxide layers by using the patterned magnetic layer as a mask.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A semiconductor device, comprising:
a conductive layer;
a first dielectric layer disposed over the conductive layer;
a magnetic layer disposed over the first dielectric layer; and
a plurality of tantalum layers and a plurality of tantalum oxide layers, alternately disposed between the magnetic layer and the first dielectric layer.
2. The semiconductor device of claim 1, wherein a total number of the plurality of tantalum layers and the plurality of tantalum oxide layers is odd.
3. The semiconductor device of claim 1, further comprising a second dielectric layer between the first dielectric layer and the plurality of tantalum layers and the plurality of tantalum oxide layer.
4. The semiconductor device of claim 1, wherein the lowermost layer of the plurality of tantalum layers and the plurality of tantalum oxide layers is contact with the first dielectric layer.
5. The semiconductor device of claim 1, wherein the lowermost layer of the plurality of tantalum layers and the plurality of tantalum oxide layers is the tantalum layer.
6. The semiconductor device of claim 1, wherein the lowermost layer of the plurality of tantalum layers and the plurality of tantalum oxide layers is the tantalum oxide layer.
7. A semiconductor device, comprising:
a conductive layer;
a first dielectric layer disposed over the conductive layer;
a magnetic layer disposed over the first dielectric layer;
a second dielectric layer between the first dielectric layer and the magnetic layer; and
a plurality of unit layers between the magnetic layer and the second dielectric layer, wherein each of the plurality of unit layers comprises a tantalum layer and a tantalum oxide layer, and an included angle between a bottom surface and a sidewall of the plurality of unit layers is substantially less than an included angle between a bottom surface and a sidewall of the second dielectric layer.
8. The semiconductor device of claim 7, wherein the included angle between the bottom surface and the sidewall of the plurality of unit layers ranges from about 10 degrees to 60 degrees.
9. The semiconductor device of claim 7, wherein the included angle between the bottom surface and the sidewall of the second dielectric layer ranges from about 20 degrees to 90 degrees.
10. The semiconductor device of claim 7, wherein the plurality of unit layers comprise a plurality of tantalum layers and a plurality of tantalum oxide layers alternately disposed.
11. The semiconductor device of claim 7, wherein the lowermost layer of the plurality of unit layers is in contact with the second dielectric layer.
12. The semiconductor device of claim 7, wherein the topmost layer of the plurality of unit layers is in contact with the magnetic layer.
13. The semiconductor device of claim 7, wherein the lowermost layer of the plurality of unit layers is the tantalum layer.
14. A method of forming a semiconductor device, comprising:
depositing a first dielectric layer on a conductive layer;
performing a plurality of cycles to form a plurality of tantalum layers and a plurality of tantalum oxide layers over the first dielectric layer, each cycle comprising depositing a tantalum layer and oxidizing the tantalum layer to form a tantalum oxide layer;
depositing a magnetic layer over the plurality of tantalum layers and the plurality of tantalum oxide layers;
patterning the magnetic layer; and
patterning the plurality of tantalum layers and the plurality of tantalum oxide layers by using the patterned magnetic layer as a mask.
15. The method of claim 14, wherein the step of patterning the magnetic layer comprises removing a portion of the magnetic layer by a wet etch process.
16. The method of claim 14, wherein the step of patterning the magnetic layer comprises removing portions of the plurality of tantalum layers and the plurality of tantalum oxide layers by a dry etch process.
17. The method of claim 14, further comprising depositing a second dielectric layer between the first dielectric layer and the plurality of tantalum layers and the plurality of tantalum oxide layers, and patterning the second dielectric layer by using the patterned magnetic layer as the mask.
18. The method of claim 14, further comprising depositing a third dielectric layer over the first dielectric layer to cover the patterned magnetic layer and the patterned tantalum layers and tantalum oxide layers to expose a portion of the conductive layer.
19. The method of claim 14, wherein the plurality of tantalum layers and the plurality of tantalum oxide layers alternately formed.
20. The method of claim 14, wherein a sidewall of the plurality of tantalum layers and the plurality of tantalum oxide layers is not flush with a sidewall of the magnetic layer.
US16/203,632 2017-05-31 2018-11-29 Semiconductor devices and methods of forming the same Active US10510661B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US16/203,632 US10510661B2 (en) 2017-05-31 2018-11-29 Semiconductor devices and methods of forming the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762512735P 2017-05-31 2017-05-31
US15/798,422 US10163781B1 (en) 2017-05-31 2017-10-31 Semiconductor devices and methods of forming the same
US16/203,632 US10510661B2 (en) 2017-05-31 2018-11-29 Semiconductor devices and methods of forming the same

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/798,422 Continuation US10163781B1 (en) 2017-05-31 2017-10-31 Semiconductor devices and methods of forming the same

Publications (2)

Publication Number Publication Date
US20190096804A1 true US20190096804A1 (en) 2019-03-28
US10510661B2 US10510661B2 (en) 2019-12-17

Family

ID=64460088

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/798,422 Active US10163781B1 (en) 2017-05-31 2017-10-31 Semiconductor devices and methods of forming the same
US16/203,632 Active US10510661B2 (en) 2017-05-31 2018-11-29 Semiconductor devices and methods of forming the same

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/798,422 Active US10163781B1 (en) 2017-05-31 2017-10-31 Semiconductor devices and methods of forming the same

Country Status (3)

Country Link
US (2) US10163781B1 (en)
CN (1) CN108987571B (en)
TW (1) TWI655713B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10163781B1 (en) * 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10163781B1 (en) * 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6391713B1 (en) 2001-05-14 2002-05-21 Silicon Integrated Systems Corp. Method for forming a dual damascene structure having capacitors
US6885074B2 (en) * 2002-11-27 2005-04-26 Freescale Semiconductor, Inc. Cladded conductor for use in a magnetoelectronics device and method for fabricating the same
US6984529B2 (en) * 2003-09-10 2006-01-10 Infineon Technologies Ag Fabrication process for a magnetic tunnel junction device
US7381658B2 (en) 2005-07-05 2008-06-03 Hewlett-Packard Development Company, L.P. Encapsulation of nano-dimensional structures by oxidation
EP2063467B1 (en) 2007-06-05 2011-05-04 Panasonic Corporation Nonvolatile storage element, its manufacturing method, and nonvolatile semiconductor device using the nonvolatile storage element
WO2010008480A2 (en) * 2008-06-25 2010-01-21 Ion Torrent Systems Incorporated Methods and apparatus for measuring analytes using large scale fet arrays
US8043732B2 (en) * 2008-11-11 2011-10-25 Seagate Technology Llc Memory cell with radial barrier
US8223611B2 (en) * 2008-12-04 2012-07-17 Tdk Corporation Near-field light generating element and method for forming the element
US9406877B2 (en) 2009-01-09 2016-08-02 Nec Corporation Semiconductor device and method of manufacturing the same
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8673757B2 (en) * 2010-10-28 2014-03-18 International Business Machines Corporation Structure and method for using high-k material as an etch stop layer in dual stress layer process
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US20130307153A1 (en) 2012-05-18 2013-11-21 International Business Machines Corporation Interconnect with titanium-oxide diffusion barrier
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9620706B2 (en) * 2014-12-02 2017-04-11 Qualcomm Incorporated Magnetic etch stop layer for spin-transfer torque magnetoresistive random access memory magnetic tunnel junction device

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10163781B1 (en) * 2017-05-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of forming the same

Also Published As

Publication number Publication date
CN108987571B (en) 2021-11-02
CN108987571A (en) 2018-12-11
TWI655713B (en) 2019-04-01
US10163781B1 (en) 2018-12-25
US10510661B2 (en) 2019-12-17
US20180350739A1 (en) 2018-12-06
TW201903959A (en) 2019-01-16

Similar Documents

Publication Publication Date Title
TWI552297B (en) Semiconduvtor device and methods for forming the same
US8952530B2 (en) Post passivation interconnect structures and methods for forming the same
TWI491006B (en) A structure to increase resistance to electromigration
US10515874B2 (en) Semiconductor device and method of manufacture
JP5739434B2 (en) Semiconductor device having copper plug and method for forming the device
US10163862B2 (en) Package structure and method for forming same
US10263064B2 (en) Semiconductor devices and methods of forming the same
US11670608B2 (en) Prevention of metal pad corrosion due to exposure to halogen
US20220293494A1 (en) Method of forming semiconductor device
US20180068963A1 (en) Semiconductor structure and manufacturing method thereof
US10622319B2 (en) Final passivation for wafer level warpage and ULK stress reduction
US10510661B2 (en) Semiconductor devices and methods of forming the same
CN111081683B (en) Method of forming an integrated circuit structure and integrated circuit structure
CN103367243A (en) Shallow via formation by oxidation
TWI460820B (en) Integrated circuit (ic) chip and method for fabricating the same
US11955423B2 (en) Semiconductor device and method
US11513287B2 (en) Waveguide structure and manufacturing method of the same
US20230411326A1 (en) Semiconductor structure and manufacturing method thereof

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: APPLICATION DISPATCHED FROM PREEXAM, NOT YET DOCKETED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4