US20180330774A1 - Refresh in memory based on a set margin - Google Patents

Refresh in memory based on a set margin Download PDF

Info

Publication number
US20180330774A1
US20180330774A1 US15/590,143 US201715590143A US2018330774A1 US 20180330774 A1 US20180330774 A1 US 20180330774A1 US 201715590143 A US201715590143 A US 201715590143A US 2018330774 A1 US2018330774 A1 US 2018330774A1
Authority
US
United States
Prior art keywords
memory
array
memory cells
refresh
voltage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/590,143
Other versions
US10147475B1 (en
Inventor
Marco Sforzin
Paolo Amato
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: AMATO, PAOLO, SFORZIN, MARCO
Priority to US15/590,143 priority Critical patent/US10147475B1/en
Assigned to U.S. BANK NATIONAL ASSOCIATION reassignment U.S. BANK NATIONAL ASSOCIATION SUPPLEMENT NO. 5 TO PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC. reassignment MORGAN STANLEY SENIOR FUNDING, INC. SUPPLEMENT NO. 5 TO PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Priority to PCT/US2018/031015 priority patent/WO2018208584A2/en
Priority to CN201880041231.3A priority patent/CN110770835A/en
Priority to EP18799373.8A priority patent/EP3622516A4/en
Priority to KR1020197035937A priority patent/KR102349035B1/en
Assigned to JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT reassignment JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON SEMICONDUCTOR PRODUCTS, INC., MICRON TECHNOLOGY, INC.
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: U.S. BANK NATIONAL ASSOCIATION, AS AGENT
Priority to US16/110,601 priority patent/US11158363B2/en
Publication of US20180330774A1 publication Critical patent/US20180330774A1/en
Publication of US10147475B1 publication Critical patent/US10147475B1/en
Application granted granted Critical
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC., MICRON SEMICONDUCTOR PRODUCTS, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/406Management or control of the refreshing or charge-regeneration cycles
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05FSYSTEMS FOR REGULATING ELECTRIC OR MAGNETIC VARIABLES
    • G05F3/00Non-retroactive systems for regulating electric variables by using an uncontrolled element, or an uncontrolled combination of elements, such element or such combination having self-regulating properties
    • G05F3/02Regulating voltage or current
    • G05F3/08Regulating voltage or current wherein the variable is dc
    • G05F3/10Regulating voltage or current wherein the variable is dc using uncontrolled devices with non-linear characteristics
    • G05F3/16Regulating voltage or current wherein the variable is dc using uncontrolled devices with non-linear characteristics being semiconductor devices
    • G05F3/20Regulating voltage or current wherein the variable is dc using uncontrolled devices with non-linear characteristics being semiconductor devices using diode- transistor combinations
    • G05F3/26Current mirrors
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/406Management or control of the refreshing or charge-regeneration cycles
    • G11C11/40607Refresh operations in memory devices with an internal cache or data buffer
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0033Disturbance prevention or evaluation; Refreshing of disturbed memory data
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0061Timing circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/32Timing circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/34Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention
    • G11C16/3418Disturbance prevention or evaluation; Refreshing of disturbed memory data
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/34Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention
    • G11C16/349Arrangements for evaluating degradation, retention or wearout, e.g. by counting erase cycles
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2207/00Indexing scheme relating to arrangements for writing information into, or reading information out from, a digital store
    • G11C2207/10Aspects relating to interfaces of memory device to external buses
    • G11C2207/104Embedded memory devices, e.g. memories with a processing device on the same die or ASIC memory designs
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2211/00Indexing scheme relating to digital stores characterized by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C2211/401Indexing scheme relating to cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C2211/406Refreshing of dynamic cells
    • G11C2211/4062Parity or ECC in refresh operations
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2211/00Indexing scheme relating to digital stores characterized by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C2211/401Indexing scheme relating to cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C2211/406Refreshing of dynamic cells
    • G11C2211/4065Low level details of refresh operations
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2211/00Indexing scheme relating to digital stores characterized by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C2211/401Indexing scheme relating to cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C2211/406Refreshing of dynamic cells
    • G11C2211/4068Voltage or leakage in refresh operations

Definitions

  • the present disclosure relates generally to memory devices, and more particularly, to apparatuses and methods for refresh in memory.
  • Memory devices are typically provided as internal, semiconductor, integrated circuits in computers or other electronic devices. There are many different types of memory including volatile and non-volatile memory. Volatile memory can require power to maintain its data and includes random-access memory (RAM), dynamic random access memory (DRAM), and synchronous dynamic random access memory (SDRAM), among others. Non-volatile memory can provide persistent data by retaining stored data when not powered and can include NAND flash memory, NOR flash memory, read only memory (ROM), Electrically Erasable Programmable ROM (EEPROM), Erasable Programmable ROM (EPROM), and resistance variable memory such as phase change random access memory (PCRAM), resistive random access memory (RRAM), and magnetoresistive random access memory (MRAM), among others.
  • RAM random-access memory
  • DRAM dynamic random access memory
  • SDRAM synchronous dynamic random access memory
  • Non-volatile memory can provide persistent data by retaining stored data when not powered and can include NAND flash memory, NOR flash memory, read only memory (ROM), Electrically Erasable Programmable
  • Non-volatile memory is also utilized as volatile and non-volatile data storage for a wide range of electronic applications.
  • Non-volatile memory may be used in, for example, personal computers, portable memory sticks, digital cameras, cellular telephones, portable music players such as MP3 players, movie players, and other electronic devices.
  • Memory cells can be arranged into arrays, with the arrays being used in memory devices.
  • Memory can be part of a memory system used in computing devices.
  • Memory systems can include volatile, such as DRAM, for example, and/or non-volatile memory, such as Flash memory or RRAM, for example.
  • FIG. 1A is a block diagram of an apparatus in the form of a computing system including a memory system in accordance with a number of embodiments of the present disclosure.
  • FIG. 1B is a block diagram of an apparatus in the form of a memory device in accordance with a number of embodiments of the present disclosure.
  • FIG. 2 is a block diagram of a portion of an array of memory cells in accordance with a number of embodiments of the present disclosure.
  • FIG. 3 illustrates a diagram associated with performing refresh in memory in accordance with a number of embodiments of the present disclosure.
  • An example apparatus can refresh an array of memory cells in response to a portion of memory cells in the array having threshold voltages that are greater than a reference voltage.
  • a controller can be configured to refresh an array of memory cells in response to a portion of memory cells in the array having threshold voltages that are greater than a reference voltage.
  • the controller can be configured to refresh memory cells programmed to a first state and/or to a second state, for example.
  • the controller can also be configured to apply a current to a portion of the array of memory cells and measure the voltages of the portion of the array of memory cells. The current can be applied during set periods of time (e.g., periodically) or can be applied continuously.
  • the controller can be configured to refresh the memory cells in the array in response to the portion of the array of memory cells having threshold voltages that are greater than the reference voltage.
  • a refresh operation can include reprogramming the memory cells to the state which they had been previously programmed. Refreshing the array of memory cells can improve read margin of the array of memory cells.
  • the controller is configured to measure a voltage associated with a portion of memory cells (e.g., a monitor array of memory cells) in the array of memory cells and refresh the array of memory cells in response to the voltage being within a set margin of a reference voltage.
  • the threshold voltage associated with memory cells can change over time reducing and/or eliminating a read margin associated with adjacent data states. Therefore, according to embodiments of the present disclosure a refresh operation can be used to reestablish the read margins associated with adjacent data states.
  • the portion of memory cells can be connected in parallel. In one or more embodiments, the number of memory cells in the monitor array can depend on a reference voltage.
  • another array of memory cells can be used to store data during the refresh operation.
  • the controller can include, for example, a buffer that stores the data during the refresh operation.
  • the memory device can include a buffer that stores the data during the refresh operation.
  • another memory device such as a NAND flash memory device, can be used to store data during the refresh operation.
  • a number of something can refer to one or more of such things.
  • a number of memory devices can refer to one or more of memory devices.
  • designators such as “M”, “N”, “S”, “T”, “X”, “Y”, as used herein, particularly with respect to reference numerals in the drawings, indicates that a number of the particular feature so designated can be included with a number of embodiments of the present disclosure.
  • FIG. 1A is a functional block diagram of a computing system 100 including an apparatus in the form of a number of memory systems 104 - 1 . . . 104 -N, in accordance with one or more embodiments of the present disclosure.
  • an “apparatus” can refer to, but is not limited to, any of a variety of structures or combinations of structures, such as a circuit or circuitry, a die or dice, a module or modules, a device or devices, or a system or systems, for example.
  • memory systems 104 - 1 . . . 104 -N can include one or more memory devices, such as memory devices 110 - 1 , . . .
  • Memory devices 110 - 1 , . . . , 110 -X, 110 -Y can include volatile memory and/or non-volatile memory.
  • memory systems 104 - 1 , . . . , 104 -N can include a multi-chip device.
  • a multi-chip device can include a number of different memory types.
  • a memory system can include a number of chips having non-volatile or volatile memory on any type of a module.
  • memory system 104 - 1 is coupled to the host 102 via channels 112 - 1 can include memory devices 110 - 1 , . . . , 110 -X.
  • memory device 110 - 1 can be a non-volatile cross-point array memory device and 110 -X can be a NAND flash memory device.
  • each memory device 110 - 1 , . . . , 110 -X, 110 -Y includes a controller 114 .
  • Controller 114 can receive commands from host 102 and control execution of the commands on a memory device.
  • the host 102 can send commands to the memory devices 110 - 1 , . . . , 110 -X, 110 -Y.
  • the host can communicate on the same channel (e.g., channel 112 - 1 ) with a non-volatile cross-point array memory device and a NAND flash memory device that are both on the same memory system.
  • a host 102 can be coupled to the memory systems 104 - 1 . . . 104 -N.
  • each memory system 104 - 1 . . . 104 -N can be coupled to host 102 via a channel.
  • memory system 104 - 1 is coupled to host 102 via channel 112 - 1
  • memory system 104 -N is coupled to host 102 via channel 112 -N.
  • Host 102 can be a laptop computer, personal computers, digital camera, digital recording and playback device, mobile telephone, PDA, memory card reader, interface hub, among other host systems, and can include a memory access device (e.g., a processor).
  • a processor can intend one or more processors, such as a parallel processing system, a number of coprocessors, etc.
  • Host 102 includes a host controller 108 to communicate with memory systems 104 - 1 . . . 104 -N.
  • the host controller 108 can send commands to the memory devices 110 - 1 , . . . , 110 -X, 110 -Y via channels 112 - 1 . . . 112 -N.
  • the host controller 108 can communicate with the memory devices 110 - 1 , . . . , 110 -X, 110 -Y and/or the controller 114 on each of the memory devices 110 - 1 , . . . , 110 -X, 110 -Y to read, write, and erase data, among other operations.
  • a physical host interface can provide an interface for passing control, address, data, and other signals between the memory systems 104 - 1 . . . 104 -N and host 102 having compatible receptors for the physical host interface.
  • the signals can be communicated between host 102 and memory devices 110 - 1 , . . . , 110 -X, 110 -Y on a number of buses, such as a data bus and/or an address bus, for example, via channels 112 - 1 . . . 112 -N.
  • the host controller 108 and/or controller 114 on a memory device can include control circuitry (e.g., hardware, firmware, and/or software).
  • the host controller 108 and/or controller 114 can be an application specific integrated circuit (ASIC) coupled to a printed circuit board including a physical interface.
  • ASIC application specific integrated circuit
  • each memory device 110 - 1 , . . . , 110 -X, 110 -Y can include buffer 116 of volatile and/or non-volatile memory.
  • Buffer 116 can be used to buffer data that is used during execution of read commands and/or write commands.
  • the buffer 116 can be configured to store signals, address signals (e.g., read and/or write commands), and/or data (e.g., write data).
  • the buffer can temporarily store signals and/or data while commands are executed.
  • the memory devices 110 - 1 , . . . , 110 -X, 110 -Y can provide main memory for the memory system or could be used as additional memory or storage throughout the memory system.
  • Each memory device 110 - 1 , . . . , 110 -X, 110 -Y can include one or more arrays of memory cells (e.g., non-volatile memory cells).
  • the arrays can be flash arrays with a NAND architecture, for example.
  • Embodiments are not limited to a particular type of memory device.
  • the memory device can include RAM, ROM, DRAM, SDRAM, PCRAM, RRAM, and flash memory, among others.
  • FIG. 1A can include additional circuitry that is not illustrated so as not to obscure embodiments of the present disclosure.
  • the memory systems 104 - 1 . . . 104 -N can include address circuitry to latch address signals provided over I/O connections through I/O circuitry. Address signals can be received and decoded by a row decoder and a column decoder to access the memory devices 110 - 1 , . . . , 110 -X, 110 -Y. It will be appreciated by those skilled in the art that the number of address input connections can depend on the density and architecture of the memory devices 110 - 1 , . . . , 110 -X, 110 -Y.
  • FIG. 1B is a block diagram of an apparatus in the form of a memory device in accordance with a number of embodiments of the present disclosure.
  • memory device 110 can include a controller 114 and an array of memory cells 117 .
  • Controller 114 can include monitor circuitry 118 and/or memory, such as SRAM memory, that can be a buffer 116 .
  • the array 117 can include one or more arrays of memory cells.
  • the one or more arrays can be non-volatile memory arrays and/or volatile memory arrays.
  • the array 117 can include a monitor array 113 .
  • the monitor array 113 can include memory cells coupled together in parallel via a bit line.
  • a current can be applied to the monitor array 113 to measure a voltage associated with the monitor array 113 .
  • the array 117 and the monitor array 113 can be refreshed in response to the voltage being within a set margin of a reference voltage.
  • Array 117 and/or monitor array 113 can include buffers which can be used to store cell data during a refresh operation.
  • the buffer can receive commands from controller 114 and the monitor circuitry 118 can be configured to execute commands to apply the current to the monitor array 113 .
  • FIG. 2 is a block diagram of a portion of an array 201 of memory cells 207 in accordance with a number of embodiments of the present disclosure.
  • the array 201 can be a two terminal cross-point array having memory cells 207 located at the intersections of a first plurality of conductive lines (e.g., access lines) 203 - 0 , 203 - 1 , . . . , 203 -T, which may be referred to herein as word lines, and a second plurality of conductive lines (e.g., data/sense lines, 205 - 0 , 205 - 1 , . . . , 205 -M) which may be referred to herein as bit lines.
  • a first plurality of conductive lines e.g., access lines
  • 203 - 0 , 203 - 1 , . . . , 203 -T which may be referred to herein as word lines
  • the designators N and M can have various values. Embodiments are not limited to a particular number of word lines and/or bit lines. As illustrated, the word lines 203 - 0 , 203 - 1 , . . . , 203 -T are parallel to each other and are orthogonal to the bit lines 205 - 0 , 205 - 1 , . . . , 205 -S, which are substantially parallel to each other; however, embodiments are not so limited.
  • the conductive lines can include conductive material (e.g., a metal material). Examples of the conductive material include, but are not limited to, tungsten, copper, titanium, aluminum, and/or combinations thereof, among other conductive materials.
  • Each memory cell 207 may include a memory element (e.g., a resistive memory element) coupled in series with a select device (e.g., an access device) in accordance with a number of embodiments described herein.
  • a memory element e.g., a resistive memory element
  • a select device e.g., an access device
  • the select devices can be operated (e.g., turned on/off) to select/deselect the memory element in order to perform operations such as data programming (e.g., writing, and/or data sensing (e.g., reading operations)).
  • the select device can be a diode, a bipolar junction transistor, a MOS transistor, and/or an Ovonic threshold switch, among other devices.
  • appropriate voltage and/or current signals e.g., pulses
  • the memory cells 207 can be programmed to a set state (e.g., low resistance) or a reset state (e.g., high resistance).
  • the data stored by a memory cell 207 of array 201 can be determined by turning on a select device and sensing a current through the memory element.
  • the current sensed on the bit line corresponding to the memory cell 207 being read corresponds to a resistance level of the memory element (e.g., a resistance level of a resistance variable material) which in turn may correspond to a particular data state (e.g., a binary value).
  • the array 201 can have an architecture other than that illustrated in FIG. 2 , as will be understood by one of ordinary skill in the art.
  • the array 201 can be a two dimensional array.
  • the memory cells 207 of the array 201 can be arranged between the access lines, 203 - 0 , 203 - 1 , . . . , 203 -T and the data/sense lines, 205 - 0 , 205 - 1 , . . . , 205 -S in a single level.
  • the array 201 can be a three dimensional array.
  • the memory cells of the array can be arranged in multiple levels, where each of the multiple levels has memory cells organized in a cross point architecture.
  • a vertical string of memory cells can be coupled to a data line and a plurality of access lines coupled to the vertical string of memory cells, for instance.
  • the access lines 203 - 0 , 203 - 1 , . . . , 203 -T and the data/sense lines 205 - 0 , 205 - 1 , . . . , 205 -S can be coupled to decoding circuits formed in a substrate material (e.g., formed adjacent to or for example below) the array 201 and used to interpret various signals (e.g., voltages and/or currents) on the access lines and/or the data/sense lines.
  • the decoding circuits may include row decoding circuits for decoding signals on the access lines, and column decoding circuits for decoding signals on the data/sense lines.
  • the term substrate material can include silicon-on-insulator (SOI) or silicon-on-sapphire (SOS) technology, doped and undoped semiconductors, epitaxial layers of silicon supported by a base semiconductor foundation, conventional metal oxide semiconductors (CMOS) (e.g., a CMOS front end with a metal backend) and/or other semiconductor structures and technologies.
  • CMOS metal oxide semiconductors
  • Various elements e.g., transistors, and/or circuitry
  • decode circuitry for instance associated with operating the array 201 can be formed in/on the substrate material such as via process steps to form regions or junctions in the base semiconductor structure or foundation.
  • the memory cells 207 can be formed using various processing techniques such as atomic material deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), supercritical fluid deposition (SFD), molecular beam expitaxy (MBE), patterning, etching, filling, chemical mechanical planarization (CMP), combinations thereof, and/or other suitable processes.
  • ALD atomic material deposition
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • SFD supercritical fluid deposition
  • MBE molecular beam expitaxy
  • materials may be grown in situ.
  • FIG. 3 illustrates a diagram associated with performing refresh in memory in accordance with a number of embodiments of the present disclosure.
  • the monitor circuitry e.g., monitor circuitry 118 in FIG. 1B
  • Tracking the threshold voltage 330 of a portion of memory cells can be executed via a controller configured to apply a current to the portion of the array of memory cells and measure a voltage associated with the portion of memory cells.
  • the current can be applied during set periods of time (e.g., periodically) or can be applied continuously.
  • the controller can be configured to refresh a portion of the memory cells in the array in response to the portion of memory cells (e.g., the monitor array) having a threshold voltage and/or threshold voltages that are greater than a reference voltage. Refreshing the array of memory cells can improve read margin of the array of memory cells.
  • the memory cells of the monitor array can be programmed to a particular data state (e.g., a first state voltage 326 or to a second state voltage 322 , for example).
  • the memory cells of the monitor array are initially programmed to a first state voltage 326 .
  • the controller e.g., controller 114 in FIG. 1B
  • the reference voltage 324 can be determined by the threshold voltage 330 being within a set margin 334 of the second state voltage 322 (e.g., a reference voltage for the second state).
  • the set margin 334 can depend on the speed and the size of the memory device to have enough time to do a refresh operation before memory cells programmed to the first state 326 reach the second state voltage 322 .
  • a refresh operation can be enabled 328 .
  • a refresh operation can reprogram at least a portion of the array of memory cells to a data state which they were previously programmed. For example, memory cells programmed to state 326 and have their threshold voltage change over time, as show in FIG. 3 , can be programmed so their threshold voltage returns to state 326 during a refresh operation.
  • the refresh operation is completed 332 , the memory cells of the array can be programmed to the state which they had been previously programmed.
  • the memory cells in the array initially programmed to state 326 can be reprogrammed to a threshold voltage associated with the state 326 .
  • the memory cells could be programmed to a threshold voltage associated with the state 322 during a refresh operation.
  • a first number of memory cells in the array can be programmed to the state 326 and/or a second number of memory cells in the array can be programmed to the state 322 .
  • the first number of memory cells in the array can be reprogrammed to the first state voltage 326 and/or the second number of memory cells can be reprogrammed to the second state voltage 322 .
  • the portion of memory cells that are being monitored can be within one or more monitor arrays.
  • the memory cells in the monitor array can be connected in parallel via a bit line.
  • the number of memory cells in the monitor array can depend on the reference voltage.

Abstract

The present disclosure includes apparatuses and methods related to refresh in memory. An apparatus can refresh an array of memory cells in response to a portion of memory cells in an array having threshold voltages that are greater than a reference voltage. The reference voltage can be determined by the threshold voltage being within a set margin of a second state.

Description

    TECHNICAL FIELD
  • The present disclosure relates generally to memory devices, and more particularly, to apparatuses and methods for refresh in memory.
  • BACKGROUND
  • Memory devices are typically provided as internal, semiconductor, integrated circuits in computers or other electronic devices. There are many different types of memory including volatile and non-volatile memory. Volatile memory can require power to maintain its data and includes random-access memory (RAM), dynamic random access memory (DRAM), and synchronous dynamic random access memory (SDRAM), among others. Non-volatile memory can provide persistent data by retaining stored data when not powered and can include NAND flash memory, NOR flash memory, read only memory (ROM), Electrically Erasable Programmable ROM (EEPROM), Erasable Programmable ROM (EPROM), and resistance variable memory such as phase change random access memory (PCRAM), resistive random access memory (RRAM), and magnetoresistive random access memory (MRAM), among others.
  • Memory is also utilized as volatile and non-volatile data storage for a wide range of electronic applications. Non-volatile memory may be used in, for example, personal computers, portable memory sticks, digital cameras, cellular telephones, portable music players such as MP3 players, movie players, and other electronic devices. Memory cells can be arranged into arrays, with the arrays being used in memory devices.
  • Memory can be part of a memory system used in computing devices. Memory systems can include volatile, such as DRAM, for example, and/or non-volatile memory, such as Flash memory or RRAM, for example.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a block diagram of an apparatus in the form of a computing system including a memory system in accordance with a number of embodiments of the present disclosure.
  • FIG. 1B is a block diagram of an apparatus in the form of a memory device in accordance with a number of embodiments of the present disclosure.
  • FIG. 2 is a block diagram of a portion of an array of memory cells in accordance with a number of embodiments of the present disclosure.
  • FIG. 3 illustrates a diagram associated with performing refresh in memory in accordance with a number of embodiments of the present disclosure.
  • DETAILED DESCRIPTION
  • The present disclosure includes apparatuses and methods related to refresh in memory. An example apparatus can refresh an array of memory cells in response to a portion of memory cells in the array having threshold voltages that are greater than a reference voltage.
  • In one or more embodiments of the present disclosure, a controller can be configured to refresh an array of memory cells in response to a portion of memory cells in the array having threshold voltages that are greater than a reference voltage. The controller can be configured to refresh memory cells programmed to a first state and/or to a second state, for example. The controller can also be configured to apply a current to a portion of the array of memory cells and measure the voltages of the portion of the array of memory cells. The current can be applied during set periods of time (e.g., periodically) or can be applied continuously. The controller can be configured to refresh the memory cells in the array in response to the portion of the array of memory cells having threshold voltages that are greater than the reference voltage. A refresh operation can include reprogramming the memory cells to the state which they had been previously programmed. Refreshing the array of memory cells can improve read margin of the array of memory cells.
  • In one or more embodiments of the present disclosure, the controller is configured to measure a voltage associated with a portion of memory cells (e.g., a monitor array of memory cells) in the array of memory cells and refresh the array of memory cells in response to the voltage being within a set margin of a reference voltage. The threshold voltage associated with memory cells can change over time reducing and/or eliminating a read margin associated with adjacent data states. Therefore, according to embodiments of the present disclosure a refresh operation can be used to reestablish the read margins associated with adjacent data states. The portion of memory cells can be connected in parallel. In one or more embodiments, the number of memory cells in the monitor array can depend on a reference voltage.
  • In one or more embodiments, another array of memory cells can be used to store data during the refresh operation. The controller can include, for example, a buffer that stores the data during the refresh operation. In one or more embodiments, the memory device can include a buffer that stores the data during the refresh operation. Also, another memory device, such as a NAND flash memory device, can be used to store data during the refresh operation.
  • In the following detailed description of the present disclosure, reference is made to the accompanying drawings that form a part hereof, and in which is shown by way of illustration how a number of embodiments of the disclosure may be practiced. These embodiments are described in sufficient detail to enable those of ordinary skill in the art to practice the embodiments of this disclosure, and it is to be understood that other embodiments may be utilized and that process, electrical, and/or structural changes may be made without departing from the scope of the present disclosure.
  • As used herein, “a number of” something can refer to one or more of such things. For example, a number of memory devices can refer to one or more of memory devices. Additionally, designators such as “M”, “N”, “S”, “T”, “X”, “Y”, as used herein, particularly with respect to reference numerals in the drawings, indicates that a number of the particular feature so designated can be included with a number of embodiments of the present disclosure.
  • The figures herein follow a numbering convention in which the first digit or digits correspond to the drawing figure number and the remaining digits identify an element or component in the drawing. Similar elements or components between different figures may be identified by the use of similar digits. As will be appreciated, elements shown in the various embodiments herein can be added, exchanged, and/or eliminated so as to provide a number of additional embodiments of the present disclosure. In addition, the proportion and the relative scale of the elements provided in the figures are intended to illustrate various embodiments of the present disclosure and are not to be used in a limiting sense.
  • FIG. 1A is a functional block diagram of a computing system 100 including an apparatus in the form of a number of memory systems 104-1 . . . 104-N, in accordance with one or more embodiments of the present disclosure. As used herein, an “apparatus” can refer to, but is not limited to, any of a variety of structures or combinations of structures, such as a circuit or circuitry, a die or dice, a module or modules, a device or devices, or a system or systems, for example. In the embodiment illustrated in FIG. 1A, memory systems 104-1 . . . 104-N can include one or more memory devices, such as memory devices 110-1, . . . , 110-X, 110-Y. Memory devices 110-1, . . . , 110-X, 110-Y can include volatile memory and/or non-volatile memory. In a number of embodiments, memory systems 104-1, . . . , 104-N can include a multi-chip device. A multi-chip device can include a number of different memory types. For example, a memory system can include a number of chips having non-volatile or volatile memory on any type of a module. In FIG. 1A, memory system 104-1 is coupled to the host 102 via channels 112-1 can include memory devices 110-1, . . . , 110-X. For example, memory device 110-1 can be a non-volatile cross-point array memory device and 110-X can be a NAND flash memory device. In this example, each memory device 110-1, . . . , 110-X, 110-Y includes a controller 114. Controller 114 can receive commands from host 102 and control execution of the commands on a memory device. The host 102 can send commands to the memory devices 110-1, . . . , 110-X, 110-Y. For example, the host can communicate on the same channel (e.g., channel 112-1) with a non-volatile cross-point array memory device and a NAND flash memory device that are both on the same memory system.
  • As illustrated in FIG. 1A, a host 102 can be coupled to the memory systems 104-1 . . . 104-N. In a number of embodiments, each memory system 104-1 . . . 104-N can be coupled to host 102 via a channel. In FIG. 1A, memory system 104-1 is coupled to host 102 via channel 112-1 and memory system 104-N is coupled to host 102 via channel 112-N. Host 102 can be a laptop computer, personal computers, digital camera, digital recording and playback device, mobile telephone, PDA, memory card reader, interface hub, among other host systems, and can include a memory access device (e.g., a processor). One of ordinary skill in the art will appreciate that “a processor” can intend one or more processors, such as a parallel processing system, a number of coprocessors, etc.
  • Host 102 includes a host controller 108 to communicate with memory systems 104-1 . . . 104-N. The host controller 108 can send commands to the memory devices 110-1, . . . , 110-X, 110-Y via channels 112-1 . . . 112-N. The host controller 108 can communicate with the memory devices 110-1, . . . , 110-X, 110-Y and/or the controller 114 on each of the memory devices 110-1, . . . , 110-X, 110-Y to read, write, and erase data, among other operations. A physical host interface can provide an interface for passing control, address, data, and other signals between the memory systems 104-1 . . . 104-N and host 102 having compatible receptors for the physical host interface. The signals can be communicated between host 102 and memory devices 110-1, . . . , 110-X, 110-Y on a number of buses, such as a data bus and/or an address bus, for example, via channels 112-1 . . . 112-N.
  • The host controller 108 and/or controller 114 on a memory device can include control circuitry (e.g., hardware, firmware, and/or software). In one or more embodiments, the host controller 108 and/or controller 114 can be an application specific integrated circuit (ASIC) coupled to a printed circuit board including a physical interface. Also, each memory device 110-1, . . . , 110-X, 110-Y can include buffer 116 of volatile and/or non-volatile memory. Buffer 116 can be used to buffer data that is used during execution of read commands and/or write commands. The buffer 116 can be configured to store signals, address signals (e.g., read and/or write commands), and/or data (e.g., write data). The buffer can temporarily store signals and/or data while commands are executed.
  • The memory devices 110-1, . . . , 110-X, 110-Y can provide main memory for the memory system or could be used as additional memory or storage throughout the memory system. Each memory device 110-1, . . . , 110-X, 110-Y can include one or more arrays of memory cells (e.g., non-volatile memory cells). The arrays can be flash arrays with a NAND architecture, for example. Embodiments are not limited to a particular type of memory device. For instance, the memory device can include RAM, ROM, DRAM, SDRAM, PCRAM, RRAM, and flash memory, among others.
  • The embodiment of FIG. 1A can include additional circuitry that is not illustrated so as not to obscure embodiments of the present disclosure. For example, the memory systems 104-1 . . . 104-N can include address circuitry to latch address signals provided over I/O connections through I/O circuitry. Address signals can be received and decoded by a row decoder and a column decoder to access the memory devices 110-1, . . . , 110-X, 110-Y. It will be appreciated by those skilled in the art that the number of address input connections can depend on the density and architecture of the memory devices 110-1, . . . , 110-X, 110-Y.
  • FIG. 1B is a block diagram of an apparatus in the form of a memory device in accordance with a number of embodiments of the present disclosure. In FIG. 1B, memory device 110 can include a controller 114 and an array of memory cells 117. Controller 114 can include monitor circuitry 118 and/or memory, such as SRAM memory, that can be a buffer 116. The array 117 can include one or more arrays of memory cells. The one or more arrays can be non-volatile memory arrays and/or volatile memory arrays. The array 117 can include a monitor array 113. The monitor array 113 can include memory cells coupled together in parallel via a bit line. In a number of embodiments, a current can be applied to the monitor array 113 to measure a voltage associated with the monitor array 113. The array 117 and the monitor array 113 can be refreshed in response to the voltage being within a set margin of a reference voltage.
  • Array 117 and/or monitor array 113 can include buffers which can be used to store cell data during a refresh operation. The buffer can receive commands from controller 114 and the monitor circuitry 118 can be configured to execute commands to apply the current to the monitor array 113.
  • FIG. 2 is a block diagram of a portion of an array 201 of memory cells 207 in accordance with a number of embodiments of the present disclosure. The array 201 can be a two terminal cross-point array having memory cells 207 located at the intersections of a first plurality of conductive lines (e.g., access lines) 203-0, 203-1, . . . , 203-T, which may be referred to herein as word lines, and a second plurality of conductive lines (e.g., data/sense lines, 205-0, 205-1, . . . , 205-M) which may be referred to herein as bit lines. The designators N and M can have various values. Embodiments are not limited to a particular number of word lines and/or bit lines. As illustrated, the word lines 203-0, 203-1, . . . , 203-T are parallel to each other and are orthogonal to the bit lines 205-0, 205-1, . . . , 205-S, which are substantially parallel to each other; however, embodiments are not so limited. The conductive lines can include conductive material (e.g., a metal material). Examples of the conductive material include, but are not limited to, tungsten, copper, titanium, aluminum, and/or combinations thereof, among other conductive materials.
  • Each memory cell 207 may include a memory element (e.g., a resistive memory element) coupled in series with a select device (e.g., an access device) in accordance with a number of embodiments described herein. The memory element and the select device are discussed further herein.
  • The select devices can be operated (e.g., turned on/off) to select/deselect the memory element in order to perform operations such as data programming (e.g., writing, and/or data sensing (e.g., reading operations)). The select device can be a diode, a bipolar junction transistor, a MOS transistor, and/or an Ovonic threshold switch, among other devices. In operation, appropriate voltage and/or current signals (e.g., pulses) can be applied to the bit lines and word lines in order to program data to and/or read data from the memory cells 207. The memory cells 207 can be programmed to a set state (e.g., low resistance) or a reset state (e.g., high resistance). As an example, the data stored by a memory cell 207 of array 201 can be determined by turning on a select device and sensing a current through the memory element. The current sensed on the bit line corresponding to the memory cell 207 being read corresponds to a resistance level of the memory element (e.g., a resistance level of a resistance variable material) which in turn may correspond to a particular data state (e.g., a binary value). The array 201 can have an architecture other than that illustrated in FIG. 2, as will be understood by one of ordinary skill in the art.
  • The array 201 can be a two dimensional array. For example, the memory cells 207 of the array 201 can be arranged between the access lines, 203-0, 203-1, . . . , 203-T and the data/sense lines, 205-0, 205-1, . . . , 205-S in a single level. The array 201 can be a three dimensional array. For example, the memory cells of the array can be arranged in multiple levels, where each of the multiple levels has memory cells organized in a cross point architecture. For three dimensional array embodiments of the present disclosure, a vertical string of memory cells can be coupled to a data line and a plurality of access lines coupled to the vertical string of memory cells, for instance.
  • The access lines 203-0, 203-1, . . . , 203-T and the data/sense lines 205-0, 205-1, . . . , 205-S can be coupled to decoding circuits formed in a substrate material (e.g., formed adjacent to or for example below) the array 201 and used to interpret various signals (e.g., voltages and/or currents) on the access lines and/or the data/sense lines. As an example, the decoding circuits may include row decoding circuits for decoding signals on the access lines, and column decoding circuits for decoding signals on the data/sense lines.
  • As used in the present disclosure, the term substrate material can include silicon-on-insulator (SOI) or silicon-on-sapphire (SOS) technology, doped and undoped semiconductors, epitaxial layers of silicon supported by a base semiconductor foundation, conventional metal oxide semiconductors (CMOS) (e.g., a CMOS front end with a metal backend) and/or other semiconductor structures and technologies. Various elements (e.g., transistors, and/or circuitry), such as decode circuitry for instance, associated with operating the array 201 can be formed in/on the substrate material such as via process steps to form regions or junctions in the base semiconductor structure or foundation.
  • The memory cells 207 can be formed using various processing techniques such as atomic material deposition (ALD), physical vapor deposition (PVD), chemical vapor deposition (CVD), supercritical fluid deposition (SFD), molecular beam expitaxy (MBE), patterning, etching, filling, chemical mechanical planarization (CMP), combinations thereof, and/or other suitable processes. In accordance with a number of embodiments of the present disclosure, materials may be grown in situ.
  • FIG. 3 illustrates a diagram associated with performing refresh in memory in accordance with a number of embodiments of the present disclosure. The monitor circuitry (e.g., monitor circuitry 118 in FIG. 1B) can track the threshold voltage 330 of a portion of memory cells in the array of memory cells, (e.g., a monitor array of memory cells). Tracking the threshold voltage 330 of a portion of memory cells (e.g., the monitor array) can be executed via a controller configured to apply a current to the portion of the array of memory cells and measure a voltage associated with the portion of memory cells. The current can be applied during set periods of time (e.g., periodically) or can be applied continuously. The controller can be configured to refresh a portion of the memory cells in the array in response to the portion of memory cells (e.g., the monitor array) having a threshold voltage and/or threshold voltages that are greater than a reference voltage. Refreshing the array of memory cells can improve read margin of the array of memory cells.
  • The memory cells of the monitor array can be programmed to a particular data state (e.g., a first state voltage 326 or to a second state voltage 322, for example). In this example for illustration, the memory cells of the monitor array are initially programmed to a first state voltage 326. However, embodiments are not limited to memory cells initially programmed to a first state voltage 326. The controller (e.g., controller 114 in FIG. 1B) can refresh the array of memory cells in response to the memory cells in the monitor array having threshold voltages 330 that are greater than a reference voltage 324. The reference voltage 324 can be determined by the threshold voltage 330 being within a set margin 334 of the second state voltage 322 (e.g., a reference voltage for the second state). The set margin 334 can depend on the speed and the size of the memory device to have enough time to do a refresh operation before memory cells programmed to the first state 326 reach the second state voltage 322. When the threshold voltage 330 of memory cells in the monitor array reaches the reference voltage 324, a refresh operation can be enabled 328. A refresh operation can reprogram at least a portion of the array of memory cells to a data state which they were previously programmed. For example, memory cells programmed to state 326 and have their threshold voltage change over time, as show in FIG. 3, can be programmed so their threshold voltage returns to state 326 during a refresh operation. When the refresh operation is completed 332, the memory cells of the array can be programmed to the state which they had been previously programmed. In this example, the memory cells in the array initially programmed to state 326 can be reprogrammed to a threshold voltage associated with the state 326. However, if the memory cells were initially programmed to state 322, the memory cells could be programmed to a threshold voltage associated with the state 322 during a refresh operation. In one or more embodiments, a first number of memory cells in the array can be programmed to the state 326 and/or a second number of memory cells in the array can be programmed to the state 322. During a refresh operation, the first number of memory cells in the array can be reprogrammed to the first state voltage 326 and/or the second number of memory cells can be reprogrammed to the second state voltage 322.
  • In one or more embodiments, the portion of memory cells that are being monitored can be within one or more monitor arrays. The memory cells in the monitor array can be connected in parallel via a bit line. In one or more embodiments the number of memory cells in the monitor array can depend on the reference voltage.
  • Although specific embodiments have been illustrated and described herein, those of ordinary skill in the art will appreciate that an arrangement calculated to achieve the same results can be substituted for the specific embodiments shown. This disclosure is intended to cover adaptations or variations of various embodiments of the present disclosure. It is to be understood that the above description has been made in an illustrative fashion, and not a restrictive one. Combination of the above embodiments, and other embodiments not specifically described herein will be apparent to those of skill in the art upon reviewing the above description. The scope of the various embodiments of the present disclosure includes other applications in which the above structures and methods are used. Therefore, the scope of various embodiments of the present disclosure should be determined with reference to the appended claims, along with the full range of equivalents to which such claims are entitled.
  • In the foregoing Detailed Description, various features are grouped together in a single embodiment for the purpose of streamlining the disclosure. This method of disclosure is not to be interpreted as reflecting an intention that the disclosed embodiments of the present disclosure have to use more features than are expressly recited in each claim. Rather, as the following claims reflect, inventive subject matter lies in less than all features of a single disclosed embodiment. Thus, the following claims are hereby incorporated into the Detailed Description, with each claim standing on its own as a separate embodiment.

Claims (6)

1-16. (canceled)
17. A method, comprising:
measuring a voltage associated with a first number of memory cells in an array of memory cells; and
refreshing the array of memory cells in response to the voltage being within a set margin of a reference voltage, wherein the set margin is based on an amount of time to complete refreshing the array of memory cells and the set margin is based on the speed and size of a memory device to have enough time to do a refresh operation before reaching the reference voltage, and wherein the memory device includes the array of memory cells and a monitor array.
18. (canceled)
19. The method of claim 17, wherein refreshing the array of memory cells improves read margin of the array of memory cells.
20-22. (canceled)
23. An Apparatus, comprising:
an array of memory cells; and
a controller configured to:
measure a voltage associated with a first number of memory cells in the array of memory cells; and
refresh the array of memory cells in response to the voltage being within a set margin of a reference voltage, wherein the set margin is based on an amount of time to complete the refresh of the array of memory cells and the set margin is based on the speed and size of a memory device to have enough time to do a refresh operation before reaching the reference voltage, and wherein the memory device includes the array of memory cells and a monitor array.
US15/590,143 2017-05-09 2017-05-09 Refresh in memory based on a set margin Active US10147475B1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US15/590,143 US10147475B1 (en) 2017-05-09 2017-05-09 Refresh in memory based on a set margin
PCT/US2018/031015 WO2018208584A2 (en) 2017-05-09 2018-05-04 Refresh in memory based on a set margin
CN201880041231.3A CN110770835A (en) 2017-05-09 2018-05-04 Refresh in memory based on set margin
EP18799373.8A EP3622516A4 (en) 2017-05-09 2018-05-04 Refresh in memory based on a set margin
KR1020197035937A KR102349035B1 (en) 2017-05-09 2018-05-04 Refresh of memory based on set margin
US16/110,601 US11158363B2 (en) 2017-05-09 2018-08-23 Refresh in memory based on monitor array threshold drift

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/590,143 US10147475B1 (en) 2017-05-09 2017-05-09 Refresh in memory based on a set margin

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/110,601 Continuation US11158363B2 (en) 2017-05-09 2018-08-23 Refresh in memory based on monitor array threshold drift

Publications (2)

Publication Number Publication Date
US20180330774A1 true US20180330774A1 (en) 2018-11-15
US10147475B1 US10147475B1 (en) 2018-12-04

Family

ID=64097406

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/590,143 Active US10147475B1 (en) 2017-05-09 2017-05-09 Refresh in memory based on a set margin
US16/110,601 Active US11158363B2 (en) 2017-05-09 2018-08-23 Refresh in memory based on monitor array threshold drift

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/110,601 Active US11158363B2 (en) 2017-05-09 2018-08-23 Refresh in memory based on monitor array threshold drift

Country Status (5)

Country Link
US (2) US10147475B1 (en)
EP (1) EP3622516A4 (en)
KR (1) KR102349035B1 (en)
CN (1) CN110770835A (en)
WO (1) WO2018208584A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220181960A1 (en) * 2020-12-07 2022-06-09 Silergy Semiconductor Technology (Hangzhou) Ltd Communication control circuit for power supply chip
EP4070313A4 (en) * 2019-12-03 2023-08-09 Micron Technology, Inc. Memory device and method for operating the same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220151056A (en) * 2021-05-04 2022-11-14 삼성전자주식회사 Memory device

Citations (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040022085A1 (en) * 2002-08-05 2004-02-05 Parkinson Ward D. Refreshing memory cells of a phase change material memory device
US6714473B1 (en) * 2001-11-30 2004-03-30 Cypress Semiconductor Corp. Method and architecture for refreshing a 1T memory proportional to temperature
US20050007852A1 (en) * 2003-07-08 2005-01-13 Moore John T. Method of refreshing a PCRAM memory device
US20060146600A1 (en) * 2005-01-05 2006-07-06 Johnson Brian G Reading phase change memories to reduce read disturbs
US20060158948A1 (en) * 2005-01-19 2006-07-20 Elpida Memory, Inc Memory device
US20060227591A1 (en) * 2005-03-30 2006-10-12 Tyler Lowrey Using higher current to read a triggered phase change memory
US20060227592A1 (en) * 2005-03-30 2006-10-12 Parkinson Ward D Reading phase change memories
US20060233019A1 (en) * 2005-04-14 2006-10-19 Kostylev Sergey A Reading phase change memories without triggering reset cell threshold devices
US20070171762A1 (en) * 2006-01-24 2007-07-26 Macronix International Co., Ltd. Method and Apparatus to Control Sensing Time for Nonvolatile Memory
US20080084735A1 (en) * 2006-09-01 2008-04-10 Stmicroelectronics S.R.L. Method for reading phase change memories and phase change memory
US20080094914A1 (en) * 2006-10-20 2008-04-24 Samsung Electronics Co., Ltd. Methods of restoring data in flash memory devices and related flash memory device memory systems
US20080272807A1 (en) * 2007-03-15 2008-11-06 Ovonyx, Inc. Thin film logic device and system
US20080316802A1 (en) * 2007-06-21 2008-12-25 Thomas Happ Memory device having drift compensated read operation and associated method
US7474579B2 (en) * 2006-12-20 2009-01-06 Spansion Llc Use of periodic refresh in medium retention memory arrays
US20090161415A1 (en) * 2007-12-21 2009-06-25 Qimonda Ag Integrated circuit for setting a memory cell based on a reset current distribution
US20090237984A1 (en) * 2008-03-21 2009-09-24 Micron Technology, Inc. Memory cell
US20090303784A1 (en) * 2008-06-06 2009-12-10 Ovonyx, Inc. Asymetric threshold three terminal switching device
US20100054030A1 (en) * 2008-08-28 2010-03-04 Ovonyx, Inc. Programmable resistance memory
US20100067285A1 (en) * 2008-09-12 2010-03-18 Macronix International Co., Ltd. Novel sensing circuit for pcram applications
US20100124105A1 (en) * 2008-04-08 2010-05-20 Samsung Electronics Co., Ltd. Variable resistance memory device and system
US20100195372A1 (en) * 2007-09-21 2010-08-05 Kabushiki Kaisha Toshiba Resistance-changing memory device
US20100246247A1 (en) * 2007-08-21 2010-09-30 Kim Doo-Gon Phase-change random access memories, memory devices, memory systems, methods of operating and methods of manufacturing the same
US7839673B2 (en) * 2008-06-06 2010-11-23 Ovonyx, Inc. Thin-film memory system having thin-film peripheral circuit and memory controller for interfacing with a standalone thin-film memory
US20100314601A1 (en) * 2009-06-15 2010-12-16 Macronix International Co., Ltd. Phase change memory having stabilized microstructure and manufacturing method
US20100321987A1 (en) * 2009-06-22 2010-12-23 Macronix International Co., Ltd. Memory device and method for sensing and fixing margin cells
US20110013446A1 (en) * 2009-07-15 2011-01-20 Macronix International Co., Ltd. Refresh circuitry for phase change memory
US7995381B2 (en) * 2008-10-27 2011-08-09 Infineon Technologies Ag Method of programming resistivity changing memory
US20110317480A1 (en) * 2010-06-25 2011-12-29 Macronix International Co., Ltd, Phase change memory coding
US20120063196A1 (en) * 2010-09-14 2012-03-15 Samsung Electronics Co., Ltd. Resistive memory device and method of controlling refresh operation of resistive memory device
US20120075924A1 (en) * 2010-09-24 2012-03-29 Kau Derchang Method, apparatus and system to determine access information for a phase change memory
US20120075914A1 (en) * 2007-07-26 2012-03-29 Unity Semiconductor Corporation Low Read Current Architecture For Memory
US20120294092A1 (en) * 2011-05-16 2012-11-22 Samsung Electronics Co., Ltd. Operating method of nonvolatile memory device and operating method of memory system including nonvolatile memory device
US20130044535A1 (en) * 2011-04-13 2013-02-21 Kazuhiko Shimakawa Reference cell circuit and variable resistance nonvolatile memory device including the same
US20130235649A1 (en) * 2012-03-07 2013-09-12 Rambus Inc. Direct relative measurement of memory durability
US20130318418A1 (en) * 2012-05-22 2013-11-28 Politecncio di Milano Adaptive error correction for phase change memory
US20130336048A1 (en) * 2012-04-24 2013-12-19 Being Advanced Memory Corporation Processors and Systems Using Cell-Refreshed Phase-Change Memory
US20140177355A1 (en) * 2012-12-21 2014-06-26 SK Hynix Inc. Nonvolatile memory apparatus
US20140219014A1 (en) * 2013-02-05 2014-08-07 Samsung Electronics Co., Ltd. Nonvolatile Memory Device and Writing Method Thereof
US20150089120A1 (en) * 2013-09-26 2015-03-26 Intel Corporation Refresh of data stored in a cross-point non-volatile memory
US20150348624A1 (en) * 2014-06-02 2015-12-03 Integrated Silicon Solution, Inc. Method for improving sensing margin of resistive memory
US20150357035A1 (en) * 2014-06-05 2015-12-10 Integrated Silicon Solution, Inc. Resistive memory device implementing selective memory cell refresh
US9230688B2 (en) * 2013-11-08 2016-01-05 Micron Technology, Inc. Determining an age of data stored in memory
US9275730B2 (en) * 2014-04-11 2016-03-01 Micron Technology, Inc. Apparatuses and methods of reading memory cells based on response to a test pulse
US20160125942A1 (en) * 2014-10-29 2016-05-05 Chi-Weon Yoon Resistive memory device and operating method
US20160189774A1 (en) * 2014-12-26 2016-06-30 Intel Corporation Refresh logic to refresh only memory cells having a first value
US9431121B2 (en) * 2014-10-24 2016-08-30 Micron Technology, Inc. Read voltage adjustment
US20160284399A1 (en) * 2015-03-27 2016-09-29 Intel Corporation Apparatus and method for drift cancellation in a memory
US9589634B1 (en) * 2016-03-31 2017-03-07 Intel Corporation Techniques to mitigate bias drift for a memory device
US9842644B1 (en) * 2016-06-08 2017-12-12 SK Hynix Inc. Memory device, operation method of the same, and operation method of memory controller

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100542701B1 (en) 2003-11-18 2006-01-11 주식회사 하이닉스반도체 Method of measuring threshold voltage for a NAND flash memory device
EP1717817B8 (en) * 2005-04-29 2016-05-18 Micron Technology, Inc. A semiconductor memory device with information loss self-detect capability
KR100800145B1 (en) 2006-05-22 2008-02-01 주식회사 하이닉스반도체 Circuit For Controlling Self Refresh Cycle and Method Thereof
KR100799018B1 (en) 2006-12-27 2008-01-28 주식회사 하이닉스반도체 Non-volatile memory device and method of self compensation the same
JP2009140564A (en) * 2007-12-06 2009-06-25 Toshiba Corp Nand flash memory and memory system
KR20090126587A (en) * 2008-06-04 2009-12-09 삼성전자주식회사 Phase change memory device and read method thereof
US10359949B2 (en) 2011-10-31 2019-07-23 Apple Inc. Systems and methods for obtaining and using nonvolatile memory health information
US8792272B2 (en) 2012-01-30 2014-07-29 HGST Netherlands B.V. Implementing enhanced data partial-erase for multi-level cell (MLC) memory using threshold voltage-drift or resistance drift tolerant moving baseline memory data encoding
US9076545B2 (en) 2013-01-17 2015-07-07 Sandisk Tecnologies Inc. Dynamic adjustment of read voltage levels based on memory cell threshold voltage distribution
US9136015B2 (en) 2013-04-24 2015-09-15 Apple Inc. Threshold adjustment using data value balancing in analog memory device
KR102170857B1 (en) * 2013-08-19 2020-10-29 삼성전자주식회사 Driving method of nonvolatile memory device using variable resistive element
KR20150044475A (en) * 2013-10-16 2015-04-27 에스케이하이닉스 주식회사 Resistibility Memory Apparatus and Operation Method Thereof, and System Having the Same
KR102285994B1 (en) 2014-05-13 2021-08-06 삼성전자주식회사 Nonvolatile memory system including nonvolatile memory device and memory controller and operating method of memory controller
KR102178832B1 (en) 2014-07-22 2020-11-13 삼성전자 주식회사 Resistive memory device and operating method thereof
US10373679B1 (en) * 2016-05-24 2019-08-06 SK Hynix Inc. Electronic device and method for reading data of resistive memory cell including drift recovery
US9824767B1 (en) * 2016-06-29 2017-11-21 Intel Corporation Methods and apparatus to reduce threshold voltage drift

Patent Citations (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6714473B1 (en) * 2001-11-30 2004-03-30 Cypress Semiconductor Corp. Method and architecture for refreshing a 1T memory proportional to temperature
US20040022085A1 (en) * 2002-08-05 2004-02-05 Parkinson Ward D. Refreshing memory cells of a phase change material memory device
US20050007852A1 (en) * 2003-07-08 2005-01-13 Moore John T. Method of refreshing a PCRAM memory device
US20060146600A1 (en) * 2005-01-05 2006-07-06 Johnson Brian G Reading phase change memories to reduce read disturbs
US20090010048A1 (en) * 2005-01-19 2009-01-08 Elpida Memory, Inc. Memory device including a programmable resistance element
US20060158948A1 (en) * 2005-01-19 2006-07-20 Elpida Memory, Inc Memory device
US20060227591A1 (en) * 2005-03-30 2006-10-12 Tyler Lowrey Using higher current to read a triggered phase change memory
US20060227592A1 (en) * 2005-03-30 2006-10-12 Parkinson Ward D Reading phase change memories
US20060233019A1 (en) * 2005-04-14 2006-10-19 Kostylev Sergey A Reading phase change memories without triggering reset cell threshold devices
US20070171762A1 (en) * 2006-01-24 2007-07-26 Macronix International Co., Ltd. Method and Apparatus to Control Sensing Time for Nonvolatile Memory
US20080084735A1 (en) * 2006-09-01 2008-04-10 Stmicroelectronics S.R.L. Method for reading phase change memories and phase change memory
US20080094914A1 (en) * 2006-10-20 2008-04-24 Samsung Electronics Co., Ltd. Methods of restoring data in flash memory devices and related flash memory device memory systems
US7474579B2 (en) * 2006-12-20 2009-01-06 Spansion Llc Use of periodic refresh in medium retention memory arrays
US20080272807A1 (en) * 2007-03-15 2008-11-06 Ovonyx, Inc. Thin film logic device and system
US20080316802A1 (en) * 2007-06-21 2008-12-25 Thomas Happ Memory device having drift compensated read operation and associated method
US20120075914A1 (en) * 2007-07-26 2012-03-29 Unity Semiconductor Corporation Low Read Current Architecture For Memory
US20100246247A1 (en) * 2007-08-21 2010-09-30 Kim Doo-Gon Phase-change random access memories, memory devices, memory systems, methods of operating and methods of manufacturing the same
US20100195372A1 (en) * 2007-09-21 2010-08-05 Kabushiki Kaisha Toshiba Resistance-changing memory device
US20090161415A1 (en) * 2007-12-21 2009-06-25 Qimonda Ag Integrated circuit for setting a memory cell based on a reset current distribution
US20090237984A1 (en) * 2008-03-21 2009-09-24 Micron Technology, Inc. Memory cell
US20100124105A1 (en) * 2008-04-08 2010-05-20 Samsung Electronics Co., Ltd. Variable resistance memory device and system
US20090303784A1 (en) * 2008-06-06 2009-12-10 Ovonyx, Inc. Asymetric threshold three terminal switching device
US7839673B2 (en) * 2008-06-06 2010-11-23 Ovonyx, Inc. Thin-film memory system having thin-film peripheral circuit and memory controller for interfacing with a standalone thin-film memory
US20100054030A1 (en) * 2008-08-28 2010-03-04 Ovonyx, Inc. Programmable resistance memory
US20100067285A1 (en) * 2008-09-12 2010-03-18 Macronix International Co., Ltd. Novel sensing circuit for pcram applications
US7995381B2 (en) * 2008-10-27 2011-08-09 Infineon Technologies Ag Method of programming resistivity changing memory
US20100314601A1 (en) * 2009-06-15 2010-12-16 Macronix International Co., Ltd. Phase change memory having stabilized microstructure and manufacturing method
US20100321987A1 (en) * 2009-06-22 2010-12-23 Macronix International Co., Ltd. Memory device and method for sensing and fixing margin cells
US20110013446A1 (en) * 2009-07-15 2011-01-20 Macronix International Co., Ltd. Refresh circuitry for phase change memory
US7894254B2 (en) * 2009-07-15 2011-02-22 Macronix International Co., Ltd. Refresh circuitry for phase change memory
US20110317480A1 (en) * 2010-06-25 2011-12-29 Macronix International Co., Ltd, Phase change memory coding
US20120063196A1 (en) * 2010-09-14 2012-03-15 Samsung Electronics Co., Ltd. Resistive memory device and method of controlling refresh operation of resistive memory device
US20120075924A1 (en) * 2010-09-24 2012-03-29 Kau Derchang Method, apparatus and system to determine access information for a phase change memory
US20130044535A1 (en) * 2011-04-13 2013-02-21 Kazuhiko Shimakawa Reference cell circuit and variable resistance nonvolatile memory device including the same
US20120294092A1 (en) * 2011-05-16 2012-11-22 Samsung Electronics Co., Ltd. Operating method of nonvolatile memory device and operating method of memory system including nonvolatile memory device
US20130235649A1 (en) * 2012-03-07 2013-09-12 Rambus Inc. Direct relative measurement of memory durability
US20130336048A1 (en) * 2012-04-24 2013-12-19 Being Advanced Memory Corporation Processors and Systems Using Cell-Refreshed Phase-Change Memory
US20130318418A1 (en) * 2012-05-22 2013-11-28 Politecncio di Milano Adaptive error correction for phase change memory
US20140177355A1 (en) * 2012-12-21 2014-06-26 SK Hynix Inc. Nonvolatile memory apparatus
US20140219014A1 (en) * 2013-02-05 2014-08-07 Samsung Electronics Co., Ltd. Nonvolatile Memory Device and Writing Method Thereof
US20150089120A1 (en) * 2013-09-26 2015-03-26 Intel Corporation Refresh of data stored in a cross-point non-volatile memory
US9230688B2 (en) * 2013-11-08 2016-01-05 Micron Technology, Inc. Determining an age of data stored in memory
US9275730B2 (en) * 2014-04-11 2016-03-01 Micron Technology, Inc. Apparatuses and methods of reading memory cells based on response to a test pulse
US20150348624A1 (en) * 2014-06-02 2015-12-03 Integrated Silicon Solution, Inc. Method for improving sensing margin of resistive memory
US20150357035A1 (en) * 2014-06-05 2015-12-10 Integrated Silicon Solution, Inc. Resistive memory device implementing selective memory cell refresh
US9431121B2 (en) * 2014-10-24 2016-08-30 Micron Technology, Inc. Read voltage adjustment
US20160125942A1 (en) * 2014-10-29 2016-05-05 Chi-Weon Yoon Resistive memory device and operating method
US20160189774A1 (en) * 2014-12-26 2016-06-30 Intel Corporation Refresh logic to refresh only memory cells having a first value
US20160284399A1 (en) * 2015-03-27 2016-09-29 Intel Corporation Apparatus and method for drift cancellation in a memory
US9613691B2 (en) * 2015-03-27 2017-04-04 Intel Corporation Apparatus and method for drift cancellation in a memory
US9589634B1 (en) * 2016-03-31 2017-03-07 Intel Corporation Techniques to mitigate bias drift for a memory device
US20170287533A1 (en) * 2016-03-31 2017-10-05 Intel Corporation Techniques to mitigate bias drift for a memory device
US9842644B1 (en) * 2016-06-08 2017-12-12 SK Hynix Inc. Memory device, operation method of the same, and operation method of memory controller
US20170358350A1 (en) * 2016-06-08 2017-12-14 SK Hynix Inc. Memory device, operation method of the same, and operation method of memory controller

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
FUJI US 2009/0010048 A1 *
Hokenmaier and US 2011/0013446 A1 *
Hokenmaier US2013/0336047A1 *
Kim US 2012/0063196 A1 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP4070313A4 (en) * 2019-12-03 2023-08-09 Micron Technology, Inc. Memory device and method for operating the same
US11915750B2 (en) 2019-12-03 2024-02-27 Micron Technology, Inc. Memory device and method for operating the same
US20220181960A1 (en) * 2020-12-07 2022-06-09 Silergy Semiconductor Technology (Hangzhou) Ltd Communication control circuit for power supply chip
US11716011B2 (en) * 2020-12-07 2023-08-01 Silergy Semiconductor Technology (Hangzhou) Ltd Communication control circuit for power supply chip

Also Published As

Publication number Publication date
US20180366177A1 (en) 2018-12-20
CN110770835A (en) 2020-02-07
EP3622516A4 (en) 2021-01-13
WO2018208584A3 (en) 2019-01-17
US11158363B2 (en) 2021-10-26
EP3622516A2 (en) 2020-03-18
KR20190138702A (en) 2019-12-13
WO2018208584A2 (en) 2018-11-15
US10147475B1 (en) 2018-12-04
KR102349035B1 (en) 2022-01-10

Similar Documents

Publication Publication Date Title
US10431286B2 (en) Refresh in non-volatile memory
US9548335B2 (en) Apparatuses and operation methods associated with resistive memory cell arrays with separate select lines
US11139016B1 (en) Read refresh operation
EP3278340A1 (en) Implementation of a one time programmable memory using a mram stack design
US10210932B2 (en) Electronic device with semiconductor memory having variable resistance elements for storing data and associated driving circuitry
US11158363B2 (en) Refresh in memory based on monitor array threshold drift
US20140244931A1 (en) Electronic device
US9184377B2 (en) Resistance variable memory cell structures and methods
JP7430278B2 (en) Preventing parasitic currents during program operations in memory
US20210165577A1 (en) Sensing operations in memory
US10600456B2 (en) Program operations in memory
CN110097904B (en) MRAM circuit using grinding reference unit and reading and writing method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SFORZIN, MARCO;AMATO, PAOLO;REEL/FRAME:042426/0482

Effective date: 20170508

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, MINNESOTA

Free format text: SUPPLEMENT NO. 5 TO PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043483/0686

Effective date: 20170721

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., MARYLAND

Free format text: SUPPLEMENT NO. 5 TO PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043482/0776

Effective date: 20170721

AS Assignment

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, ILLINOIS

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

Owner name: JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT, IL

Free format text: SECURITY INTEREST;ASSIGNORS:MICRON TECHNOLOGY, INC.;MICRON SEMICONDUCTOR PRODUCTS, INC.;REEL/FRAME:047540/0001

Effective date: 20180703

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS AGENT;REEL/FRAME:046597/0393

Effective date: 20180629

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050700/0535

Effective date: 20190731

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731

Owner name: MICRON SEMICONDUCTOR PRODUCTS, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:JPMORGAN CHASE BANK, N.A., AS COLLATERAL AGENT;REEL/FRAME:051028/0001

Effective date: 20190731

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4