US20180315645A1 - Double spacer immersion lithography triple patterning flow and method - Google Patents

Double spacer immersion lithography triple patterning flow and method Download PDF

Info

Publication number
US20180315645A1
US20180315645A1 US15/608,749 US201715608749A US2018315645A1 US 20180315645 A1 US20180315645 A1 US 20180315645A1 US 201715608749 A US201715608749 A US 201715608749A US 2018315645 A1 US2018315645 A1 US 2018315645A1
Authority
US
United States
Prior art keywords
remaining
layer
nitride
spacer
mandrels
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/608,749
Other versions
US10304728B2 (en
Inventor
Richard T. Schultz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to US15/608,749 priority Critical patent/US10304728B2/en
Assigned to ADVANCED MICRO DEVICES, INC. reassignment ADVANCED MICRO DEVICES, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: SCHULTZ, RICHARD T.
Priority to PCT/US2018/029702 priority patent/WO2018204168A1/en
Priority to CN201880029134.2A priority patent/CN110582837B/en
Priority to EP18725083.2A priority patent/EP3619737B1/en
Priority to JP2019559728A priority patent/JP7157081B2/en
Priority to KR1020197034917A priority patent/KR102596931B1/en
Priority to KR1020237037206A priority patent/KR102678649B1/en
Publication of US20180315645A1 publication Critical patent/US20180315645A1/en
Priority to US16/422,144 priority patent/US10784154B2/en
Publication of US10304728B2 publication Critical patent/US10304728B2/en
Application granted granted Critical
Priority to JP2022161423A priority patent/JP7286855B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions

Definitions

  • FIG. 1 is a generalized diagram of a top view of a standard cell layout.
  • FIG. 2 is a generalized diagram of another top view of a standard cell layout highlighting the use of a group of signal tracks.
  • FIG. 3 is a generalized diagram of a cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 4 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 5 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 6 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 7 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 8 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 9 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 11 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 13 is a generalized diagram of a method for fabricating metal patterns to be used for metal tracks.
  • FIG. 14 is a generalized diagram of another method for fabricating metal patterns to be used for metal tracks.
  • FIG. 15 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 16 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 17 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 18 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 19 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 21 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 22 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 23 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 24 is a generalized diagram of another method for fabricating metal patterns to be used for metal tracks.
  • FIG. 25 is a generalized diagram of a cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 26 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 27 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 28 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 29 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 30 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 31 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 32 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 33 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 34 is a generalized diagram of another method for fabricating metal patterns to be used for metal tracks.
  • a standard cell uses one or more groups of signal wires for signal routing.
  • the standard cell uses a first group at the top of the cell in for horizontal signal routes and a second group at the bottom of the cell for horizontal signal routes.
  • Each group uses two or more metal tracks for the signal wires.
  • these metal tracks use a local interconnect metal layer such as metal 0 .
  • the width of the metal and the spacing between the metal is significantly small and created by a semiconductor fabrication process with a relatively high resolution. The high resolution allows for multiple contacts to be placed on trench silicide contacts and metal gates where they interconnect with either of the top group and the bottom group of metal tracks using the local interconnect.
  • the multiple locations provide efficient signal and power routing within the standard cell so the chance of using another metal layer other than the local interconnect is significantly reduced.
  • PMOS FETS p-type metal oxide semiconductor field effect transistors, or pfets
  • NMOS FETS n-type metal oxide semiconductor field effect transistors, or nfets
  • the flexibility offered by the multiple potential locations for contacts within these groups eliminates using other metal interconnects, such as Metal 1 or Metal 2 , and the corresponding contacts for routing signals and power.
  • a semiconductor structure is fabricated using a first polysilicon layer on top of a first oxide layer. Multiple mandrels are on top of the first polysilicon layer where each mandrel includes a second polysilicon on top of a first nitride.
  • the semiconductor structure includes a first pair of sidewalls on each of the multiple mandrels, wherein each sidewall uses spacer oxide. A second pair of sidewalls is on each of the first pair of sidewalls, where each of these sidewalls use spacer nitride. Therefore, on each side of each mandrel is a double spacer using a combination of the spacer oxide and the spacer nitride.
  • a second oxide layer is deposited over the double spacers and exposed areas of the first polysilicon layer. At least one mandrel of the multiple mandrels has a width used for spacing between two groups of metal patterns to be formed. The remaining spacer nitride in the double spacer has a width used for spacing between metal patterns of the group of metal patterns to be formed. The remaining spacer oxide in the double spacer has a width used for a width of metal patterns of the group of metal patterns to be formed.
  • a series of fabrication process steps follow where particular areas of the semiconductor structure are etched in a particular order to form the groups of metal patterns.
  • FIGS. 1-2 illustrate the layout used for the standard cell using the groups of metal tracks.
  • FIGS. 13-14 provide steps of a method for fabricating the semiconductor structure.
  • FIGS. 15-23 illustrate cross-sectional views of the semiconductor structure being further fabricated to create the groups of metal patterns.
  • FIG. 24 provides steps of a method for further fabricating the semiconductor structure in order to create the groups of metal patterns.
  • FIGS. 25-33 illustrate cross-sectional views of the semiconductor structure being further fabricated with alternate processing steps to create the groups of metal patterns.
  • FIG. 34 provides steps of a method for further fabricating the semiconductor structure with alternate processing steps in order to create the groups of metal patterns.
  • FIG. 1 a generalized block diagram of a top view of a standard cell layout 100 is shown.
  • the active regions are not shown in the standard cell layout 100 for ease of illustration.
  • the standard cell layout 100 is for a six device multiplexer.
  • the fabrication techniques shown in FIGS. 3-23 and 24-33 can be used for a variety of other standard cells used for other complex gates and functional units.
  • device is also referred to as transistor.
  • the PMOS FETS p-type metal oxide semiconductor field effect transistors, or pfets
  • the NMOS FETS n-type metal oxide semiconductor field effect transistors, or nfets
  • the transistors in the standard cell layout 100 are non-planar transistors.
  • Non-planar transistors are a relatively recent development in semiconductor processing for reducing short channel effects.
  • Tri-gate transistors, Fin field effect transistors (FETs) and gate all around (GAA) transistors are examples of non-planar transistors.
  • FETs Fin field effect transistors
  • GAA gate all around transistors
  • the standard cell layout 100 uses metal gate 110 in a vertical direction, trench silicide contacts 120 for the source and drain regions in the vertical direction, and metal 0 (M 0 or Metal 0 ) 130 for local interconnections in the horizontal direction.
  • metal 0 M 0 or Metal 0
  • a self-aligned gate and local interconnect process in addition to a gate open contact process is used to create the full trench silicide straps.
  • contacts 140 are used for connecting the metal gate 110 to Metal 0 130 and contacts 142 are used for connecting the trench silicide contact 120 to Metal 0 130 .
  • the standard cell layout 100 additionally uses metal 1 (M 1 or Metal 1 ) 150 for local interconnections in the vertical direction and vias 152 for connecting the horizontal interconnect Metal 0 130 to the vertical interconnect Metal 1 150 .
  • Layout 100 uses power pins at the top and ground pins at the bottom. As shown, layout 100 does not use power rails anywhere.
  • the vertical Metal 1 150 routing at the top provides flexible connection to horizontal metal 2 (M 2 or Metal 2 ) 170 for creating power connections.
  • the vertical Metal 1 150 routing at the bottom provides flexible connection to Metal 2 170 tracks for creating ground connections.
  • the vias 160 are used to connect the vertical Metal 1 150 tracks to the horizontal Metal 2 170 tracks. As shown, connections using the vias 160 are made in each of the four corners of layout 100 .
  • the layout 100 uses a group 102 at the top for routing three horizontal signal routes with the horizontal Metal 0 130 local interconnect.
  • the layout 100 uses a group 104 at the bottom for routing three horizontal signal routes with the horizontal Metal 0 130 local interconnect.
  • Each of the groups 102 and 104 uses three horizontal tracks for routing three horizontal signal wires with a given width and pitch.
  • the groups 102 and 104 are also referred to as “triplet” groups. Although each of the groups 102 and group 104 is shown to use three horizontal tracks, in other embodiments, any other number of multiple horizontal tracks is used.
  • a spacing exists between the two groups 102 and 104 , which can be used for additional signal routing tracks beyond the multiple horizontal tracks used in the groups 102 and 104 .
  • the devices in the standard cell layout 100 are fabricated by one of the many fabrication techniques.
  • the fabrication techniques are one of many immersion lithography techniques, the double patterning technique, the extreme ultraviolet lithography (EUV) technique, and the directed self-assembly (DSA) lithography technique.
  • EUV extreme ultraviolet lithography
  • DSA directed self-assembly
  • the EUV technique provides more flexibility relative to via and contact modules relative to other techniques.
  • Fabrication techniques have a variety of issues.
  • One issue is throughput, which is a rate of the number of wafers or dies produced per unit time such as per hour or per day.
  • a second issue is yield, which is the number of productive dies able to be used in a product compared to the total number of dies fabricated.
  • a third issue is resolution, which is the smallest feature the fabrication process is able to produce.
  • an example of the feature is the length of a transistor (device).
  • the fabrication process is able to place a source region and a drain region, which are two separate but adjacent regions, next to each other with a smallest distance between them that the two regions are still distinguished from one another. The distance is the length of the transistor being fabricated, which is the feature (and the resolution).
  • Another example of the feature is the distance between two metal wires.
  • the smallest distance between the mid-point of a first metal wire of a particular metal layer and the mid-point of a second metal wire of the same particular metal layer is the pitch.
  • another example is the smallest width of a metal wire for a particular metal layer.
  • the fabrication process has multiple distances used to characterize the fabrication process. Each of the multiple distances is the smallest distance used for a particular material of the many different materials on the die to provide a target yield. The smallest distance of all of these distances is used to define the resolution of the fabrication process. The other distances are used for design rules to ensure reliable circuit fabrication based on the targeted yield.
  • the relatively high resolution provided by the selected fabrication technique allows for 3 locations for contacts to be placed on the trench silicide contact 120 and the metal gate 110 where they interconnect with either the group 102 or the group 104 .
  • the 3 locations provide efficient signal and power routing within the standard cell so that it becomes less likely to use another metal layer other than the horizontal Metal 0 130 local interconnect.
  • the pfets at the top of layout 100 have access to three potential locations for contacts within the group 102 .
  • the nfets at the bottom of layout 100 have access to three potential locations for contacts within the group 104 .
  • the flexibility offered by the three potential locations for contacts within groups 102 and 104 eliminates using other metal interconnects, such as vertical Metal 1 or horizontal Metal 2 , and the corresponding contacts for routing signals and power.
  • each of the groups 102 and group 104 is shown to use three horizontal tracks, in other embodiments, any other number of multiple horizontal tracks is used. Therefore, another number of potential locations for using contacts in the groups 102 and 104 for trench silicide contact 120 and the metal gate 110 is also possible and contemplated.
  • FIG. 2 a generalized block diagram of another top view of a standard cell layout 200 is shown. Layout elements described earlier are numbered identically.
  • layout 200 is the same as the layout 100 , but for ease of illustration, layout 200 only shows the metal gates 110 , the trench silicide contacts 120 , the Metal 0 130 , contacts 140 for connecting the metal gate 110 to Metal 0 130 , and contacts 142 for connecting the trench silicide contact 120 to Metal 0 130 .
  • the horizontal groups 102 and 104 of Metal 0 130 are shown again.
  • the layout 200 uses group 102 at the top for routing three horizontal signal routes with the horizontal Metal 0 130 local interconnect.
  • the layout 200 uses group 104 at the bottom for routing three horizontal signal routes with the horizontal Metal 0 130 local interconnect.
  • a spacing 230 exists between the two groups 102 and 104 , which can be used for additional signal routing tracks.
  • the relatively high resolution provided by the selected fabrication technique allows for many locations for contacts to be placed on the trench silicide contact 120 and the metal gate 110 .
  • the number of locations is shown as 3 locations for the 3 horizontal tracks within each of the groups 102 and 104 .
  • any other number of multiple tracks, and thus potential locations for contacts, is possible and contemplated.
  • the locations for contacts provide efficient signal and power routing within the standard cell so that it becomes less likely to use another metal layer other than the horizontal Metal 0 130 local interconnect.
  • the extreme ultraviolet lithography (EUV) technique is used to provide the resolution of each of the width and the pitch of the horizontal Metal 0 130 routes in the groups 102 and 104 .
  • the EUV technique uses an extreme ultraviolet wavelength to reach resolution below 40 nanometers.
  • the extreme ultraviolet wavelength is approximately 13.5 nanometers.
  • Relatively high temperature and high density plasma is used to provide the EUV beam.
  • the resolution of each of the width and the pitch of the horizontal Metal 0 130 routes in the groups 102 and 104 is set by the immersion lithography technique.
  • Immersion lithography uses a liquid medium, such as purified water, between the lens of the imaging equipment and the wafer surface. Previously, the gap space was simply air.
  • the resolution achieved by this technique is the resolution of the imaging equipment increased by the refractive index of the liquid medium. In some examples, the increased resolution falls above 80 nanometers.
  • the double patterning technique is used to provide the resolution of each of the width and the pitch of the horizontal Metal 0 130 routes in the triplet groups 102 and 104 .
  • the double patterning technique uses immersion lithography systems to define features with resolution between 40 and 80 nanometers. Either of the self-aligned doubled patterning (SADP) technique or the litho-etch-litho-etch (LELE) technique is used.
  • SADP self-aligned doubled patterning
  • LELE litho-etch-litho-etch
  • the double patterning technique counteracts the effects of diffraction in optical lithography, which occurs when the minimum dimensions of features on a wafer are less than the 193 nanometer wavelength of the illuminating light source.
  • Other examples of techniques used to counteract the effects of diffraction in optical lithography are phase-shift masks, optical-proximity correction (OPC) techniques, optical equipment improvements and computational lithography.
  • OPC optical-proximity correction
  • FIG. 3 a generalized block diagram of a cross-sectional view of semiconductor metal patterns being fabricated is shown.
  • a stack of layers is deposited on an oxide layer 310 of a controlled thickness.
  • the oxide layer 310 is an inter-level dielectric (ILD).
  • the ILD is used to insulate metal layers which are used for interconnects.
  • the ILD is silicon dioxide.
  • the ILD is one of a variety of low-k dielectrics containing carbon or fluorine. The low-k dielectrics provide a lower capacitance between the metal layers, and thus, reduces performance loss, power consumption and cross talk between interconnect routes.
  • the stack of layers uses a polysilicon layer 320 on top of the oxide layer 310 , a nitride layer 330 on top of the polysilicon layer 320 , and another polysilicon layer 322 on top of the nitride layer 330 .
  • the nitride layer 330 is silicon nitride (SiN).
  • FIG. 4 a generalized block diagram of another cross-sectional view of semiconductor metal patterns being fabricated is shown.
  • process materials described earlier are numbered identically.
  • a photoresist layer 410 is formed on top of the top-most polysilicon layer 322 and etched with repeating and relatively same-sized spacing. In various embodiments, the etching with this repeated spacing forms trenches 420 and 422 in the photoresist 410 that are approximately equally spaced.
  • One of a variety of lithography techniques is used to reduce the pitch (increase the frequency) of the trenches 420 and 422 in the photoresist 410 .
  • the area on the polysilicon layer 322 within these trenches 420 and 422 in the photoresist 410 is the area to be used for creating metal wires by fabricating semiconductor metal patterns.
  • each of the groups 102 and 104 are shown with three horizontal signal tracks with the horizontal Metal 0 130 local interconnect. In various embodiments, these three horizontal signal tracks are fabricated within the trenches 420 and 422 , which will be shown in later steps of the fabrication process.
  • each of the groups 102 and 104 is shown to use three horizontal tracks, in other embodiments, any other number of multiple horizontal tracks is used. As described earlier, the spacing 230 shown in FIG.
  • the width of the remaining photoresist 410 on the polysilicon layer 322 determines the spacing 230 between the groups 102 and 104 . Therefore, to increase the spacing 230 between the groups 102 and 104 , the width of the remaining photoresist 410 on the polysilicon layer 322 is made wider.
  • FIG. 5 a generalized block diagram of another cross-sectional view of semiconductor metal patterns being fabricated is shown. As shown, the semiconductor device fabrication process etches trenches into areas of the top-most polysilicon layer 322 unprotected by the photoresist layer 410 . Following, the process etches trenches into areas of the nitride layer 330 unprotected by the photoresist layer 410 resulting in the shown cross-sectional view.
  • FIGS. 6-8 generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown.
  • the photoresist layer 410 is stripped.
  • the semiconductor device fabrication process deposits a conformal spacer oxide layer 710 over the top-most polysilicon layer 322 , the nitride layer 330 and the bottom polysilicon layer 320 .
  • the semiconductor device fabrication process which is also referred to as the fabrication process, etches the spacer oxide layer 710 leaving sidewalls of spacer oxide 710 on either side of the top-most polysilicon layer 322 and the nitride layer 330 .
  • FIGS. 9-10 generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown.
  • a conformal nitride layer 910 is deposited over the spacer oxide layer 710 and the polysilicon layer 322 .
  • the spacer nitride layer 910 is etched as shown in FIG. 10 .
  • Each of the spacer oxide layer 710 and the spacer nitride layer 910 form a double spacer around the mandrel which includes the polysilicon 322 and the nitride 330 .
  • FIGS. 11-12 generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown.
  • the oxide layer 1110 is deposited over the spacer nitride layer 910 and the mandrels. Where the oxide layer 710 and the oxide layer 1110 contact the polysilicon layer 320 is shown in a later fabrication step to define the areas where metal will be deposited for metal wires. In addition, shown in a later fabrication step, where the nitride layers 330 and 910 contact the polysilicon layer 320 define areas used for spacing between the metal wires to be deposited.
  • the fabrication process uses a chemical mechanical planarization (CMP) step to remove multiple layers shown earlier in FIG. 11 until the nitride layer 330 is reached.
  • the multiple layers are the oxide layer 1110 , the spacer nitride layer 910 , the polysilicon 322 , and the spacer oxide layer 710 .
  • the polysilicon layer 322 is completely removed in the illustrated embodiment.
  • the CMP step polishes the remaining material corresponding to the layers 322 , 710 , 910 and 1110 .
  • the CMP step achieves a near-perfect flat and smooth surface upon which further layers are built.
  • the flat and smooth surface contains alternating oxide and nitride regions on top of the polysilicon layer 320 .
  • FIG. 13 one embodiment of a method 1300 for fabricating metal patterns to be used for metal tracks is shown.
  • the steps in this embodiment are shown in sequential order. However, in other embodiments some steps occur in a different order than shown, some steps are performed concurrently, some steps are combined with other steps, and some steps are absent.
  • an oxide layer is formed on top of a substrate.
  • a plasma-enhanced chemical vapor deposition (PECVD) process is used to place the oxide layer on the substrate.
  • a first polysilicon layer is deposited on top of the oxide layer (block 1302 ).
  • a nitride layer is formed on top of the first polysilicon layer (block 1304 ).
  • the nitride layer is silicon nitride (SiN).
  • a second polysilicon layer is formed on the nitride layer (block 1306 ).
  • the second polysilicon layer has a thickness greater than the thickness of the first polysilicon layer.
  • a photoresist layer is formed on top of the second polysilicon layer (block 1308 ).
  • a distance for spacing between groups of metal patterns to be formed is determined (block 1310 ). The determined distance sets the spacing between the groups of metal patterns to be formed later. Briefly referring again to FIG. 2 , the spacing 230 can be used for additional signal routing tracks between the groups 102 and 104 . The determined distance sets the width of the remaining photoresist on the second polysilicon layer after an etching fabrication step (block 1312 ).
  • the etching is done to create particular spacing between the remaining photoresist and to set the width of the remaining photoresist based on the determined distance.
  • the spacing between the remaining photoresist sets the area for a group of metal patterns to be formed later. Therefore, to increase the spacing between groups of later metal patterns, the determined distances is increased and the width of the remaining photoresist on the polysilicon layer will be made wider. Similarly, to decrease the spacing between groups of later metal patterns, the determined distance is decreased and the width of the remaining photoresist on the polysilicon layer will be reduced.
  • Trenches are etched into areas of the second polysilicon layer unprotected by the photoresist layer (block 1314 ). Following, trenches are etched into areas of the nitride layer unprotected by the photoresist layer (block 1316 ). Afterward, the photoresist layer is stripped (block 1318 ). The resulting columns (mandrels) on the first polysilicon layer contain the second polysilicon layer on top of the nitride layer.
  • a conformal spacer oxide layer is deposited over a first polysilicon layer and mandrels (columns) on top of the first polysilicon layer (block 1402 ).
  • the columns contain a second polysilicon layer on top of a nitride layer.
  • the conformal spacer oxide layer is etched (block 1404 ) leaving sidewalls of spacer oxide on either sides of the mandrels. The thickness of the remaining spacer oxide layer on the sidewalls of the mandrels sets the width of a metal pattern to be formed later.
  • a conformal spacer nitride layer is deposited over exposed areas of the first polysilicon layer and over the mandrels (columns) on top of the first polysilicon layer (block 1406 ).
  • the conformal spacer nitride layer is etched (block 1408 ) leaving sidewalls of spacer nitride on either sides of the mandrels.
  • the thickness of the remaining spacer nitride layer on the sidewalls of the mandrels sets the width of spacing between metal patterns to be formed later. Accordingly, this width is used to set the pitch between metal patterns to be formed later.
  • Each of the remaining sidewall spacer oxide layer and spacer nitride layer form a double spacer around the mandrels.
  • An oxide layer is deposited over the exposed areas of the first polysilicon layer and the double spacer (block 1410 ).
  • Each of the deposited top-most oxide layer, the double spacer and the mandrels are removed until the nitride layer 330 is reached (block 1412 ).
  • the multiple layers removed are the top-most deposited oxide layer, a portion of the spacer nitride layer within the double spacer, a portion of the spacer oxide layer within the double spacer, and the entire second polysilicon layer within the mandrels.
  • a chemical mechanical planarization (CMP) step is used to remove these multiple layers and to polish the remaining material.
  • the CMP step achieves a near-perfect flat and smooth surface upon which further layers are built.
  • FIGS. 15-16 generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown.
  • each of the oxide layers 710 and 1110 in addition to the polysilicon layer 320 are etched until the oxide layer 310 is reached. Regions for later metallization are further created.
  • the nitride layer 330 and the spacer nitride layer 910 are stripped leaving the polysilicon layer 320 exposed.
  • FIGS. 17-19 generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown. In these diagrams, further etching is performed in addition to metallization.
  • the fabrication process etches trenches into areas of the oxide layer 310 which are unprotected by the polysilicon layer 320 .
  • the polysilicon layer 320 is etched away followed by a metallization step shown in FIG. 19 .
  • the metallization step deposits the metal layer 1910 in the etched trenches.
  • the width of the metal wires is set by the width of the oxide layer 710 of the double spacer making contact with the polysilicon layer 320 and the width of the oxide layer 1110 making contact with the polysilicon layer 320 .
  • the spacing between the metal wires is set by the width of the nitride layer 330 shown in FIG. 10 .
  • the spacing between the metal wires is also set by the width of the nitride layer 910 of the double spacer.
  • the metal layer 1910 is copper. In another embodiment, the metal layer 1910 is aluminum or a copper and aluminum mix. In some embodiments, the metal layer 1910 is formed by a dual damascene process. In other embodiments the metal layer 1910 formed by a single damascene process. Other techniques are possible and contemplated for forming the metal layer 1910 .
  • a liner using a tantalum (Ta) based barrier material is deposited on the inter-level dielectric (ILD), which is the oxide layer 310 , before the metal layer 1910 is formed. The liner prevents the copper from diffusing into the oxide layer 310 and acts as an adhesion layer for the copper.
  • a thin copper seed layer is deposited by physical vapor diffusion (PVD) followed by electroplating of copper.
  • PVD physical vapor diffusion
  • cobalt, tungsten, other metals or carbon nanotubes are used in place of copper.
  • FIGS. 20-23 generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown.
  • FIGS. 20-23 illustrate alternative steps to use in the fabrication process compared to the steps described above for FIGS. 15-19 .
  • FIG. 20 is the same as the earlier FIG. 15 where each of the oxide layers 710 and 1110 in addition to the polysilicon layer 320 are etched until the oxide layer 310 is reached, and thus, creating regions for later metallization.
  • FIG. 20 shows each of the oxide layers 710 and 1110 in addition to the polysilicon 320 are etched until the oxide layer 310 is reached.
  • FIG. 20 shows the etching steps after the CMP step to remove multiple layers shown earlier in FIG.
  • the fabrication process etches trenches into areas of the oxide layer 310 unprotected by the nitride layers 330 and 910 as well as the polysilicon layer 320 .
  • FIG. 22 each of the nitride layers 330 and 910 as well as the polysilicon layer 320 are etched away followed by a metallization step shown in FIG. 23 .
  • a flat and smooth surface contains alternating oxide and nitride regions on top of a polysilicon layer.
  • An oxide layer is below the polysilicon layer. Therefore, the multiple layers contain the oxide layer at the bottom and a polysilicon layer on top of the oxide layer.
  • On top of the polysilicon layer are the alternating regions of polished oxide and nitride regions. In some embodiments, the widths of the alternating regions of polished oxide and nitride regions are relatively the same.
  • the oxide region of the alternating oxide and nitride regions is etched and removed from the top of the polysilicon layer (block 2402 ).
  • the exposed portions of the polysilicon layer in the same regions as the previously removed oxide are removed (etched) until the oxide layer underneath the polysilicon layer is reached (block 2404 ).
  • trenches are etched at this time into the oxide layer below the polysilicon layer. In other embodiments, the trenches are created later. If the trenches are etched later (“no” branch of the conditional block 2406 ), then the top alternating nitride regions are removed exposing the alternating polysilicon regions (block 2408 ). Following, the trenches are etched in the oxide layer below the alternating polysilicon regions where the below oxide layer is unprotected by the alternating polysilicon regions (block 2410 ). Next, the alternating polysilicon regions are removed (block 2412 ).
  • a metallization step deposits metal in the etched trenches (block 2418 ).
  • the metal is copper.
  • the metal is aluminum or a copper and aluminum mix.
  • cobalt, tungsten, other metals or carbon nanotubes are used.
  • the trenches are etched after the exposed portions of the polysilicon layer are removed (“yes” branch of the conditional block 2406 ), then the trenches are etched in the oxide layer below the alternating nitride and polysilicon mandrels where the below oxide layer is unprotected by the alternating mandrels (block 2414 ). Following, the top alternating nitride in the mandrels are removed exposing the alternating polysilicon regions (block 2416 ). Afterward, control flow of method 2400 moves to block 2412 where the alternating polysilicon regions are removed.
  • FIGS. 25-33 generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown.
  • FIGS. 25-33 illustrate alternative steps to use in the fabrication process compared to the steps described above for FIGS. 15-23 .
  • FIG. 25 is the same as the earlier FIG. 12 where the fabrication process uses a chemical mechanical planarization (CMP) step to remove multiple layers shown earlier in FIG. 11 until the nitride layer 330 is reached.
  • CMP chemical mechanical planarization
  • the nitride layer 330 is not used within the double spacer constructed as shown earlier in FIGS. 10-11 . Instead, the spacer nitride layer 910 is used to construct the double spacer. As shown in FIG. 25 , the width of the nitride layer 330 in particular areas on the polysilicon layer 320 , such as the far left, the far right and the center areas, is larger than the width of the nitride layer 330 used in other areas. As described earlier regarding FIG. 4 , the width of the nitride layer 330 is used to define the width of spacing between metal patterns used for metal wires. The larger widths used for the nitride layer 330 in FIG. 25 are used to define spacing between the metal patterns to be fabricated.
  • each of the oxide layers 710 and 1110 in addition to the polysilicon layer 320 are etched until the oxide layer 310 is reached. Regions for later metallization are created by this etching. As shown, the widths for spacing between metal patterns alternates between relatively narrow to relatively wide. For example, as shown, the far left, the far right and the middle columns (mandrels) are wider than the other columns. The columns use the nitride layers 330 and 910 on the top along with polysilicon layer 320 on the bottom. In FIG. 27 , the nitride layer 330 and the spacer nitride layer 910 are stripped from the tops of the columns leaving the polysilicon layer 320 exposed.
  • FIGS. 28-29 generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown. In these diagrams, the fabrication process performs further etching.
  • a photoresist layer 410 is formed on top of the polysilicon layer 320 .
  • the spacing 230 shown in FIG. 2 between the two groups 102 and 104 provides additional signal routing tracks beyond the multiple horizontal tracks used in the groups 102 and 104 .
  • the widths of the remaining far left, far right and center polysilicon 320 on the oxide layer 310 determines the spacing between groups of metal patterns.
  • the area 2810 is the width of the center polysilicon 320 on the oxide layer 310 .
  • the width of the area 2810 determines the width of the later spacing 230 between the groups 102 and 104 , and provides area to later form one or more additional metal tracks between the groups 102 and 104 . Therefore, to increase the later spacing 230 between the groups 102 and 104 , the width of the remaining polysilicon 320 on the oxide layer 310 within the area 2810 is made wider as shown in each figure of the previous FIGS. 25-27 and now FIG. 28 .
  • one extra metal track is being placed in the later spacing 230 to be formed. Therefore, within the area 2810 , the photoresist 410 is etched until the polysilicon layer 320 is reached. Although etching for a single extra metal track is shown, in other embodiments, another number of etchings is performed in the photoresist layer 410 for another number of extra metal tracks.
  • the width of the etching in the area 2810 is equivalent to the width of the extra metal patterns to be formed later in the area 2810 .
  • the area 2802 which is between the relatively wide remaining polysilicon layers 320 .
  • the area 2802 provides area to later form metal patterns such as the group 102 .
  • the area 2804 provides area to later form metal patterns such as the group 104 .
  • the widths of the other remaining polysilicon 320 on the oxide layer 310 determines the spacing between the metal patterns formed later within the groups 102 and 104 . These widths of the other remaining polysilicon 320 accordingly determine the pitch for the metal patterns later formed within the groups 102 and 104 .
  • the widths of the photoresist 410 making contact with the oxide layer 310 determines the widths of the later metal patterns to be formed.
  • the polysilicon layer 320 is etched away until the oxide layer 310 is reached. This etching creates area 2910 which provides area for a later extra single metal pattern to be formed.
  • FIGS. 30-33 generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown. In these diagrams, further etching is performed in addition to metallization.
  • the fabrication process strips away the photoresist layer 410 .
  • the fabrication process etches trenches into areas of the oxide layer 310 which are unprotected by the polysilicon layer 320 .
  • the polysilicon layer 320 is etched away followed by a metallization step shown in FIG. 33 .
  • the metallization step deposits the metal layer 1910 in the etched trenches.
  • the metal layer 1910 is copper.
  • the metal layer 1910 is aluminum or a copper and aluminum mix.
  • cobalt, tungsten, other metals or carbon nanotubes are used.
  • each of the pattern groups 3302 and 3304 use three metal patterns for three metal tracks. Although each of the groups 3302 and 3304 is shown to use three metal patterns, in other embodiments, any other number of metal patterns is used. In the illustrated embodiment, an extra metal pattern 3310 is located between the groups 3302 and 3304 . The extra pattern 3310 provides an additional signal routing track beyond the groups 3302 and 3304 . Although a single extra pattern is shown, any other number of extra patterns placed between the groups 3302 and 3304 is possible and contemplated.
  • a flat and smooth surface contains alternating oxide and nitride regions on top of a polysilicon layer.
  • An oxide layer is below the polysilicon layer. Therefore, the multiple layers contain the oxide layer at the bottom and a polysilicon layer on top of the oxide layer.
  • On top of the polysilicon layer are the alternating regions of polished oxide and nitride regions.
  • the widths of some of the polished nitride regions are appreciably wider than the widths of other nitride regions and the polished oxide regions.
  • the width of the nitride layer 330 is used to define the width of spacing between metal patterns used for metal wires. The larger widths used for the nitride layer 330 are used to define spacing between the metal patterns to be fabricated.
  • the oxide region of the alternating oxide and nitride regions is etched and removed from the top of the polysilicon layer (block 3402 ).
  • the exposed portions of the polysilicon layer in the same regions as the previously removed oxide are removed until the oxide layer underneath the polysilicon layer is reached (block 3404 ).
  • the top alternating nitride regions are removed exposing the alternating polysilicon regions (block 3406 ).
  • one or more extra metal tracks between groups top and bottom metal tracks in the standard cell are etched in the oxide layer below the alternating polysilicon regions where the below oxide layer is unprotected by the alternating polysilicon regions (block 3410 ).
  • the alternating polysilicon regions are removed (block 3412 ).
  • a metallization step deposits metal in the etched trenches (block 3414 ).
  • the metal is copper.
  • the metal is aluminum or a copper and aluminum mix.
  • a photoresist layer is formed on top of the alternating polysilicon regions (block 3416 ). In regions for the extra metal tracks, each of the photoresist layer and the relatively wide polysilicon region are etched until the oxide layer underneath the polysilicon region is reached (block 3418 ). The photoresist layer is removed (block 3420 ). Afterward, control flow of method 3400 moves to block 3410 where trenches are etched followed by the steps in blocks 3412 - 3414 for completing metallization for the metal tracks.
  • FIGS. 3-22 provide a partial Immersion Lithography solution and cost reduced alternative to full EUV printing of certain limited layers with Sub EUV resolution and enables more cost effective Moore's law scaling at 5 nm and 3 nm technology nodes.
  • Other processing techniques use double pattered EUV with side wall image transfer, but these types of processing techniques use 3 EUV or 2 EUV masks+1 Immersion masks compared to two immersion masks and 1 EUV mask.
  • One EUV mask 3-4 Immersion masks in terms of cost.
  • the invention has 5-6 Immersion mask cost equivalents compared to 9-12 Immersion cost equivalents with the EUV only method. There is also still significant risk with EUV metal mask defect rates.
  • a novel Immersion Lithography process is described as an alternative to EUV that can achieve sub EUV patterning capability.
  • Sub EUV patterning is possible but will be very expensive compared to the approach in this disclosure.
  • EUV mask blank defectivity is still very high and makes metal layer masks difficult to print defect free compared to contact, via and cut masks.
  • the mask blank defectivity will be solved but it is a question of when and schedule.
  • the primary motivation is cost reduction for sub EUV metal mask patterning. Secondary is potential pattern flexibility and better line width roughness control and reduced variability.
  • a computer accessible storage medium includes any storage media accessible by a computer during use to provide instructions and/or data to the computer.
  • a computer accessible storage medium includes storage media such as magnetic or optical media, e.g., disk (fixed or removable), tape, CD-ROM, or DVD-ROM, CD-R, CD-RW, DVD-R, DVD-RW, or Blu-Ray.
  • Storage media further includes volatile or non-volatile memory media such as RAM (e.g. synchronous dynamic RAM (SDRAM), double data rate (DDR, DDR2, DDR3, etc.) SDRAM, low-power DDR (LPDDR2, etc.) SDRAM, Rambus DRAM (RDRAM), static RAM (SRAM), etc.), ROM, Flash memory, non-volatile memory (e.g. Flash memory) accessible via a peripheral interface such as the Universal Serial Bus (USB) interface, etc.
  • SDRAM synchronous dynamic RAM
  • DDR double data rate SDRAM
  • LPDDR2, etc. low-power DDR
  • RDRAM Rambus DRAM
  • SRAM static RAM
  • ROM Flash memory
  • non-volatile memory e.g. Flash memory
  • USB
  • program instructions include behavioral-level descriptions or register-transfer level (RTL) descriptions of the hardware functionality in a high level programming language such as C, or a design language (HDL) such as Verilog, VHDL, or database format such as GDS II stream format (GDSII).
  • RTL register-transfer level
  • HDL design language
  • GDSII database format
  • the description is read by a synthesis tool, which synthesizes the description to produce a netlist including a list of gates from a synthesis library.
  • the netlist includes a set of gates, which also represent the functionality of the hardware including the system.
  • the netlist is then placed and routed to produce a data set describing geometric shapes to be applied to masks.
  • the masks are then used in various semiconductor fabrication steps to produce a semiconductor circuit or circuits corresponding to the system.
  • the instructions on the computer accessible storage medium are the netlist (with or without the synthesis library) or the data set, as desired. Additionally, the instructions are utilized for purposes of emulation by a hardware based type emulator from such vendors as Cadence®, EVE®, and Mentor Graphics®.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

A system and method for fabricating metal patterns are described. Multiple mandrels are formed on a first polysilicon layer which is on top of a first oxide layer. Each mandrel uses a second polysilicon on top of a first nitride. A spacer oxide and a spacer nitride are formed on the sidewalls of the mandrels to create double spacers. A second oxide layer is deposited followed by removing layers until the first nitride in the mandrels is reached. Areas are etched based on a selected method of multiple available methods until the first oxide layer is etched providing trenches for the metal patterns. Remaining materials on the first oxide layer are removed followed by metal being deposited in the trenches in the first oxide layer.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application claims priority to Provisional Patent Application Ser. No. 62/492,692, entitled “Double Spacer Immersion Lithography Triple Patterning Flow And Method”, filed May 1, 2017, the entirety of which is incorporated herein by reference.
  • BACKGROUND Description of the Relevant Art
  • As both semiconductor manufacturing processes advance and on-die geometric dimensions reduce, semiconductor chips provide more functionality and performance while consuming less space. While many advances have been made, design issues still arise with modern techniques in processing and integrated circuit design that may limit potential benefits. For example, as the number and size of signal routes used in a design increase, the area consumed by the corresponding metal wires also increases. To achieve reductions in the width and pitch of metal wires, relatively expensive processing techniques are used. In addition, these relatively expensive processing techniques are also relatively new and accordingly have a relatively high defect rate.
  • In view of the above, efficient methods and systems for fabricating metal wires while managing semiconductor processing yield and decreasing signal congestion are desired.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The advantages of the methods and mechanisms described herein may be better understood by referring to the following description in conjunction with the accompanying drawings, in which:
  • FIG. 1 is a generalized diagram of a top view of a standard cell layout.
  • FIG. 2 is a generalized diagram of another top view of a standard cell layout highlighting the use of a group of signal tracks.
  • FIG. 3 is a generalized diagram of a cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 4 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 5 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 6 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 7 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 8 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 9 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 10 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 11 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 12 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 13 is a generalized diagram of a method for fabricating metal patterns to be used for metal tracks.
  • FIG. 14 is a generalized diagram of another method for fabricating metal patterns to be used for metal tracks.
  • FIG. 15 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 16 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 17 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 18 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 19 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 20 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 21 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 22 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 23 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated.
  • FIG. 24 is a generalized diagram of another method for fabricating metal patterns to be used for metal tracks.
  • FIG. 25 is a generalized diagram of a cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 26 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 27 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 28 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 29 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 30 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 31 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 32 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 33 is a generalized diagram of another cross-sectional view of semiconductor metal patterns being fabricated using alternative steps.
  • FIG. 34 is a generalized diagram of another method for fabricating metal patterns to be used for metal tracks.
  • While the invention is susceptible to various modifications and alternative forms, specific embodiments are shown by way of example in the drawings and are herein described in detail. It should be understood, however, that drawings and detailed description thereto are not intended to limit the invention to the particular form disclosed, but on the contrary, the invention is to cover all modifications, equivalents and alternatives falling within the scope of the present invention as defined by the appended claims.
  • DETAILED DESCRIPTION
  • In the following description, numerous specific details are set forth to provide a thorough understanding of the methods and mechanisms presented herein. However, one having ordinary skill in the art should recognize that the various embodiments may be practiced without these specific details. In some instances, well-known structures, components, signals, computer program instructions, and techniques have not been shown in detail to avoid obscuring the approaches described herein. It will be appreciated that for simplicity and clarity of illustration, elements shown in the figures have not necessarily been drawn to scale. For example, the dimensions of some of the elements may be exaggerated relative to other elements.
  • In various embodiments, a standard cell uses one or more groups of signal wires for signal routing. In some embodiments, the standard cell uses a first group at the top of the cell in for horizontal signal routes and a second group at the bottom of the cell for horizontal signal routes. Each group uses two or more metal tracks for the signal wires. In some embodiments, these metal tracks use a local interconnect metal layer such as metal 0. The width of the metal and the spacing between the metal is significantly small and created by a semiconductor fabrication process with a relatively high resolution. The high resolution allows for multiple contacts to be placed on trench silicide contacts and metal gates where they interconnect with either of the top group and the bottom group of metal tracks using the local interconnect.
  • The multiple locations provide efficient signal and power routing within the standard cell so the chance of using another metal layer other than the local interconnect is significantly reduced. For example, PMOS FETS (p-type metal oxide semiconductor field effect transistors, or pfets) at the top of the standard cell have access to multiple potential locations for contacts within the top group of metal tracks using the local interconnect. Similarly, the NMOS FETS (n-type metal oxide semiconductor field effect transistors, or nfets) at the bottom of the standard cell have access to multiple potential locations for contacts within the bottom group of metal tracks using the local interconnect. The flexibility offered by the multiple potential locations for contacts within these groups eliminates using other metal interconnects, such as Metal 1 or Metal 2, and the corresponding contacts for routing signals and power.
  • In order to create the groups of metal tracks using the local interconnect, a semiconductor structure is fabricated using a first polysilicon layer on top of a first oxide layer. Multiple mandrels are on top of the first polysilicon layer where each mandrel includes a second polysilicon on top of a first nitride. The semiconductor structure includes a first pair of sidewalls on each of the multiple mandrels, wherein each sidewall uses spacer oxide. A second pair of sidewalls is on each of the first pair of sidewalls, where each of these sidewalls use spacer nitride. Therefore, on each side of each mandrel is a double spacer using a combination of the spacer oxide and the spacer nitride.
  • A second oxide layer is deposited over the double spacers and exposed areas of the first polysilicon layer. At least one mandrel of the multiple mandrels has a width used for spacing between two groups of metal patterns to be formed. The remaining spacer nitride in the double spacer has a width used for spacing between metal patterns of the group of metal patterns to be formed. The remaining spacer oxide in the double spacer has a width used for a width of metal patterns of the group of metal patterns to be formed. A series of fabrication process steps follow where particular areas of the semiconductor structure are etched in a particular order to form the groups of metal patterns. In the following description, FIGS. 1-2 illustrate the layout used for the standard cell using the groups of metal tracks. FIGS. 3-12 illustrate cross-sectional views of the semiconductor structure being fabricated. FIGS. 13-14 provide steps of a method for fabricating the semiconductor structure. FIGS. 15-23 illustrate cross-sectional views of the semiconductor structure being further fabricated to create the groups of metal patterns. FIG. 24 provides steps of a method for further fabricating the semiconductor structure in order to create the groups of metal patterns. FIGS. 25-33 illustrate cross-sectional views of the semiconductor structure being further fabricated with alternate processing steps to create the groups of metal patterns. FIG. 34 provides steps of a method for further fabricating the semiconductor structure with alternate processing steps in order to create the groups of metal patterns.
  • Referring to FIG. 1, a generalized block diagram of a top view of a standard cell layout 100 is shown. Here, the active regions are not shown in the standard cell layout 100 for ease of illustration. In the illustrated embodiment, the standard cell layout 100 is for a six device multiplexer. However, the fabrication techniques shown in FIGS. 3-23 and 24-33 can be used for a variety of other standard cells used for other complex gates and functional units. As used herein, device is also referred to as transistor. For the six device multiplexer, the PMOS FETS (p-type metal oxide semiconductor field effect transistors, or pfets) are at the top of the standard cell layout 100. The NMOS FETS (n-type metal oxide semiconductor field effect transistors, or nfets) are at the bottom of the standard cell layout 100.
  • In various embodiments, the transistors in the standard cell layout 100 are non-planar transistors. Non-planar transistors are a relatively recent development in semiconductor processing for reducing short channel effects. Tri-gate transistors, Fin field effect transistors (FETs) and gate all around (GAA) transistors are examples of non-planar transistors. Next, the materials used in the layout 100 are described.
  • As shown, the standard cell layout 100 uses metal gate 110 in a vertical direction, trench silicide contacts 120 for the source and drain regions in the vertical direction, and metal 0 (M0 or Metal0) 130 for local interconnections in the horizontal direction. In one embodiment, a self-aligned gate and local interconnect process in addition to a gate open contact process is used to create the full trench silicide straps. As shown, contacts 140 are used for connecting the metal gate 110 to Metal0 130 and contacts 142 are used for connecting the trench silicide contact 120 to Metal0 130. The standard cell layout 100 additionally uses metal 1 (M1 or Metal1) 150 for local interconnections in the vertical direction and vias 152 for connecting the horizontal interconnect Metal0 130 to the vertical interconnect Metal1 150.
  • Layout 100 uses power pins at the top and ground pins at the bottom. As shown, layout 100 does not use power rails anywhere. The vertical Metal1 150 routing at the top provides flexible connection to horizontal metal 2 (M2 or Metal2) 170 for creating power connections. The vertical Metal1 150 routing at the bottom provides flexible connection to Metal2 170 tracks for creating ground connections. The vias 160 are used to connect the vertical Metal1 150 tracks to the horizontal Metal2 170 tracks. As shown, connections using the vias 160 are made in each of the four corners of layout 100.
  • In the illustrated embodiment, the layout 100 uses a group 102 at the top for routing three horizontal signal routes with the horizontal Metal0 130 local interconnect. In addition, the layout 100 uses a group 104 at the bottom for routing three horizontal signal routes with the horizontal Metal0 130 local interconnect. Each of the groups 102 and 104 uses three horizontal tracks for routing three horizontal signal wires with a given width and pitch. The groups 102 and 104 are also referred to as “triplet” groups. Although each of the groups 102 and group 104 is shown to use three horizontal tracks, in other embodiments, any other number of multiple horizontal tracks is used. A spacing exists between the two groups 102 and 104, which can be used for additional signal routing tracks beyond the multiple horizontal tracks used in the groups 102 and 104.
  • In some embodiments, the devices in the standard cell layout 100 are fabricated by one of the many fabrication techniques. Examples of the fabrication techniques are one of many immersion lithography techniques, the double patterning technique, the extreme ultraviolet lithography (EUV) technique, and the directed self-assembly (DSA) lithography technique. In some embodiments, the EUV technique provides more flexibility relative to via and contact modules relative to other techniques.
  • Fabrication techniques have a variety of issues. One issue is throughput, which is a rate of the number of wafers or dies produced per unit time such as per hour or per day. A second issue is yield, which is the number of productive dies able to be used in a product compared to the total number of dies fabricated. A third issue is resolution, which is the smallest feature the fabrication process is able to produce. For example, an example of the feature is the length of a transistor (device). The fabrication process is able to place a source region and a drain region, which are two separate but adjacent regions, next to each other with a smallest distance between them that the two regions are still distinguished from one another. The distance is the length of the transistor being fabricated, which is the feature (and the resolution).
  • Another example of the feature is the distance between two metal wires. The smallest distance between the mid-point of a first metal wire of a particular metal layer and the mid-point of a second metal wire of the same particular metal layer is the pitch. In addition, another example is the smallest width of a metal wire for a particular metal layer. The fabrication process has multiple distances used to characterize the fabrication process. Each of the multiple distances is the smallest distance used for a particular material of the many different materials on the die to provide a target yield. The smallest distance of all of these distances is used to define the resolution of the fabrication process. The other distances are used for design rules to ensure reliable circuit fabrication based on the targeted yield.
  • In the illustrated embodiment, the relatively high resolution provided by the selected fabrication technique allows for 3 locations for contacts to be placed on the trench silicide contact 120 and the metal gate 110 where they interconnect with either the group 102 or the group 104. The 3 locations provide efficient signal and power routing within the standard cell so that it becomes less likely to use another metal layer other than the horizontal Metal0 130 local interconnect. For example, the pfets at the top of layout 100 have access to three potential locations for contacts within the group 102.
  • Similar to the pfets having access to three potential locations for contacts within the group 102, the nfets at the bottom of layout 100 have access to three potential locations for contacts within the group 104. The flexibility offered by the three potential locations for contacts within groups 102 and 104 eliminates using other metal interconnects, such as vertical Metal 1 or horizontal Metal 2, and the corresponding contacts for routing signals and power. Again, although each of the groups 102 and group 104 is shown to use three horizontal tracks, in other embodiments, any other number of multiple horizontal tracks is used. Therefore, another number of potential locations for using contacts in the groups 102 and 104 for trench silicide contact 120 and the metal gate 110 is also possible and contemplated.
  • Referring to FIG. 2, a generalized block diagram of another top view of a standard cell layout 200 is shown. Layout elements described earlier are numbered identically. Here, the layout 200 is the same as the layout 100, but for ease of illustration, layout 200 only shows the metal gates 110, the trench silicide contacts 120, the Metal0 130, contacts 140 for connecting the metal gate 110 to Metal0 130, and contacts 142 for connecting the trench silicide contact 120 to Metal0 130.
  • The horizontal groups 102 and 104 of Metal0 130 are shown again. The layout 200 uses group 102 at the top for routing three horizontal signal routes with the horizontal Metal0 130 local interconnect. In addition, the layout 200 uses group 104 at the bottom for routing three horizontal signal routes with the horizontal Metal0 130 local interconnect. A spacing 230 exists between the two groups 102 and 104, which can be used for additional signal routing tracks.
  • The relatively high resolution provided by the selected fabrication technique allows for many locations for contacts to be placed on the trench silicide contact 120 and the metal gate 110. Here, the number of locations is shown as 3 locations for the 3 horizontal tracks within each of the groups 102 and 104. However, any other number of multiple tracks, and thus potential locations for contacts, is possible and contemplated. The locations for contacts provide efficient signal and power routing within the standard cell so that it becomes less likely to use another metal layer other than the horizontal Metal0 130 local interconnect.
  • In some embodiments, the extreme ultraviolet lithography (EUV) technique is used to provide the resolution of each of the width and the pitch of the horizontal Metal0 130 routes in the groups 102 and 104. The EUV technique uses an extreme ultraviolet wavelength to reach resolution below 40 nanometers. The extreme ultraviolet wavelength is approximately 13.5 nanometers. Relatively high temperature and high density plasma is used to provide the EUV beam.
  • In other embodiments, the resolution of each of the width and the pitch of the horizontal Metal0 130 routes in the groups 102 and 104 is set by the immersion lithography technique. Immersion lithography uses a liquid medium, such as purified water, between the lens of the imaging equipment and the wafer surface. Previously, the gap space was simply air. The resolution achieved by this technique is the resolution of the imaging equipment increased by the refractive index of the liquid medium. In some examples, the increased resolution falls above 80 nanometers.
  • In other embodiments, the double patterning technique is used to provide the resolution of each of the width and the pitch of the horizontal Metal0 130 routes in the triplet groups 102 and 104. The double patterning technique uses immersion lithography systems to define features with resolution between 40 and 80 nanometers. Either of the self-aligned doubled patterning (SADP) technique or the litho-etch-litho-etch (LELE) technique is used. The double patterning technique counteracts the effects of diffraction in optical lithography, which occurs when the minimum dimensions of features on a wafer are less than the 193 nanometer wavelength of the illuminating light source. Other examples of techniques used to counteract the effects of diffraction in optical lithography are phase-shift masks, optical-proximity correction (OPC) techniques, optical equipment improvements and computational lithography.
  • When selecting between immersion lithography, double patterning, EUV and DSA techniques, and other techniques, cost is considered as the cost increases from immersion lithography to EUV. However, over time, the costs of these techniques adjust as well as additional and newer techniques are developed for providing relatively high resolution for the width and the pitch of the horizontal Metal0 130 routes in the groups 102 and 104. Accordingly, one of a variety of lithography techniques is used to provide relatively high resolution for the width and the pitch. In the upcoming description of FIGS. 3-23, the fabrication steps for a double spacer immersion lithography triple patterning technique are described which provide the resolution of each of the width and the pitch of the horizontal Metal0 130 routes in the groups 102 and 104.
  • Turning to FIG. 3, a generalized block diagram of a cross-sectional view of semiconductor metal patterns being fabricated is shown. Here, a stack of layers is deposited on an oxide layer 310 of a controlled thickness. In various embodiments, the oxide layer 310 is an inter-level dielectric (ILD). The ILD is used to insulate metal layers which are used for interconnects. In some embodiments, the ILD is silicon dioxide. In other embodiments, the ILD is one of a variety of low-k dielectrics containing carbon or fluorine. The low-k dielectrics provide a lower capacitance between the metal layers, and thus, reduces performance loss, power consumption and cross talk between interconnect routes.
  • In the illustrated embodiment, the stack of layers uses a polysilicon layer 320 on top of the oxide layer 310, a nitride layer 330 on top of the polysilicon layer 320, and another polysilicon layer 322 on top of the nitride layer 330. In various embodiments, the nitride layer 330 is silicon nitride (SiN).
  • Referring to FIG. 4, a generalized block diagram of another cross-sectional view of semiconductor metal patterns being fabricated is shown. For FIGS. 4-23, process materials described earlier are numbered identically. Here in FIG. 4, a photoresist layer 410 is formed on top of the top-most polysilicon layer 322 and etched with repeating and relatively same-sized spacing. In various embodiments, the etching with this repeated spacing forms trenches 420 and 422 in the photoresist 410 that are approximately equally spaced. One of a variety of lithography techniques is used to reduce the pitch (increase the frequency) of the trenches 420 and 422 in the photoresist 410.
  • The area on the polysilicon layer 322 within these trenches 420 and 422 in the photoresist 410 is the area to be used for creating metal wires by fabricating semiconductor metal patterns. For example, referring briefly again to FIG. 2, each of the groups 102 and 104 are shown with three horizontal signal tracks with the horizontal Metal0 130 local interconnect. In various embodiments, these three horizontal signal tracks are fabricated within the trenches 420 and 422, which will be shown in later steps of the fabrication process. Again, although each of the groups 102 and 104 is shown to use three horizontal tracks, in other embodiments, any other number of multiple horizontal tracks is used. As described earlier, the spacing 230 shown in FIG. 2 between the two groups 102 and 104 provides additional signal routing tracks beyond the multiple horizontal tracks used in the groups 102 and 104. In FIG. 4, the width of the remaining photoresist 410 on the polysilicon layer 322 determines the spacing 230 between the groups 102 and 104. Therefore, to increase the spacing 230 between the groups 102 and 104, the width of the remaining photoresist 410 on the polysilicon layer 322 is made wider.
  • Turning to FIG. 5, a generalized block diagram of another cross-sectional view of semiconductor metal patterns being fabricated is shown. As shown, the semiconductor device fabrication process etches trenches into areas of the top-most polysilicon layer 322 unprotected by the photoresist layer 410. Following, the process etches trenches into areas of the nitride layer 330 unprotected by the photoresist layer 410 resulting in the shown cross-sectional view.
  • Referring to FIGS. 6-8, generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown. In FIG. 6, the photoresist layer 410 is stripped. In FIG. 7, the semiconductor device fabrication process deposits a conformal spacer oxide layer 710 over the top-most polysilicon layer 322, the nitride layer 330 and the bottom polysilicon layer 320. In FIG. 8, the semiconductor device fabrication process, which is also referred to as the fabrication process, etches the spacer oxide layer 710 leaving sidewalls of spacer oxide 710 on either side of the top-most polysilicon layer 322 and the nitride layer 330.
  • Turning now to FIGS. 9-10, generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown. As shown in FIG. 9, a conformal nitride layer 910 is deposited over the spacer oxide layer 710 and the polysilicon layer 322. Following, the spacer nitride layer 910 is etched as shown in FIG. 10. Each of the spacer oxide layer 710 and the spacer nitride layer 910 form a double spacer around the mandrel which includes the polysilicon 322 and the nitride 330.
  • Referring to FIGS. 11-12, generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown. In FIG. 11, the oxide layer 1110 is deposited over the spacer nitride layer 910 and the mandrels. Where the oxide layer 710 and the oxide layer 1110 contact the polysilicon layer 320 is shown in a later fabrication step to define the areas where metal will be deposited for metal wires. In addition, shown in a later fabrication step, where the nitride layers 330 and 910 contact the polysilicon layer 320 define areas used for spacing between the metal wires to be deposited. Although the diagram is not drawn to scale, it can be seen adjusting the widths of the nitride layers 330 and 910 in addition to the widths of the oxide layers 710 and 1110 making contact with the polysilicon layer 320 defines the widths and spacing used for the upcoming metal patterns. This semiconductor structure illustrated in FIG. 11 is used by one of multiple further fabrication steps to create the groups of metal patterns and any extra metal tracks in the spacing between the groups of metal patterns.
  • In FIG. 12, the fabrication process uses a chemical mechanical planarization (CMP) step to remove multiple layers shown earlier in FIG. 11 until the nitride layer 330 is reached. The multiple layers are the oxide layer 1110, the spacer nitride layer 910, the polysilicon 322, and the spacer oxide layer 710. The polysilicon layer 322 is completely removed in the illustrated embodiment. The CMP step polishes the remaining material corresponding to the layers 322, 710, 910 and 1110. The CMP step achieves a near-perfect flat and smooth surface upon which further layers are built. The flat and smooth surface contains alternating oxide and nitride regions on top of the polysilicon layer 320.
  • Turning now to FIG. 13, one embodiment of a method 1300 for fabricating metal patterns to be used for metal tracks is shown. For purposes of discussion, the steps in this embodiment (as well as in FIGS. 14, 24 and 34) are shown in sequential order. However, in other embodiments some steps occur in a different order than shown, some steps are performed concurrently, some steps are combined with other steps, and some steps are absent.
  • In various embodiments, an oxide layer is formed on top of a substrate. In some embodiments, a plasma-enhanced chemical vapor deposition (PECVD) process is used to place the oxide layer on the substrate. A first polysilicon layer is deposited on top of the oxide layer (block 1302). Afterward, a nitride layer is formed on top of the first polysilicon layer (block 1304). In various embodiments, the nitride layer is silicon nitride (SiN). Following, a second polysilicon layer is formed on the nitride layer (block 1306). In some embodiments, the second polysilicon layer has a thickness greater than the thickness of the first polysilicon layer.
  • A photoresist layer is formed on top of the second polysilicon layer (block 1308). A distance for spacing between groups of metal patterns to be formed is determined (block 1310). The determined distance sets the spacing between the groups of metal patterns to be formed later. Briefly referring again to FIG. 2, the spacing 230 can be used for additional signal routing tracks between the groups 102 and 104. The determined distance sets the width of the remaining photoresist on the second polysilicon layer after an etching fabrication step (block 1312).
  • The etching is done to create particular spacing between the remaining photoresist and to set the width of the remaining photoresist based on the determined distance. The spacing between the remaining photoresist sets the area for a group of metal patterns to be formed later. Therefore, to increase the spacing between groups of later metal patterns, the determined distances is increased and the width of the remaining photoresist on the polysilicon layer will be made wider. Similarly, to decrease the spacing between groups of later metal patterns, the determined distance is decreased and the width of the remaining photoresist on the polysilicon layer will be reduced.
  • Trenches are etched into areas of the second polysilicon layer unprotected by the photoresist layer (block 1314). Following, trenches are etched into areas of the nitride layer unprotected by the photoresist layer (block 1316). Afterward, the photoresist layer is stripped (block 1318). The resulting columns (mandrels) on the first polysilicon layer contain the second polysilicon layer on top of the nitride layer.
  • Referring to FIG. 14, one embodiment of a method 1400 for fabricating metal patterns to be used for metal tracks is shown. A conformal spacer oxide layer is deposited over a first polysilicon layer and mandrels (columns) on top of the first polysilicon layer (block 1402). In various embodiments, the columns contain a second polysilicon layer on top of a nitride layer. The conformal spacer oxide layer is etched (block 1404) leaving sidewalls of spacer oxide on either sides of the mandrels. The thickness of the remaining spacer oxide layer on the sidewalls of the mandrels sets the width of a metal pattern to be formed later.
  • A conformal spacer nitride layer is deposited over exposed areas of the first polysilicon layer and over the mandrels (columns) on top of the first polysilicon layer (block 1406). The conformal spacer nitride layer is etched (block 1408) leaving sidewalls of spacer nitride on either sides of the mandrels. The thickness of the remaining spacer nitride layer on the sidewalls of the mandrels sets the width of spacing between metal patterns to be formed later. Accordingly, this width is used to set the pitch between metal patterns to be formed later. Each of the remaining sidewall spacer oxide layer and spacer nitride layer form a double spacer around the mandrels.
  • An oxide layer is deposited over the exposed areas of the first polysilicon layer and the double spacer (block 1410). Each of the deposited top-most oxide layer, the double spacer and the mandrels are removed until the nitride layer 330 is reached (block 1412). The multiple layers removed are the top-most deposited oxide layer, a portion of the spacer nitride layer within the double spacer, a portion of the spacer oxide layer within the double spacer, and the entire second polysilicon layer within the mandrels. In various embodiments, a chemical mechanical planarization (CMP) step is used to remove these multiple layers and to polish the remaining material. The CMP step achieves a near-perfect flat and smooth surface upon which further layers are built.
  • Referring to FIGS. 15-16, generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown. In FIG. 15, each of the oxide layers 710 and 1110 in addition to the polysilicon layer 320 are etched until the oxide layer 310 is reached. Regions for later metallization are further created. In FIG. 16, the nitride layer 330 and the spacer nitride layer 910 are stripped leaving the polysilicon layer 320 exposed.
  • Turning to FIGS. 17-19, generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown. In these diagrams, further etching is performed in addition to metallization. In FIG. 17, the fabrication process etches trenches into areas of the oxide layer 310 which are unprotected by the polysilicon layer 320. In FIG. 18, the polysilicon layer 320 is etched away followed by a metallization step shown in FIG. 19. The metallization step deposits the metal layer 1910 in the etched trenches. Referring briefly again to FIG. 10, it can be seen the width of the metal wires is set by the width of the oxide layer 710 of the double spacer making contact with the polysilicon layer 320 and the width of the oxide layer 1110 making contact with the polysilicon layer 320. The spacing between the metal wires is set by the width of the nitride layer 330 shown in FIG. 10. The spacing between the metal wires is also set by the width of the nitride layer 910 of the double spacer.
  • In one embodiment, the metal layer 1910 is copper. In another embodiment, the metal layer 1910 is aluminum or a copper and aluminum mix. In some embodiments, the metal layer 1910 is formed by a dual damascene process. In other embodiments the metal layer 1910 formed by a single damascene process. Other techniques are possible and contemplated for forming the metal layer 1910. In embodiments with copper used as the metal layer 1910, a liner using a tantalum (Ta) based barrier material is deposited on the inter-level dielectric (ILD), which is the oxide layer 310, before the metal layer 1910 is formed. The liner prevents the copper from diffusing into the oxide layer 310 and acts as an adhesion layer for the copper. Next a thin copper seed layer is deposited by physical vapor diffusion (PVD) followed by electroplating of copper. In other embodiments, cobalt, tungsten, other metals or carbon nanotubes are used in place of copper.
  • Referring to FIGS. 20-23, generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown. FIGS. 20-23 illustrate alternative steps to use in the fabrication process compared to the steps described above for FIGS. 15-19. Here, FIG. 20 is the same as the earlier FIG. 15 where each of the oxide layers 710 and 1110 in addition to the polysilicon layer 320 are etched until the oxide layer 310 is reached, and thus, creating regions for later metallization. FIG. 20 shows each of the oxide layers 710 and 1110 in addition to the polysilicon 320 are etched until the oxide layer 310 is reached. FIG. 20 shows the etching steps after the CMP step to remove multiple layers shown earlier in FIG. 11 until the nitride layer 330 is reached. In FIG. 21, the fabrication process etches trenches into areas of the oxide layer 310 unprotected by the nitride layers 330 and 910 as well as the polysilicon layer 320. In FIG. 22, each of the nitride layers 330 and 910 as well as the polysilicon layer 320 are etched away followed by a metallization step shown in FIG. 23.
  • Turning now to FIG. 24, one embodiment of a method 2400 for fabricating metal patterns to be used for metal tracks is shown. A flat and smooth surface contains alternating oxide and nitride regions on top of a polysilicon layer. An oxide layer is below the polysilicon layer. Therefore, the multiple layers contain the oxide layer at the bottom and a polysilicon layer on top of the oxide layer. On top of the polysilicon layer are the alternating regions of polished oxide and nitride regions. In some embodiments, the widths of the alternating regions of polished oxide and nitride regions are relatively the same. The oxide region of the alternating oxide and nitride regions is etched and removed from the top of the polysilicon layer (block 2402).
  • The exposed portions of the polysilicon layer in the same regions as the previously removed oxide are removed (etched) until the oxide layer underneath the polysilicon layer is reached (block 2404). In some embodiments, trenches are etched at this time into the oxide layer below the polysilicon layer. In other embodiments, the trenches are created later. If the trenches are etched later (“no” branch of the conditional block 2406), then the top alternating nitride regions are removed exposing the alternating polysilicon regions (block 2408). Following, the trenches are etched in the oxide layer below the alternating polysilicon regions where the below oxide layer is unprotected by the alternating polysilicon regions (block 2410). Next, the alternating polysilicon regions are removed (block 2412). Afterward, a metallization step deposits metal in the etched trenches (block 2418). In one embodiment, the metal is copper. In another embodiment, the metal is aluminum or a copper and aluminum mix. In other embodiments, cobalt, tungsten, other metals or carbon nanotubes are used.
  • However, if the trenches are etched after the exposed portions of the polysilicon layer are removed (“yes” branch of the conditional block 2406), then the trenches are etched in the oxide layer below the alternating nitride and polysilicon mandrels where the below oxide layer is unprotected by the alternating mandrels (block 2414). Following, the top alternating nitride in the mandrels are removed exposing the alternating polysilicon regions (block 2416). Afterward, control flow of method 2400 moves to block 2412 where the alternating polysilicon regions are removed.
  • Referring to FIGS. 25-33, generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown. FIGS. 25-33 illustrate alternative steps to use in the fabrication process compared to the steps described above for FIGS. 15-23. Here, FIG. 25 is the same as the earlier FIG. 12 where the fabrication process uses a chemical mechanical planarization (CMP) step to remove multiple layers shown earlier in FIG. 11 until the nitride layer 330 is reached.
  • As described earlier, the nitride layer 330 is not used within the double spacer constructed as shown earlier in FIGS. 10-11. Instead, the spacer nitride layer 910 is used to construct the double spacer. As shown in FIG. 25, the width of the nitride layer 330 in particular areas on the polysilicon layer 320, such as the far left, the far right and the center areas, is larger than the width of the nitride layer 330 used in other areas. As described earlier regarding FIG. 4, the width of the nitride layer 330 is used to define the width of spacing between metal patterns used for metal wires. The larger widths used for the nitride layer 330 in FIG. 25 are used to define spacing between the metal patterns to be fabricated.
  • Referring now to FIG. 26, each of the oxide layers 710 and 1110 in addition to the polysilicon layer 320 are etched until the oxide layer 310 is reached. Regions for later metallization are created by this etching. As shown, the widths for spacing between metal patterns alternates between relatively narrow to relatively wide. For example, as shown, the far left, the far right and the middle columns (mandrels) are wider than the other columns. The columns use the nitride layers 330 and 910 on the top along with polysilicon layer 320 on the bottom. In FIG. 27, the nitride layer 330 and the spacer nitride layer 910 are stripped from the tops of the columns leaving the polysilicon layer 320 exposed.
  • Turning to FIGS. 28-29, generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown. In these diagrams, the fabrication process performs further etching. In FIG. 28, a photoresist layer 410 is formed on top of the polysilicon layer 320. As described earlier and briefly referring again to FIG. 2, the spacing 230 shown in FIG. 2 between the two groups 102 and 104 provides additional signal routing tracks beyond the multiple horizontal tracks used in the groups 102 and 104. In each figure of the previous FIGS. 25-27 and now FIG. 28, the widths of the remaining far left, far right and center polysilicon 320 on the oxide layer 310 determines the spacing between groups of metal patterns.
  • As highlighted in FIG. 28, the area 2810 is the width of the center polysilicon 320 on the oxide layer 310. The width of the area 2810 determines the width of the later spacing 230 between the groups 102 and 104, and provides area to later form one or more additional metal tracks between the groups 102 and 104. Therefore, to increase the later spacing 230 between the groups 102 and 104, the width of the remaining polysilicon 320 on the oxide layer 310 within the area 2810 is made wider as shown in each figure of the previous FIGS. 25-27 and now FIG. 28.
  • In the illustrated embodiment shown in FIG. 28, one extra metal track is being placed in the later spacing 230 to be formed. Therefore, within the area 2810, the photoresist 410 is etched until the polysilicon layer 320 is reached. Although etching for a single extra metal track is shown, in other embodiments, another number of etchings is performed in the photoresist layer 410 for another number of extra metal tracks. The width of the etching in the area 2810 is equivalent to the width of the extra metal patterns to be formed later in the area 2810. Additionally highlighted in FIG. 28 is the area 2802, which is between the relatively wide remaining polysilicon layers 320. The area 2802 provides area to later form metal patterns such as the group 102. Similarly, the area 2804 provides area to later form metal patterns such as the group 104.
  • In FIG. 28, the widths of the other remaining polysilicon 320 on the oxide layer 310 determines the spacing between the metal patterns formed later within the groups 102 and 104. These widths of the other remaining polysilicon 320 accordingly determine the pitch for the metal patterns later formed within the groups 102 and 104. The widths of the photoresist 410 making contact with the oxide layer 310 determines the widths of the later metal patterns to be formed. In FIG. 29, within the area 2810, the polysilicon layer 320 is etched away until the oxide layer 310 is reached. This etching creates area 2910 which provides area for a later extra single metal pattern to be formed.
  • Turning to FIGS. 30-33, generalized block diagrams of other cross-sectional views of semiconductor metal patterns being fabricated are shown. In these diagrams, further etching is performed in addition to metallization. In FIG. 30, the fabrication process strips away the photoresist layer 410. In FIG. 31, the fabrication process etches trenches into areas of the oxide layer 310 which are unprotected by the polysilicon layer 320. In FIG. 32, the polysilicon layer 320 is etched away followed by a metallization step shown in FIG. 33. The metallization step deposits the metal layer 1910 in the etched trenches. As described earlier, in some embodiments, the metal layer 1910 is copper. In other embodiments, the metal layer 1910 is aluminum or a copper and aluminum mix. In other embodiments, cobalt, tungsten, other metals or carbon nanotubes are used.
  • As shown, each of the pattern groups 3302 and 3304 use three metal patterns for three metal tracks. Although each of the groups 3302 and 3304 is shown to use three metal patterns, in other embodiments, any other number of metal patterns is used. In the illustrated embodiment, an extra metal pattern 3310 is located between the groups 3302 and 3304. The extra pattern 3310 provides an additional signal routing track beyond the groups 3302 and 3304. Although a single extra pattern is shown, any other number of extra patterns placed between the groups 3302 and 3304 is possible and contemplated.
  • Turning now to FIG. 34, one embodiment of a method 3400 for fabricating metal patterns to be used for metal tracks is shown. A flat and smooth surface contains alternating oxide and nitride regions on top of a polysilicon layer. An oxide layer is below the polysilicon layer. Therefore, the multiple layers contain the oxide layer at the bottom and a polysilicon layer on top of the oxide layer. On top of the polysilicon layer are the alternating regions of polished oxide and nitride regions. In some embodiments, the widths of some of the polished nitride regions are appreciably wider than the widths of other nitride regions and the polished oxide regions. As described earlier regarding the previous FIG. 4 and FIG. 25, the width of the nitride layer 330 is used to define the width of spacing between metal patterns used for metal wires. The larger widths used for the nitride layer 330 are used to define spacing between the metal patterns to be fabricated.
  • The oxide region of the alternating oxide and nitride regions is etched and removed from the top of the polysilicon layer (block 3402). The exposed portions of the polysilicon layer in the same regions as the previously removed oxide are removed until the oxide layer underneath the polysilicon layer is reached (block 3404). The top alternating nitride regions are removed exposing the alternating polysilicon regions (block 3406).
  • In some embodiments, one or more extra metal tracks between groups top and bottom metal tracks in the standard cell. However, if no extra metal tracks are being created for the standard cell (“no” branch of the conditional block 3408), then trenches are etched in the oxide layer below the alternating polysilicon regions where the below oxide layer is unprotected by the alternating polysilicon regions (block 3410). Next, the alternating polysilicon regions are removed (block 3412). Afterward, a metallization step deposits metal in the etched trenches (block 3414). In one embodiment, the metal is copper. In another embodiment, the metal is aluminum or a copper and aluminum mix.
  • If extra metal tracks are being created for the standard cell (“yes” branch of the conditional block 3408), then a photoresist layer is formed on top of the alternating polysilicon regions (block 3416). In regions for the extra metal tracks, each of the photoresist layer and the relatively wide polysilicon region are etched until the oxide layer underneath the polysilicon region is reached (block 3418). The photoresist layer is removed (block 3420). Afterward, control flow of method 3400 moves to block 3410 where trenches are etched followed by the steps in blocks 3412-3414 for completing metallization for the metal tracks.
  • The processing steps illustrated above in FIGS. 3-22 provide a partial Immersion Lithography solution and cost reduced alternative to full EUV printing of certain limited layers with Sub EUV resolution and enables more cost effective Moore's law scaling at 5 nm and 3 nm technology nodes. Other processing techniques use double pattered EUV with side wall image transfer, but these types of processing techniques use 3 EUV or 2 EUV masks+1 Immersion masks compared to two immersion masks and 1 EUV mask. One EUV mask=3-4 Immersion masks in terms of cost. The invention has 5-6 Immersion mask cost equivalents compared to 9-12 Immersion cost equivalents with the EUV only method. There is also still significant risk with EUV metal mask defect rates. The processing steps described above in FIGS. 3-22 use immersion only for the metal mask and EUV for the CUT mask which is significantly lower risk and in practice today. Using the processing steps described above in FIGS. 3-22, standard cells rout efficiently if they have triplet path groupings for each n-ch and p-ch device or 6 total tracks to route the gate and source/drain connections. Over scaling these tracks using the above processing steps mask that possible with less cost compared to EUV and reduces or eliminated added area bloat through CPP slips or added area to complete complex cells. This ultimately will reduce area and power at 5 nm and 3 nm.
  • A novel Immersion Lithography process is described as an alternative to EUV that can achieve sub EUV patterning capability. Sub EUV patterning is possible but will be very expensive compared to the approach in this disclosure. EUV mask blank defectivity is still very high and makes metal layer masks difficult to print defect free compared to contact, via and cut masks. Ultimately the mask blank defectivity will be solved but it is a question of when and schedule. The primary motivation is cost reduction for sub EUV metal mask patterning. Secondary is potential pattern flexibility and better line width roughness control and reduced variability.
  • It is noted that one or more of the above-described embodiments include software. In such embodiments, the program instructions that implement the methods and/or mechanisms are conveyed or stored on a computer readable medium. Numerous types of media which are configured to store program instructions are available and include hard disks, floppy disks, CD-ROM, DVD, flash memory, Programmable ROMs (PROM), random access memory (RAM), and various other forms of volatile or non-volatile storage. Generally speaking, a computer accessible storage medium includes any storage media accessible by a computer during use to provide instructions and/or data to the computer. For example, a computer accessible storage medium includes storage media such as magnetic or optical media, e.g., disk (fixed or removable), tape, CD-ROM, or DVD-ROM, CD-R, CD-RW, DVD-R, DVD-RW, or Blu-Ray. Storage media further includes volatile or non-volatile memory media such as RAM (e.g. synchronous dynamic RAM (SDRAM), double data rate (DDR, DDR2, DDR3, etc.) SDRAM, low-power DDR (LPDDR2, etc.) SDRAM, Rambus DRAM (RDRAM), static RAM (SRAM), etc.), ROM, Flash memory, non-volatile memory (e.g. Flash memory) accessible via a peripheral interface such as the Universal Serial Bus (USB) interface, etc. Storage media includes microelectromechanical systems (MEMS), as well as storage media accessible via a communication medium such as a network and/or a wireless link.
  • Additionally, in various embodiments, program instructions include behavioral-level descriptions or register-transfer level (RTL) descriptions of the hardware functionality in a high level programming language such as C, or a design language (HDL) such as Verilog, VHDL, or database format such as GDS II stream format (GDSII). In some cases the description is read by a synthesis tool, which synthesizes the description to produce a netlist including a list of gates from a synthesis library. The netlist includes a set of gates, which also represent the functionality of the hardware including the system. The netlist is then placed and routed to produce a data set describing geometric shapes to be applied to masks. The masks are then used in various semiconductor fabrication steps to produce a semiconductor circuit or circuits corresponding to the system. Alternatively, the instructions on the computer accessible storage medium are the netlist (with or without the synthesis library) or the data set, as desired. Additionally, the instructions are utilized for purposes of emulation by a hardware based type emulator from such vendors as Cadence®, EVE®, and Mentor Graphics®.
  • Although the embodiments above have been described in considerable detail, numerous variations and modifications will become apparent to those skilled in the art once the above disclosure is fully appreciated. It is intended that the following claims be interpreted to embrace all such variations and modifications.

Claims (20)

1. A semiconductor device fabrication process comprising:
forming a first nitride layer on top of a first polysilicon layer which is on top of a first oxide layer;
depositing a second polysilicon layer on top of the first nitride layer;
depositing a photoresist layer on top of the second polysilicon layer;
etching photoresist trenches in the photoresist layer until the second polysilicon layer is reached, wherein at least one photoresist trench has a width used for a group of metal patterns to be formed;
etching each of the second polysilicon layer and the first nitride layer in the photoresist trenches until the first polysilicon layer is reached which creates a first plurality of mandrels comprising remaining photoresist, remaining second polysilicon and remaining first nitride;
removing the remaining photoresist from the first plurality of mandrels;
depositing a conformal spacer oxide layer over the first plurality of mandrels and exposed areas of the first polysilicon layer;
etching the conformal spacer oxide layer leaving sidewalls on each of the first plurality of mandrels;
depositing a conformal spacer nitride layer over the first plurality of mandrels and exposed areas of the first polysilicon layer;
etching the conformal spacer nitride layer leaving sidewalls on the first plurality of mandrels to form a double spacer comprising remaining spacer nitride and remaining spacer oxide
depositing a second oxide layer over the double spacers and exposed areas of the first polysilicon layer;
removing portions of the second oxide layer and the double spacer until the remaining first nitride in the first plurality of mandrels are reached, wherein the second polysilicon in the first plurality of mandrels is completely removed, wherein on top of the first polysilicon layer are alternating regions comprising the remaining spacer nitride, the remaining spacer oxide, the remaining first nitride, and remaining second oxide;
removing each of the remaining spacer oxide and the remaining second oxide from the alternating regions on top of the first polysilicon layer; and
etching the first polysilicon layer in areas unprotected by the remaining spacer nitride and the remaining first nitride of the alternating regions until the first oxide layer is reached, which creates a second plurality of mandrels comprising the remaining spacer nitride with remaining first polysilicon underneath or the remaining first nitride with remaining first polysilicon underneath.
2. (canceled)
3. The semiconductor device fabrication process as recited in claim 2, wherein at least one mandrel of the first plurality of mandrels has a width for spacing between two groups of metal patterns to be formed.
4. The semiconductor device fabrication process as recited in claim 2, wherein the remaining spacer nitride in the double spacer has a width used for spacing between metal patterns of the group of metal patterns to be formed.
5. The semiconductor device fabrication process as recited in claim 2, wherein the remaining spacer oxide in the double spacer has a width used for a width of metal patterns of the group of metal patterns to be formed.
6. (canceled)
7. The semiconductor device fabrication process as recited in claim 1, wherein the process further comprises:
remove each of the remaining spacer nitride and the remaining first nitride from the second plurality of mandrels;
etch oxide trenches in the first oxide layer in areas where the first oxide layer is unprotected by the second plurality of mandrels.
8. The semiconductor device fabrication process as recited in claim 1, wherein the process further comprises:
etch oxide trenches in the first oxide layer in areas where the first oxide layer is unprotected by the second plurality of mandrels; and
remove each of the remaining spacer nitride and the remaining first nitride from the second plurality of mandrels.
9. The semiconductor device fabrication process as recited in claim 7, wherein the process further comprises:
remove the remaining first polysilicon from the second plurality of mandrels; and
deposit metal in the oxide trenches.
10. A semiconductor structure comprising:
a first polysilicon layer on top of a first oxide layer;
a first plurality of mandrels on top of the first polysilicon layer, each mandrel comprising second polysilicon on top of first nitride;
a first pair of sidewalls on each of the first plurality of mandrels, wherein each sidewall comprise spacer oxide; and
a second pair of sidewalls on each of the first pair of sidewalls, wherein each sidewall comprise spacer nitride, and wherein on each side of each mandrel of the first plurality of mandrels, a double spacer comprises a combination of the spacer oxide and the spacer nitride.
11. The semiconductor structure as recited in claim 10, further comprising a second oxide layer deposited over the double spacers and exposed areas of the first polysilicon layer.
12. The semiconductor structure as recited in claim 11, wherein at least one mandrel of the first plurality of mandrels has a width for spacing between two groups of metal patterns to be formed.
13. The semiconductor structure as recited in claim 11, wherein the remaining spacer nitride in the double spacer has a width used for spacing between metal patterns of the group of metal patterns to be formed.
14. The semiconductor structure as recited in claim 11, wherein the remaining spacer oxide in the double spacer has a width used for a width of metal patterns of the group of metal patterns to be formed.
15. A non-transitory computer readable storage medium storing program instructions, wherein the program instructions for performing a semiconductor fabrication process are executable by a processor to:
form a first nitride layer on top of a first polysilicon layer which is on top of a first oxide layer;
deposit a second polysilicon layer on top of the first nitride layer;
deposit a photoresist layer on top of the second polysilicon layer;
etch photoresist trenches in the photoresist layer until the second polysilicon layer is reached, wherein at least one photoresist trench has a width used for a group of metal patterns to be formed;
etch each of the second polysilicon layer and the first nitride layer in the photoresist trenches until the first polysilicon layer is reached which creates a first plurality of mandrels comprising remaining photoresist, remaining second polysilicon and remaining first nitride;
remove the remaining photoresist from the first plurality of mandrels;
deposit a conformal spacer oxide layer over the first plurality of mandrels and exposed areas of the first polysilicon layer;
etch the conformal spacer oxide layer leaving sidewalls on each of the first plurality of mandrels;
deposit a conformal spacer nitride layer over the first plurality of mandrels and exposed areas of the first polysilicon layer;
etch the conformal spacer nitride layer leaving sidewalls on the first plurality of mandrels to form a double spacer comprising remaining spacer nitride and remaining spacer oxide;
deposit a second oxide layer over the double spacers and exposed areas of the first polysilicon layer;
remove portions of the second oxide layer and the double spacer until the remaining first nitride in the first plurality of mandrels are reached, wherein the second polysilicon in the first plurality of mandrels is completely removed, wherein on top of the first polysilicon layer are alternating regions comprising the remaining spacer nitride, the remaining spacer oxide, the remaining first nitride, and remaining second oxide;
remove each of the remaining spacer oxide and the remaining second oxide from the alternating regions on top of the first polysilicon layer; and
etch the first polysilicon layer in areas unprotected by the remaining spacer nitride and the remaining first nitride of the alternating regions until the first oxide layer is reached, which creates a second plurality of mandrels comprising the remaining spacer nitride with remaining first polysilicon underneath or the remaining first nitride with remaining first polysilicon underneath.
16. (canceled)
17. The non-transitory computer readable storage medium as recited in claim 15, wherein at least one mandrel of the first plurality of mandrels has a width for spacing between two groups of metal patterns to be formed.
18. The non-transitory computer readable storage medium as recited in claim 15, wherein the program instructions are further executable by a processor to:
remove each of the remaining spacer nitride and the remaining first nitride from the second plurality of mandrels.
19. The non-transitory computer readable storage medium as recited in claim 18, wherein the program instructions are further executable by a processor to:
form a photoresist layer on top of the remaining polysilicon of the second plurality of mandrels;
etch through each of the photoresist layer and the remaining polysilicon in areas for extra metal tracks; and
remove the remaining photoresist.
20. The non-transitory computer readable storage medium as recited in claim 19, wherein the program instructions are further executable by a processor to:
etch oxide trenches in the first oxide layer in areas where the first oxide layer is unprotected by the second plurality of mandrels;
remove the remaining first polysilicon from the second plurality of mandrels; and
deposit metal in the oxide trenches.
US15/608,749 2017-05-01 2017-05-30 Double spacer immersion lithography triple patterning flow and method Active US10304728B2 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
US15/608,749 US10304728B2 (en) 2017-05-01 2017-05-30 Double spacer immersion lithography triple patterning flow and method
KR1020237037206A KR102678649B1 (en) 2017-05-01 2018-04-27 Double spacer immersion lithography triple patterning flow and method
CN201880029134.2A CN110582837B (en) 2017-05-01 2018-04-27 Dual spacer immersion lithography triple patterning process and method
EP18725083.2A EP3619737B1 (en) 2017-05-01 2018-04-27 Double spacer immersion lithography triple patterning flow and method
JP2019559728A JP7157081B2 (en) 2017-05-01 2018-04-27 Double Spacer Immersion Lithography Triple Patterning Flow and Method
KR1020197034917A KR102596931B1 (en) 2017-05-01 2018-04-27 Double spacer immersion lithography triple patterning flow and method
PCT/US2018/029702 WO2018204168A1 (en) 2017-05-01 2018-04-27 Double spacer immersion lithography triple patterning flow and method
US16/422,144 US10784154B2 (en) 2017-05-01 2019-05-24 Double spacer immersion lithography triple patterning flow and method
JP2022161423A JP7286855B2 (en) 2017-05-01 2022-10-06 Double Spacer Immersion Lithography Triple Patterning Flow and Method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762492692P 2017-05-01 2017-05-01
US15/608,749 US10304728B2 (en) 2017-05-01 2017-05-30 Double spacer immersion lithography triple patterning flow and method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/422,144 Division US10784154B2 (en) 2017-05-01 2019-05-24 Double spacer immersion lithography triple patterning flow and method

Publications (2)

Publication Number Publication Date
US20180315645A1 true US20180315645A1 (en) 2018-11-01
US10304728B2 US10304728B2 (en) 2019-05-28

Family

ID=63917520

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/608,749 Active US10304728B2 (en) 2017-05-01 2017-05-30 Double spacer immersion lithography triple patterning flow and method
US16/422,144 Active US10784154B2 (en) 2017-05-01 2019-05-24 Double spacer immersion lithography triple patterning flow and method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/422,144 Active US10784154B2 (en) 2017-05-01 2019-05-24 Double spacer immersion lithography triple patterning flow and method

Country Status (6)

Country Link
US (2) US10304728B2 (en)
EP (1) EP3619737B1 (en)
JP (2) JP7157081B2 (en)
KR (1) KR102596931B1 (en)
CN (1) CN110582837B (en)
WO (1) WO2018204168A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190348280A1 (en) * 2018-05-14 2019-11-14 Globalfoundries Inc. Semiconductor devices including active regions in ram areas with deposition determined pitch
TWI679490B (en) * 2018-11-13 2019-12-11 華邦電子股份有限公司 Processing method for producing photomask with double patterns and storage medium thereof
CN112349730A (en) * 2019-08-07 2021-02-09 格芯公司 Fin field effect transistor over one or more buried poly layers

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3312882B1 (en) * 2016-10-20 2021-09-15 IMEC vzw A method of patterning a target layer
US10304728B2 (en) 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US11848209B2 (en) * 2021-02-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning semiconductor devices and structures resulting therefrom
US11862640B2 (en) 2021-09-29 2024-01-02 Advanced Micro Devices, Inc. Cross field effect transistor (XFET) library architecture power routing

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW345742B (en) 1997-11-27 1998-11-21 United Microelectronics Corp Method for producing integrated circuit capacitor
JP2001313379A (en) 2000-04-28 2001-11-09 Nec Corp Manufacturing method of semiconductor memory, and manufacturing method of capacitive element
KR100594327B1 (en) 2005-03-24 2006-06-30 삼성전자주식회사 Semiconductor device comprising nanowire having rounded section and method for manufacturing the same
US7361588B2 (en) * 2005-04-04 2008-04-22 Advanced Micro Devices, Inc. Etch process for CD reduction of arc material
US7761831B2 (en) 2005-12-29 2010-07-20 Mosaid Technologies Incorporated ASIC design using clock and power grid standard cell
US7723806B2 (en) * 2006-03-28 2010-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cells and semiconductor memory device using the same
JP4322888B2 (en) 2006-06-01 2009-09-02 エルピーダメモリ株式会社 Semiconductor device
JP5111980B2 (en) * 2006-09-06 2013-01-09 株式会社東芝 Semiconductor device
US20090001426A1 (en) * 2007-06-29 2009-01-01 Kangguo Cheng Integrated Fin-Local Interconnect Structure
US7984395B2 (en) 2008-01-17 2011-07-19 Synopsys, Inc. Hierarchical compression for metal one logic layer
JP2009239030A (en) * 2008-03-27 2009-10-15 Toshiba Corp Method of manufacturing semiconductor device
JP5410082B2 (en) 2008-12-12 2014-02-05 ルネサスエレクトロニクス株式会社 Semiconductor integrated circuit device
JP5431752B2 (en) * 2009-03-05 2014-03-05 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
US8222140B2 (en) * 2009-12-23 2012-07-17 Intel Corporation Pitch division patterning techniques
US9029834B2 (en) 2010-07-06 2015-05-12 International Business Machines Corporation Process for forming a surrounding gate for a nanowire using a sacrificial patternable dielectric
US8488359B2 (en) * 2010-08-20 2013-07-16 Shine C. Chung Circuit and system of using junction diode as program selector for one-time programmable devices
US8753942B2 (en) 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US8756550B2 (en) * 2011-09-19 2014-06-17 Texas Instruments Incorporated Method to ensure double patterning technology compliance in standard cells
KR20130070252A (en) 2011-12-19 2013-06-27 에스케이하이닉스 주식회사 Spare logic realizing method of semiconductor memory device and structure of the same
KR101821672B1 (en) 2011-12-23 2018-01-24 인텔 코포레이션 Non-planar gate all-around device and method of fabrication thereof
US9608130B2 (en) 2011-12-27 2017-03-28 Maxim Integrated Products, Inc. Semiconductor device having trench capacitor structure integrated therein
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
US8743580B2 (en) * 2012-03-30 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for high speed ROM cells
US8627251B2 (en) * 2012-04-25 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods of automatically detecting failure patterns for semiconductor wafer fabrication processes
US9461143B2 (en) * 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US8716751B2 (en) 2012-09-28 2014-05-06 Intel Corporation Methods of containing defects for non-silicon device engineering
US10283437B2 (en) 2012-11-27 2019-05-07 Advanced Micro Devices, Inc. Metal density distribution for double pattern lithography
US9224849B2 (en) 2012-12-28 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with wrapped-around gates and methods for forming the same
US9184041B2 (en) 2013-06-25 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with backside structures to reduce substrate warp
CN104425225A (en) * 2013-09-04 2015-03-18 中芯国际集成电路制造(上海)有限公司 Forming method for triple graphs
CN103543571B (en) * 2013-09-23 2016-04-13 华中科技大学 Swingable liquid crystal microlens of electric scanning bilateral light aperture focus and preparation method thereof
US9437447B2 (en) * 2014-02-23 2016-09-06 Tokyo Electron Limited Method for patterning a substrate for planarization
CN104979211B (en) 2014-04-10 2018-03-06 中芯国际集成电路制造(上海)有限公司 Nano-wire devices and its manufacture method
WO2015191106A1 (en) * 2014-06-13 2015-12-17 Intel Corporation Ebeam non-universal cutter
US9400862B2 (en) * 2014-06-23 2016-07-26 Synopsys, Inc. Cells having transistors and interconnects including nanowires or 2D material strips
US9502518B2 (en) 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9425318B1 (en) 2015-02-27 2016-08-23 GlobalFoundries, Inc. Integrated circuits with fets having nanowires and methods of manufacturing the same
US20160307772A1 (en) * 2015-04-15 2016-10-20 Applied Materials, Inc. Spacer formation process with flat top profile
WO2017053296A1 (en) * 2015-09-24 2017-03-30 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
US9704995B1 (en) 2016-09-20 2017-07-11 Advanced Micro Devices, Inc. Gate all around device architecture with local oxide
US11189569B2 (en) 2016-09-23 2021-11-30 Advanced Micro Devices, Inc. Power grid layout designs for integrated circuits
US10032665B2 (en) * 2016-11-30 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor device
US10270430B2 (en) * 2016-12-28 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Cell of transmission gate free circuit and integrated circuit and integrated circuit layout including the same
US10068794B2 (en) 2017-01-31 2018-09-04 Advanced Micro Devices, Inc. Gate all around device architecture with hybrid wafer bond technique
US10608076B2 (en) 2017-03-22 2020-03-31 Advanced Micro Devices, Inc. Oscillating capacitor architecture in polysilicon for improved capacitance
US10304728B2 (en) 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US11120190B2 (en) 2017-11-21 2021-09-14 Advanced Micro Devices, Inc. Metal zero power ground stub route to reduce cell area and improve cell placement at the chip level

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190348280A1 (en) * 2018-05-14 2019-11-14 Globalfoundries Inc. Semiconductor devices including active regions in ram areas with deposition determined pitch
US11257672B2 (en) * 2018-05-14 2022-02-22 Globalfoundries U.S. Inc. Semiconductor devices including active regions in RAM areas with deposition determined pitch
TWI679490B (en) * 2018-11-13 2019-12-11 華邦電子股份有限公司 Processing method for producing photomask with double patterns and storage medium thereof
US10957555B2 (en) 2018-11-13 2021-03-23 Winbond Electronics Corp. Processing method for producing photomask with double patterns and storage medium thereof
CN112349730A (en) * 2019-08-07 2021-02-09 格芯公司 Fin field effect transistor over one or more buried poly layers
US11164867B2 (en) * 2019-08-07 2021-11-02 Globalfoundries U.S. Inc. Fin-type field-effect transistors over one or more buried polycrystalline layers
TWI751604B (en) * 2019-08-07 2022-01-01 美商格芯(美國)集成電路科技有限公司 Fin-type field-effect transistors over one or more buried polycrystalline layers

Also Published As

Publication number Publication date
KR20190142380A (en) 2019-12-26
JP2022191341A (en) 2022-12-27
KR102596931B1 (en) 2023-11-01
CN110582837B (en) 2024-05-28
JP2020519938A (en) 2020-07-02
JP7157081B2 (en) 2022-10-19
JP7286855B2 (en) 2023-06-05
WO2018204168A1 (en) 2018-11-08
EP3619737B1 (en) 2021-12-29
CN110582837A (en) 2019-12-17
KR20230155022A (en) 2023-11-09
US10784154B2 (en) 2020-09-22
US10304728B2 (en) 2019-05-28
EP3619737A1 (en) 2020-03-11
US20190295885A1 (en) 2019-09-26

Similar Documents

Publication Publication Date Title
US10784154B2 (en) Double spacer immersion lithography triple patterning flow and method
CN110692137B (en) Standard cell layout architecture and drawing patterns for 5 nm and beyond
TWI687977B (en) Semiconductor device and method for manufacturing the same
US8883636B2 (en) Process for semiconductor circuit
US11251043B2 (en) Method and structure for cutting dense line patterns using self-aligned double patterning
US10727056B2 (en) Method and structure for cutting dense line patterns using self-aligned double patterning
KR20130142195A (en) Apparatuses including stair-step structures and methods of forming the same
US8563425B2 (en) Selective local interconnect to gate in a self aligned local interconnect process
KR102678649B1 (en) Double spacer immersion lithography triple patterning flow and method
US20230395503A1 (en) Method of making integrated circuit with backside interconnections
US20230275018A1 (en) Metal lines of hybrid heights
US20230067952A1 (en) Semiconductor device segmented interconnect
US20230078381A1 (en) Tapered device for lateral gate all around devices

Legal Events

Date Code Title Description
AS Assignment

Owner name: ADVANCED MICRO DEVICES, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:SCHULTZ, RICHARD T.;REEL/FRAME:042692/0619

Effective date: 20170607

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4