US20180204724A1 - Image transfer using euv lithographic structure and double patterning process - Google Patents

Image transfer using euv lithographic structure and double patterning process Download PDF

Info

Publication number
US20180204724A1
US20180204724A1 US15/798,659 US201715798659A US2018204724A1 US 20180204724 A1 US20180204724 A1 US 20180204724A1 US 201715798659 A US201715798659 A US 201715798659A US 2018204724 A1 US2018204724 A1 US 2018204724A1
Authority
US
United States
Prior art keywords
layer
hardmask
hardmask layer
euv
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/798,659
Inventor
Hsueh-Chung Chen
Yann A.M. Mignot
Yongan Xu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Elpis Technologies Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US15/798,659 priority Critical patent/US20180204724A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, HSUEH-CHUNG, MIGNOT, YANN A.M., XU, YONGAN
Publication of US20180204724A1 publication Critical patent/US20180204724A1/en
Assigned to ELPIS TECHNOLOGIES INC. reassignment ELPIS TECHNOLOGIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/115Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having supports or layers with means for obtaining a screen effect or for obtaining better contact in vacuum printing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Definitions

  • the present invention generally relates to semiconductor integrated circuits, and more particularly, to image transfer processes employing an extreme ultraviolet (EUV) sensitive lithographic structure and double patterning process.
  • EUV extreme ultraviolet
  • BEOL back-end-of-line
  • M1 first layer of metal
  • BEOL includes contacts, insulating layers (dielectrics), metal levels, and bonding sites for chip-to-package connections.
  • Double patterning processes such as self-aligned double patterning (SADP) or litho-etch-litho etch (LELE) are typically part of the BEOL process for advanced design rules.
  • Patterning at 10 nm and sub-10 nm technology nodes is a challenge for the semiconductor industry.
  • Several patterning techniques are under investigation to enable the aggressive pitch requirements at these technology nodes.
  • EUV lithography based patterning is being considered as a serious candidate for the sub-10 nm nodes.
  • the method includes forming an organic planarizing layer over a semiconductor substrate, the organic planarizing layer including a planar upper surface.
  • a hardmask layer is formed on the planar upper surface of the organic planarizing layer.
  • An organic adhesion layer is formed on the hardmask layer.
  • An EUV photosensitive resist layer is formed on the organic adhesion layer, wherein the EUV photosensitive resist layer is exposed with an EUV light source and developed to form an opening therein.
  • the opening in the EUV photosensitive resist layer is transferred to the hardmask layer by, for example, reactive ion etching.
  • the opening is extended into the organic planarizing layer and to the substrate by, for example, reactive ion etching.
  • the method includes forming an organic planarizing layer over topography formed on a semiconductor substrate, the organic planarizing layer including a planar upper surface.
  • a multilayer hardmask layer is formed on the planar upper surface of the organic planarizing layer.
  • the multilayer hardmask layer includes an uppermost oxide hardmask layer, an intermediate hardmask layer, and a lowermost oxide hardmask layer.
  • An organic adhesion layer is formed on the uppermost oxide hardmask layer.
  • An EUV photosensitive resist layer is formed on the organic adhesion layer. The EUV photosensitive resist layer is exposed with an EUV light source and developed to form an opening therein.
  • the opening in the EUV sensitive photoresist layer is transferred to the uppermost hardmask layer by reactive ion etching.
  • An additional EUV photosensitive resist layer is formed on the uppermost hardmask layer.
  • the EUV photosensitive resist layer is exposed with an EUV light source and developed to form an additional opening therein.
  • the opening and the additional opening are extended into the intermediate hardmask layer and to the lowermost hardmask layer by, for example, reactive ion etching.
  • the intermediate hardmask layer is removed.
  • the opening and the additional opening are extended into the lowermost oxide hardmask layer and the organic plan layer by, for example, reactive ion etching within the same etch chamber.
  • An EUV lithographic structure consists of an EUV photosensitive resist layer, an organic adhesive layer, and a multilayer hardmask layer.
  • the multilayer hardmask layer includes an uppermost oxide hardmask layer, an intermediate hardmask layer, and a lowermost oxide hardmask layer.
  • the EUV lithographic structure is free of an antireflective layer.
  • FIG. 1 depicts a cross section of a semiconductor structure at an intermediate stage of manufacturing following a hardmask open to expose topographical features formed on a substrate;
  • FIG. 2 depicts a cross section of the semiconductor structure of FIG. 1 following deposition and patterning of EUV photosensitive resist layer of an EUV lithographic structure in accordance with one or more embodiments of the invention
  • FIG. 3 depicts a cross section of the semiconductor structure of FIG. 2 following transfer of openings formed in the patterned EUV photosensitive resist layer into an uppermost oxide hardmask layer of a multilayer hardmask;
  • FIG. 4 depicts a cross section of the semiconductor structure of FIG. 3 following deposition and patterning of an additional EUV photosensitive resist layer on the uppermost oxide hardmask layer;
  • FIG. 5 depicts a cross section of the semiconductor structure of FIG. 4 following transfer of additional openings formed in the additional patterned EUV photosensitive resist layer into an uppermost oxide hardmask layer of the multilayer hardmask;
  • FIG. 6 depicts a cross section of the semiconductor substrate of FIG. 5 following transfer of the openings in the uppermost oxide hardmask layer to an intermediate nitride hardmask layer of the multilayer hardmask;
  • FIG. 7 depicts a cross section of the semiconductor substrate of FIG. 6 following transfer of the openings in the intermediate nitride hardmask layer to layers underlying the multilayer hardmask in accordance with one or more embodiments of the invention.
  • FIG. 8 depicts a cross section of the semiconductor substrate of FIG. 7 following removal of the intermediate nitride hardmask layer prior to transfer of the openings in the intermediate nitride hardmask layer to layers underlying the multilayer hardmask in accordance with one or more embodiments of the invention.
  • a multi-layer EUV lithographic structure and process for lithographic via patterning generally according to embodiments of the present invention includes an EUV photosensitive resist layer disposed on a multilayer hardmask layer for patterning critical layers of advanced integrated circuits.
  • a relatively thin organic adhesive layer such as polystyrene can be provided to provide increased adhesion of the EUV photosensitive resist layer to the multilayer hardmask layer.
  • the multilayer hardmask layer includes an intermediate hardmask layer between uppermost and lowermost oxide hardmask layers.
  • the intermediate hardmask layer can be titanium nitride or an organosilicon such as octylmethylcyclotetrasiloxane.
  • the multilayer EUV lithographic structure and process for via lithographic patterning markedly reduces costs because, unlike trilayer patterning schemes, an antireflective layer is not utilized. That is, the EUV photosensitive resist is deposited onto the uppermost hardmask layer for the lithography step, which can be a low temperature oxide, for example.
  • the lowermost hardmask layer which can also be a low temperature oxide layer, is configured to provide effective adhesion of the nitride intermediate hardmask layer so as to prevent delamination. Still further, multiple patterning of the same uppermost oxide hardmask layer can be used with the inventive EUV lithographic structure, thereby providing greater versatility.
  • Patterning techniques employing the EUV lithographic structures include double patterning techniques such as, for example, a lithographic-etch sequence (LELE or LELELE). Alternative double patterning techniques can include sidewall image transfer process or self-aligned double patterning techniques.
  • invention or “present invention” are non-limiting terms and not intended to refer to any single aspect of the particular invention but encompass all possible aspects as described in the specification and the claims.
  • the terms “about,” “substantially,” “approximately,” and variations thereof are intended to include the degree of error associated with measurement of the particular quantity based upon the equipment available at the time of filing the application. For example, “about” can include a range of ⁇ 8% or 5%, or 2% of a given value.
  • FIG. 1 there is shown a cross sectional view of an exemplary semiconductor structure 10 at an intermediate stage of manufacturing for advanced design rules subsequent to hard mask open of a metal layer 24 formed on a substrate 12 .
  • the present EUV lithographic structure and process for lithographic patterning is applicable to a variety of technologies, e.g., NMOS, PMOS, CMOS, etc., and is readily applicable to a variety of devices, including, but not limited to, ASIC's, logic devices, memory devices, and the like.
  • the substrate 12 can include any semiconducting material including, for example, Si, SiC, SiGeC, Ge, SiGe, Ga, GaAs, InAs, InP as well as other III/V or II/VI compound semiconductors. Layered semiconductors such as, for example, Si/SiGe and semiconductor-on-insulators (SOIs) as well as bulk semiconductor substrates are also contemplated herein.
  • the semiconductor substrate is a Si-containing semiconductor such as, for example, Si, SiC, SiGe, SiGeC, or a silicon-on-insulator.
  • the substrate can be unstrained, strained or include regions of strain and unstrain therein.
  • the substrate can be intrinsic or it can be doped with, for example, but not limited to boron, arsenic or phosphorous
  • those substrates include a top semiconductor layer and a bottom semiconductor layer that are separated at least in part by a buried insulating layer.
  • the buried insulating layer includes, for example, a crystalline or non-crystalline oxide, nitride or any combination thereof.
  • the buried insulating layer is an oxide.
  • the buried insulating layer is formed during initial stages of a layer transfer process or during an ion implantation and annealing process, such as, for example, SIMOX (separation by ion implantation of oxygen).
  • the exemplary semiconductor structure 10 at the intermediate stage of manufacturing can include various layers formed on the substrate 12 .
  • the various layers can include any dielectric materials suitable for BEOL or MOL interconnect structures.
  • the various layers can include any gate materials suitable for FEOL structures.
  • the various layers can include can be a semiconductor material or a dielectric material on top of a semiconductor material.
  • the exemplary semiconductor structure 10 can includes layers 14 , 16 , 18 , 20 and 22 upon which there are topographical features.
  • the various layers can include dielectric layers, masking layers, antireflective layers and the like.
  • layer 14 can be a tetraorthosilicate layer as a precursor to silicon dioxide;
  • layer 16 can be a NBlok (SiC x N y H z ) layer;
  • layer 18 can be an ultralow k organic planarizing layer;
  • layer 20 can be an organosilicon such as octylmethylcyclotetrasiloxane;
  • layer 22 can be tetraorthosilicate.
  • the various layers can be deposited by chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), atmospheric deposition as well as spin on techniques.
  • CVD chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • layer 14 can be formed of tetraorthosilicate at a thickness of about 4000 to 6000 Angstroms;
  • layer 16 can be formed of can be a NBlok (SiC x N y H z ) layer at a thickness of about 100 to 300 Angstroms;
  • layer 18 can be formed of an ultralow k dielectric at a thickness of about 500 to 1000 Angstroms;
  • layer 20 can be formed of octylmethylcyclotetrasiloxane at a thickness of about 10 to 200 Angstroms; and layer 22 can be formed of tetraorthosilicate at a thickness of about 50 to 200 Angstroms.
  • the exemplary semiconductor structure 10 further includes topographical features 26 formed on the hard mask metal layer 24 such as titanium nitride.
  • the topographical features 26 can be formed of an oxide layer deposited on the hardmask 24 using known patterning techniques, e.g., sidewall image transfer or the like.
  • layer 24 can be titanium nitride at a thickness of about 100 to 400 Angstroms, and layer 26 from which the features are patterned therefrom can be a low temperature oxide at a thickness of about 500 to 1000 Angstroms.
  • an organic planarizing layer 30 is deposited over the topographical features 26 and selected to form a planar upper surface after which an EUV lithographic structure in accordance with the present invention is formed thereon.
  • the organic planarization layer 30 can be a polymer including carbon, hydrogen, oxygen, and optionally nitrogen, fluorine, and silicon.
  • the planarization layer 30 is a polymer with sufficiently low viscosity so that the top surface of the applied polymer forms a planar top surface.
  • the organic planarizing layer is a layer of material capable of being planarized by known chemical mechanical planarization processes.
  • the organic planarization layer which can be a spin-deposited layer, can be baked at an elevated temperature to cure the planarization layer, if needed, and reflow its top surface into a substantially planar form.
  • the thickness of the planarization layer can be about 50 nanometers to about 300 nanometers (nm), although lesser and greater thicknesses can also be employed.
  • the EUV lithographic structure includes an EUV photosensitive resist layer 34 deposited onto a multilayer hardmask 32 .
  • a relatively thin organic adhesive layer such as polystyrene can be provided to provide increased adhesion of the EUV photosensitive resist layer to the multilayer hardmask.
  • an antireflective layer is not included and is not a needed for EUV imaging of the EUV photosensitive resist layer 34 of the EUV lithographic structure.
  • the EUV photosensitive resist layer 34 is not intended to be limited and can be a chemically amplified photoresist or a non-chemically amplified photoresist, e.g., inorganic or semi-inorganic, as is known in art.
  • the thickness of the EUV photosensitive layer 34 will generally depend on the properties thereof and generally range from about 10 nm to about 100 nm. In one or more embodiments, a thin organic adhesion layer (not shown) of about a few nanometers could be added between layer 34 and layer 40 . By way of example, the thickness of the organic adhesion layer can be about 5 nm to about 10 nm. For some EUV photosensitive layers, the organic adhesion layer can prevent tight pitch structure collapse or line flop over.
  • the thin organic adhesion layer can be an organic polymer material, such as polystyrene (PS) for example.
  • EUVL EUV lithography
  • a high energy laser beam is used to vaporize a target material to produce a plasma which in turn, produces radiation of a characteristic wavelength.
  • the composition of the target material generally determines the wavelength of the radiation produced.
  • gold is the preferred target material although other target materials such as copper, tantalum, tungsten and tin can be used.
  • a synchrotron radiation source could be employed as the EUV source.
  • the radiation is transmitted by a series of reflective mirrors to a mask. Due to high absorption at EUV wavelengths, a vacuum environment is typically required.
  • the EUV photosensitive resist layer is exposed to the EUV radiation source and developed to form a relief pattern.
  • the multilayer hardmask 32 is formed on a planar top surface of an organic planarizing layer 30 , and as noted above, generally includes a lowermost hardmask layer 36 formed on the organic planarizing layer 30 , an intermediate hardmask layer 38 on the lowermost hardmask layer 36 , and an uppermost hardmask layer 40 on the intermediate hardmask layer 38 .
  • the lowermost and uppermost hard mask layers 36 , 40 are not intended to be limited and can be a silicon oxide, amorphous carbon, silicon oxynitride, e.g., self-aligned contact (SAC) nitride, tertraorthosilicate (TEOS) or the like.
  • the lowermost and uppermost hard mask layers 36 , 40 can be the same material or different materials.
  • the lowermost and uppermost hard mask layers 36 , 40 can be a low temperature oxide carried out by LPCVD, PECVD using the following tool model “iRAD” commercially available from Tokyo Electron Limited (TEL), or the like.
  • the process of forming the low temperature oxides generally includes reaction of silane gas with oxygen to form a silicon dioxide layer as is known in the art.
  • the silicon oxide, amorphous carbon or the like provides effective adhesion of the intermediate hardmask layer 38 to the underlying organic planarizing layer 30 , thereby preventing delamination.
  • the lowermost and uppermost hard mask layers 36 , 40 can have a thickness ranging from 5 nanometers (nm) to 40 nm.
  • the intermediate hardmask layer 38 can be titanium nitride and can have a thickness ranging from 10 nanometers (nm) to 70 nm.
  • the intermediate hardmask layer 38 is an organosilicon such as octylmethylcyclotetrasiloxane.
  • FIG. 2 illustrates the resultant structure subsequent to lithographic formation of vias 42 in the EUV photosensitive resist layer 34 , which begins with the exposure and development of the photosensitive EUV photosensitive resist layer 34 utilizing a mask having a desired pattern to be formed in the EUV photosensitive resist layer 34 .
  • the pattern of vias 42 within the EUV photosensitive resist layer is transferred into the uppermost hardmask layer 40 so as to selectively open the intermediate hardmask layer 38 by a directional dry etching process including, for example, reactive ion etching, ion beam etching, plasma etching or laser ablation, or the like.
  • a portion of the EUV photosensitive resist layer 34 can also be removed during the etching process.
  • the remaining portion of the EUV photosensitive resist layer 34 can be removed by an ashing process or wet cleaning step as is generally known in the art.
  • the uppermost hardmask layer 40 can be a low temperature oxide and subjected to the reactive ion etching process using, for example, a fluorocarbon based etchant.
  • FIG. 4 illustrates the resulting structure following deposition of an additional EUV resist layer 44 onto the patterned uppermost hardmask layer 40 .
  • the deposition of the additional EUV photosensitive resist layer 44 is optional and can be subsequently imaged utilizing an additional mask and developed to form a second pattern of vias 46 .
  • the EUV lithographic structure and process permits multiple patterning schemes of the same uppermost hardmask layer 40 using different masks for different critical dimensions as can be desired for some applications.
  • the organic adhesion layer can be deposited prior to deposition of the additional EUV photosensitive layer.
  • FIG. 5 illustrates the resulting structure subsequent to the transfer of the additional via pattern 44 formed in the additional EUV photosensitive resist layer into the uppermost hardmask layer 40 by a directional dry etch process such as a reactive etching process so as to open the underlying intermediate hardmask layer 38 .
  • the uppermost hardmask layer 40 of the resulting structure includes vias 42 and 44 formed therein.
  • the vias 42 , 44 can be of the same or of a different critical dimension.
  • the opened intermediate hardmask layer 38 is anisotropically etched using, for example, a dry etch process to the lowermost hardmask layer 36 by an etch process.
  • a dry etch process to the lowermost hardmask layer 36 by an etch process.
  • the intermediate hardmask layer 38 is titanium nitride
  • the layer can be etched using a chlorine based chemistry with additives such as methane, argon, and/or nitrogen gas to control the process.
  • the intermediate hardmask layer 38 is etched to the lowermost hardmask layer 36 .
  • FIG. 7 illustrates the resultant structure in accordance with one or more embodiments wherein the memory pattern in the intermediate hardmask layer 38 is further transferred to the substrate using a dry etch process such as reactive ion etch.
  • the etch chemistry can be varied within the same chamber to directionally etch though the various dielectric underlayers 14 , 16 , 18 , 20 , 22 and 30 to the substrate.
  • the vias have a critical dimension of about 14 nanometers and the organic planarizing layer has a thickness of about 60 to about 100 nanometers, which results in a relatively high aspect ratio.
  • the intermediate hardmask layer 38 is first removed. Removal can be effected by wet or dry etching.
  • An exemplary wet etch is a standard clean wet etching process referred to by those skilled in the art as SC-1, which utilizes an aqueous solution including ammonium hydroxide, hydrogen peroxide, and water, which is typically removed for metallic contamination removal.
  • SC-1 standard clean wet etching process
  • the ratio of NH 4 OH:H 2 O 2 :H 2 O is typically 1:2:10, respectively.
  • the wet strippability of the nitride layer in the SC-1 wet etchant does so without damaging the underlayers e.g., the lowermost hardmask layer 36 and without the need for a dry etch process.
  • the substrate can be exposed to the wet etchant for a period of time (typically, about 0.5 minutes to about 30 minutes) and at a temperature (about 25° C. to about 70° C.) effective to etch the titanium nitride selectively relative to the surrounding structures.
  • the structure can be subjected to a dielectric etch within the same etch chamber to selectively remove one or more of the underlayers to provide vias to the one or more layers or to the substrate.

Abstract

An EUV lithographic structure includes an EUV photosensitive resist layer disposed on a hardmask layer, wherein the EUV lithographic structure is free of an antireflective coating. An organic adhesion layer can be provided between the hardmask layer and the EUV photosensitive resist layer. The hardmask layer can include an uppermost oxide hardmask layer, an intermediate hardmask layer, and a lowermost oxide hardmask layer, wherein the EUV photosensitive resist layer is disposed on the uppermost oxide hardmask layer. Also described are methods for patterning the EUV lithographic structures.

Description

    DOMESTIC PRIORITY
  • This application is a CONTINUATION of U.S. Non-Provisional application Ser. No. 15/407,539, filed Jan. 17, 2017, which is incorporated by reference herein in its entirety.
  • BACKGROUND
  • The present invention generally relates to semiconductor integrated circuits, and more particularly, to image transfer processes employing an extreme ultraviolet (EUV) sensitive lithographic structure and double patterning process.
  • The back-end-of-line (BEOL) is the second portion of integrated circuit fabrication where the individual devices (transistors, capacitors, resistors, etc.) are interconnected with interconnects and a metallization layer, which function as the wiring network of the wafer. Common metals that are used to form the metallization layers and interconnects are copper and aluminum. BEOL generally begins when the first layer of metal (M1) is deposited on the wafer. BEOL includes contacts, insulating layers (dielectrics), metal levels, and bonding sites for chip-to-package connections. Double patterning processes such as self-aligned double patterning (SADP) or litho-etch-litho etch (LELE) are typically part of the BEOL process for advanced design rules.
  • Patterning at 10 nm and sub-10 nm technology nodes is a challenge for the semiconductor industry. Several patterning techniques are under investigation to enable the aggressive pitch requirements at these technology nodes. EUV lithography based patterning is being considered as a serious candidate for the sub-10 nm nodes.
  • SUMMARY
  • Described herein are methods and EUV lithographic structures. In one or more embodiments of the invention, the method includes forming an organic planarizing layer over a semiconductor substrate, the organic planarizing layer including a planar upper surface. A hardmask layer is formed on the planar upper surface of the organic planarizing layer. An organic adhesion layer is formed on the hardmask layer. An EUV photosensitive resist layer is formed on the organic adhesion layer, wherein the EUV photosensitive resist layer is exposed with an EUV light source and developed to form an opening therein. The opening in the EUV photosensitive resist layer is transferred to the hardmask layer by, for example, reactive ion etching. The opening is extended into the organic planarizing layer and to the substrate by, for example, reactive ion etching.
  • In one or more embodiments of the invention, the method includes forming an organic planarizing layer over topography formed on a semiconductor substrate, the organic planarizing layer including a planar upper surface. A multilayer hardmask layer is formed on the planar upper surface of the organic planarizing layer. The multilayer hardmask layer includes an uppermost oxide hardmask layer, an intermediate hardmask layer, and a lowermost oxide hardmask layer. An organic adhesion layer is formed on the uppermost oxide hardmask layer. An EUV photosensitive resist layer is formed on the organic adhesion layer. The EUV photosensitive resist layer is exposed with an EUV light source and developed to form an opening therein. The opening in the EUV sensitive photoresist layer is transferred to the uppermost hardmask layer by reactive ion etching. An additional EUV photosensitive resist layer is formed on the uppermost hardmask layer. The EUV photosensitive resist layer is exposed with an EUV light source and developed to form an additional opening therein. The opening and the additional opening are extended into the intermediate hardmask layer and to the lowermost hardmask layer by, for example, reactive ion etching. The intermediate hardmask layer is removed. The opening and the additional opening are extended into the lowermost oxide hardmask layer and the organic plan layer by, for example, reactive ion etching within the same etch chamber.
  • An EUV lithographic structure consists of an EUV photosensitive resist layer, an organic adhesive layer, and a multilayer hardmask layer. The multilayer hardmask layer includes an uppermost oxide hardmask layer, an intermediate hardmask layer, and a lowermost oxide hardmask layer. The EUV lithographic structure is free of an antireflective layer.
  • Additional features and advantages are realized through the techniques of the present invention. Other embodiments and aspects of the invention are described in detail herein and are considered a part of the claimed invention. For a better understanding of the invention with advantages and features, refer to the description and to the drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The subject matter that is regarded as the invention is particularly pointed out and distinctly claimed in the claims at the conclusion of the specification. The foregoing and other objects, features, and advantages of the invention are apparent from the following detailed description taken in conjunction with the accompanying drawings in which:
  • FIG. 1 depicts a cross section of a semiconductor structure at an intermediate stage of manufacturing following a hardmask open to expose topographical features formed on a substrate;
  • FIG. 2 depicts a cross section of the semiconductor structure of FIG. 1 following deposition and patterning of EUV photosensitive resist layer of an EUV lithographic structure in accordance with one or more embodiments of the invention;
  • FIG. 3 depicts a cross section of the semiconductor structure of FIG. 2 following transfer of openings formed in the patterned EUV photosensitive resist layer into an uppermost oxide hardmask layer of a multilayer hardmask;
  • FIG. 4 depicts a cross section of the semiconductor structure of FIG. 3 following deposition and patterning of an additional EUV photosensitive resist layer on the uppermost oxide hardmask layer;
  • FIG. 5 depicts a cross section of the semiconductor structure of FIG. 4 following transfer of additional openings formed in the additional patterned EUV photosensitive resist layer into an uppermost oxide hardmask layer of the multilayer hardmask;
  • FIG. 6 depicts a cross section of the semiconductor substrate of FIG. 5 following transfer of the openings in the uppermost oxide hardmask layer to an intermediate nitride hardmask layer of the multilayer hardmask;
  • FIG. 7 depicts a cross section of the semiconductor substrate of FIG. 6 following transfer of the openings in the intermediate nitride hardmask layer to layers underlying the multilayer hardmask in accordance with one or more embodiments of the invention; and
  • FIG. 8 depicts a cross section of the semiconductor substrate of FIG. 7 following removal of the intermediate nitride hardmask layer prior to transfer of the openings in the intermediate nitride hardmask layer to layers underlying the multilayer hardmask in accordance with one or more embodiments of the invention.
  • The detailed description explains the preferred embodiments of the invention, together with advantages and features, by way of example with reference to the drawings.
  • DETAILED DESCRIPTION
  • A multi-layer EUV lithographic structure and process for lithographic via patterning generally according to embodiments of the present invention includes an EUV photosensitive resist layer disposed on a multilayer hardmask layer for patterning critical layers of advanced integrated circuits. A relatively thin organic adhesive layer such as polystyrene can be provided to provide increased adhesion of the EUV photosensitive resist layer to the multilayer hardmask layer. The multilayer hardmask layer includes an intermediate hardmask layer between uppermost and lowermost oxide hardmask layers. The intermediate hardmask layer can be titanium nitride or an organosilicon such as octylmethylcyclotetrasiloxane. As will be discussed in greater detail herein, the multilayer EUV lithographic structure and process for via lithographic patterning markedly reduces costs because, unlike trilayer patterning schemes, an antireflective layer is not utilized. That is, the EUV photosensitive resist is deposited onto the uppermost hardmask layer for the lithography step, which can be a low temperature oxide, for example. The lowermost hardmask layer, which can also be a low temperature oxide layer, is configured to provide effective adhesion of the nitride intermediate hardmask layer so as to prevent delamination. Still further, multiple patterning of the same uppermost oxide hardmask layer can be used with the inventive EUV lithographic structure, thereby providing greater versatility. Patterning techniques employing the EUV lithographic structures include double patterning techniques such as, for example, a lithographic-etch sequence (LELE or LELELE). Alternative double patterning techniques can include sidewall image transfer process or self-aligned double patterning techniques.
  • Detailed embodiments of the structures of the present invention are described herein. However, it is to be understood that the embodiments described herein are merely illustrative of the structures that can be embodied in various forms. In addition, each of the examples given in connection with the various embodiments of the invention is intended to be illustrative, and not restrictive. Further, the figures are not necessarily to scale, some features can be exaggerated to show details of particular components. Therefore, specific structural and functional details described herein are not to be interpreted as limiting, but merely as a representative basis for teaching one skilled in the art to variously employ the methods and structures of the present description. For the purposes of the description hereinafter, the terms “upper”, “lower”, “top”, “bottom”, “left,” and “right,” and derivatives thereof shall relate to the described structures, as they are oriented in the drawing figures. The same numbers in the various figures can refer to the same structural component or part thereof.
  • As used herein, the articles “a” and “an” preceding an element or component are intended to be nonrestrictive regarding the number of instances (i.e. occurrences) of the element or component. Therefore, “a” or “an” should be read to include one or at least one, and the singular word form of the element or component also includes the plural unless the number is obviously meant to be singular.
  • As used herein, the terms “invention” or “present invention” are non-limiting terms and not intended to refer to any single aspect of the particular invention but encompass all possible aspects as described in the specification and the claims.
  • As used herein, the terms “about,” “substantially,” “approximately,” and variations thereof are intended to include the degree of error associated with measurement of the particular quantity based upon the equipment available at the time of filing the application. For example, “about” can include a range of ±8% or 5%, or 2% of a given value.
  • Referring now to FIG. 1, there is shown a cross sectional view of an exemplary semiconductor structure 10 at an intermediate stage of manufacturing for advanced design rules subsequent to hard mask open of a metal layer 24 formed on a substrate 12. As will be readily apparent to those skilled in the art, the present EUV lithographic structure and process for lithographic patterning is applicable to a variety of technologies, e.g., NMOS, PMOS, CMOS, etc., and is readily applicable to a variety of devices, including, but not limited to, ASIC's, logic devices, memory devices, and the like.
  • The substrate 12 can include any semiconducting material including, for example, Si, SiC, SiGeC, Ge, SiGe, Ga, GaAs, InAs, InP as well as other III/V or II/VI compound semiconductors. Layered semiconductors such as, for example, Si/SiGe and semiconductor-on-insulators (SOIs) as well as bulk semiconductor substrates are also contemplated herein. Typically, the semiconductor substrate is a Si-containing semiconductor such as, for example, Si, SiC, SiGe, SiGeC, or a silicon-on-insulator. The substrate can be unstrained, strained or include regions of strain and unstrain therein. The substrate can be intrinsic or it can be doped with, for example, but not limited to boron, arsenic or phosphorous
  • When SOI substrates are employed, those substrates include a top semiconductor layer and a bottom semiconductor layer that are separated at least in part by a buried insulating layer. The buried insulating layer includes, for example, a crystalline or non-crystalline oxide, nitride or any combination thereof. In one or more embodiments, the buried insulating layer is an oxide. Typically, the buried insulating layer is formed during initial stages of a layer transfer process or during an ion implantation and annealing process, such as, for example, SIMOX (separation by ion implantation of oxygen).
  • The exemplary semiconductor structure 10 at the intermediate stage of manufacturing can include various layers formed on the substrate 12. For example, the various layers can include any dielectric materials suitable for BEOL or MOL interconnect structures. In one or more embodiments, the various layers can include any gate materials suitable for FEOL structures. In other embodiments, the various layers can include can be a semiconductor material or a dielectric material on top of a semiconductor material.
  • The various layers are not intended to be limited to any particular number or type and will generally depend on the devices being manufactured. By way of example, the exemplary semiconductor structure 10 can includes layers 14, 16, 18, 20 and 22 upon which there are topographical features. The various layers can include dielectric layers, masking layers, antireflective layers and the like. For example, layer 14 can be a tetraorthosilicate layer as a precursor to silicon dioxide; layer 16 can be a NBlok (SiCxNyHz) layer; layer 18 can be an ultralow k organic planarizing layer; layer 20 can be an organosilicon such as octylmethylcyclotetrasiloxane; and layer 22 can be tetraorthosilicate. The various layers can be deposited by chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), low pressure chemical vapor deposition (LPCVD), atmospheric deposition as well as spin on techniques.
  • By way of example, layer 14 can be formed of tetraorthosilicate at a thickness of about 4000 to 6000 Angstroms; layer 16 can be formed of can be a NBlok (SiCxNyHz) layer at a thickness of about 100 to 300 Angstroms; layer 18 can be formed of an ultralow k dielectric at a thickness of about 500 to 1000 Angstroms; layer 20 can be formed of octylmethylcyclotetrasiloxane at a thickness of about 10 to 200 Angstroms; and layer 22 can be formed of tetraorthosilicate at a thickness of about 50 to 200 Angstroms.
  • The exemplary semiconductor structure 10 further includes topographical features 26 formed on the hard mask metal layer 24 such as titanium nitride. The topographical features 26 can be formed of an oxide layer deposited on the hardmask 24 using known patterning techniques, e.g., sidewall image transfer or the like. By way of example, layer 24 can be titanium nitride at a thickness of about 100 to 400 Angstroms, and layer 26 from which the features are patterned therefrom can be a low temperature oxide at a thickness of about 500 to 1000 Angstroms.
  • Referring now to FIG. 2, an organic planarizing layer 30 is deposited over the topographical features 26 and selected to form a planar upper surface after which an EUV lithographic structure in accordance with the present invention is formed thereon.
  • The organic planarization layer 30 can be a polymer including carbon, hydrogen, oxygen, and optionally nitrogen, fluorine, and silicon. In one or more embodiments, the planarization layer 30 is a polymer with sufficiently low viscosity so that the top surface of the applied polymer forms a planar top surface. In one or more other embodiments, the organic planarizing layer is a layer of material capable of being planarized by known chemical mechanical planarization processes. The organic planarization layer, which can be a spin-deposited layer, can be baked at an elevated temperature to cure the planarization layer, if needed, and reflow its top surface into a substantially planar form. The thickness of the planarization layer can be about 50 nanometers to about 300 nanometers (nm), although lesser and greater thicknesses can also be employed.
  • The EUV lithographic structure includes an EUV photosensitive resist layer 34 deposited onto a multilayer hardmask 32. In one or more embodiments, a relatively thin organic adhesive layer such as polystyrene can be provided to provide increased adhesion of the EUV photosensitive resist layer to the multilayer hardmask. Advantageously, an antireflective layer is not included and is not a needed for EUV imaging of the EUV photosensitive resist layer 34 of the EUV lithographic structure. The EUV photosensitive resist layer 34 is not intended to be limited and can be a chemically amplified photoresist or a non-chemically amplified photoresist, e.g., inorganic or semi-inorganic, as is known in art. The thickness of the EUV photosensitive layer 34 will generally depend on the properties thereof and generally range from about 10 nm to about 100 nm. In one or more embodiments, a thin organic adhesion layer (not shown) of about a few nanometers could be added between layer 34 and layer 40. By way of example, the thickness of the organic adhesion layer can be about 5 nm to about 10 nm. For some EUV photosensitive layers, the organic adhesion layer can prevent tight pitch structure collapse or line flop over. The thin organic adhesion layer can be an organic polymer material, such as polystyrene (PS) for example.
  • In EUV lithography (EUVL) a high energy laser beam is used to vaporize a target material to produce a plasma which in turn, produces radiation of a characteristic wavelength. The composition of the target material generally determines the wavelength of the radiation produced. For 13 nm radiation, gold is the preferred target material although other target materials such as copper, tantalum, tungsten and tin can be used. Alternatively, a synchrotron radiation source could be employed as the EUV source. The radiation is transmitted by a series of reflective mirrors to a mask. Due to high absorption at EUV wavelengths, a vacuum environment is typically required. The EUV photosensitive resist layer is exposed to the EUV radiation source and developed to form a relief pattern.
  • The multilayer hardmask 32 is formed on a planar top surface of an organic planarizing layer 30, and as noted above, generally includes a lowermost hardmask layer 36 formed on the organic planarizing layer 30, an intermediate hardmask layer 38 on the lowermost hardmask layer 36, and an uppermost hardmask layer 40 on the intermediate hardmask layer 38.
  • The lowermost and uppermost hard mask layers 36, 40, respectively, are not intended to be limited and can be a silicon oxide, amorphous carbon, silicon oxynitride, e.g., self-aligned contact (SAC) nitride, tertraorthosilicate (TEOS) or the like. The lowermost and uppermost hard mask layers 36, 40, respectively, can be the same material or different materials. In one or more embodiments, the lowermost and uppermost hard mask layers 36, 40, respectively, can be a low temperature oxide carried out by LPCVD, PECVD using the following tool model “iRAD” commercially available from Tokyo Electron Limited (TEL), or the like. The process of forming the low temperature oxides generally includes reaction of silane gas with oxygen to form a silicon dioxide layer as is known in the art. With respect to the lowermost hardmask layer 36, the silicon oxide, amorphous carbon or the like provides effective adhesion of the intermediate hardmask layer 38 to the underlying organic planarizing layer 30, thereby preventing delamination. The lowermost and uppermost hard mask layers 36, 40, respectively, can have a thickness ranging from 5 nanometers (nm) to 40 nm.
  • The intermediate hardmask layer 38 can be titanium nitride and can have a thickness ranging from 10 nanometers (nm) to 70 nm. Alternatively, the intermediate hardmask layer 38 is an organosilicon such as octylmethylcyclotetrasiloxane.
  • FIG. 2 illustrates the resultant structure subsequent to lithographic formation of vias 42 in the EUV photosensitive resist layer 34, which begins with the exposure and development of the photosensitive EUV photosensitive resist layer 34 utilizing a mask having a desired pattern to be formed in the EUV photosensitive resist layer 34.
  • In FIG. 3, the pattern of vias 42 within the EUV photosensitive resist layer is transferred into the uppermost hardmask layer 40 so as to selectively open the intermediate hardmask layer 38 by a directional dry etching process including, for example, reactive ion etching, ion beam etching, plasma etching or laser ablation, or the like. A portion of the EUV photosensitive resist layer 34 can also be removed during the etching process. The remaining portion of the EUV photosensitive resist layer 34 can be removed by an ashing process or wet cleaning step as is generally known in the art. By way of example, the uppermost hardmask layer 40 can be a low temperature oxide and subjected to the reactive ion etching process using, for example, a fluorocarbon based etchant.
  • FIG. 4 illustrates the resulting structure following deposition of an additional EUV resist layer 44 onto the patterned uppermost hardmask layer 40. The deposition of the additional EUV photosensitive resist layer 44 is optional and can be subsequently imaged utilizing an additional mask and developed to form a second pattern of vias 46. As such, the EUV lithographic structure and process permits multiple patterning schemes of the same uppermost hardmask layer 40 using different masks for different critical dimensions as can be desired for some applications. In one or more embodiments, the organic adhesion layer can be deposited prior to deposition of the additional EUV photosensitive layer.
  • FIG. 5 illustrates the resulting structure subsequent to the transfer of the additional via pattern 44 formed in the additional EUV photosensitive resist layer into the uppermost hardmask layer 40 by a directional dry etch process such as a reactive etching process so as to open the underlying intermediate hardmask layer 38. As a result, the uppermost hardmask layer 40 of the resulting structure includes vias 42 and 44 formed therein. The vias 42, 44 can be of the same or of a different critical dimension.
  • In FIG. 6, the opened intermediate hardmask layer 38 is anisotropically etched using, for example, a dry etch process to the lowermost hardmask layer 36 by an etch process. For example, when the intermediate hardmask layer 38 is titanium nitride, the layer can be etched using a chlorine based chemistry with additives such as methane, argon, and/or nitrogen gas to control the process. The intermediate hardmask layer 38 is etched to the lowermost hardmask layer 36.
  • FIG. 7 illustrates the resultant structure in accordance with one or more embodiments wherein the memory pattern in the intermediate hardmask layer 38 is further transferred to the substrate using a dry etch process such as reactive ion etch. The etch chemistry can be varied within the same chamber to directionally etch though the various dielectric underlayers 14, 16, 18, 20, 22 and 30 to the substrate. In one or more embodiments, the vias have a critical dimension of about 14 nanometers and the organic planarizing layer has a thickness of about 60 to about 100 nanometers, which results in a relatively high aspect ratio.
  • In one or more alternative embodiments shown in FIG. 8, the intermediate hardmask layer 38 is first removed. Removal can be effected by wet or dry etching. An exemplary wet etch is a standard clean wet etching process referred to by those skilled in the art as SC-1, which utilizes an aqueous solution including ammonium hydroxide, hydrogen peroxide, and water, which is typically removed for metallic contamination removal. The ratio of NH4OH:H2O2:H2O is typically 1:2:10, respectively. Advantageously, the wet strippability of the nitride layer in the SC-1 wet etchant does so without damaging the underlayers e.g., the lowermost hardmask layer 36 and without the need for a dry etch process.
  • The substrate can be exposed to the wet etchant for a period of time (typically, about 0.5 minutes to about 30 minutes) and at a temperature (about 25° C. to about 70° C.) effective to etch the titanium nitride selectively relative to the surrounding structures.
  • Subsequent to removal of the intermediate hardmask layer 38, the structure can be subjected to a dielectric etch within the same etch chamber to selectively remove one or more of the underlayers to provide vias to the one or more layers or to the substrate.
  • While the present invention has been described in terms of specific embodiments, it is evident in view of the foregoing description that numerous alternatives, modifications and variations will be apparent to those skilled in the art. Each of the various embodiments of the present invention can be implemented alone, or in combination with any other embodiments of the present invention unless expressly described otherwise or otherwise impossible as would be known to one of ordinary skill in the art. Accordingly, the present invention is intended to encompass all such alternatives, modifications and variations which fall within the scope and spirit of the present invention and the following claims.

Claims (15)

What is claimed is:
1. A method comprising:
forming an organic planarizing layer over a semiconductor substrate, the organic planarizing layer including a planar upper surface;
forming a hardmask layer on the planar upper surface of the organic planarizing layer;
forming an organic adhesion layer on the hardmask layer;
forming an EUV photosensitive resist layer on the organic adhesion layer;
exposing and developing the EUV photosensitive resist layer with an EUV light source to form an opening therein;
transferring the opening in the EUV photosensitive resist layer to the hardmask layer by reactive ion etching; and
extending the opening into the organic planarizing layer and to the substrate by reactive ion etching.
2. The method of claim 1, wherein the semiconductor substrate comprises a layer containing topographical features.
3. The method of claim 1, wherein the hardmask layer comprises an uppermost oxide hardmask layer, an intermediate hardmask layer, and a lowermost oxide hardmask layer, wherein the EUV photosensitive resist layer is deposited directly onto the uppermost hardmask layer.
4. The method of claim 3, wherein the uppermost and lowermost hardmask layers comprise a low temperature oxide.
5. The method of claim 3, wherein the intermediate hardmask layer comprises titanium nitride or an organosilicon.
6. The method of claim 1 further comprising:
forming an additional EUV photosensitive resist layer on the hardmask layer including the opening; and
exposing and developing the additional EUV photosensitive resist layer with the EUV light source to form an additional opening therein;
wherein forming the additional opening therein is prior to extending the opening into the organic planarizing layer to the substrate.
7. The method of claim 1, wherein the opening has a critical dimension of about 14 nanometers and the organic planarizing layer has a thickness of about 60 to 100 nanometers.
8. The method of claim 1, wherein the intermediate hardmask layer comprises octylmethylcyclotetrasiloxane.
9. The method of claim 1 further comprising removing the intermediate hardmask layer by a wet etch process.
10. A method comprising:
forming an organic planarizing layer over topography formed on a semiconductor substrate, the organic planarizing layer including a planar upper surface;
forming a multilayer hardmask layer on the planar upper surface of the organic planarizing layer comprising an uppermost oxide hardmask layer, an intermediate hardmask layer, and a lowermost oxide hardmask layer;
forming an organic adhesion layer on the uppermost oxide hardmask layer;
forming an EUV photosensitive resist layer on the organic adhesion layer;
exposing and developing the EUV photosensitive resist layer with an EUV light source to form an opening therein;
reactive ion etching to transfer the opening in the EUV sensitive photoresist layer to the uppermost hardmask layer;
forming an additional EUV photosensitive resist layer on the uppermost hardmask layer including the opening;
exposing and developing the EUV photosensitive resist layer with an EUV light source to form an additional opening therein;
reactive ion etching to extend the opening and the additional opening into the intermediate hardmask layer and to the lowermost hardmask layer;
removing the intermediate hardmask layer; and
reactive ion etching to extend the opening and the additional opening into the lowermost oxide hardmask layer and the organic planarizing layer within the same etch chamber.
11. The method of claim 10, wherein the opening has a critical dimension of about 14 nanometers and the organic planarizing layer has a thickness of about 60 to 100 nanometers.
12. The method of claim 10, wherein the intermediate hardmask layer comprises octylmethylcyclotetrasiloxane.
13. The method of claim 10, wherein removing the intermediate hardmask layer comprises a wet etch process.
14. The method of claim 10, wherein the uppermost and lowermost hardmask layers comprise a low temperature oxide, and wherein the intermediate hardmask layer comprises titanium nitride or octylmethylcyclotetrasiloxane.
15. The method of claim 10, wherein the EUV photosensitive resist is chemically amplified upon exposure to the EUV light source.
US15/798,659 2017-01-17 2017-10-31 Image transfer using euv lithographic structure and double patterning process Abandoned US20180204724A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/798,659 US20180204724A1 (en) 2017-01-17 2017-10-31 Image transfer using euv lithographic structure and double patterning process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/407,539 US10032633B1 (en) 2017-01-17 2017-01-17 Image transfer using EUV lithographic structure and double patterning process
US15/798,659 US20180204724A1 (en) 2017-01-17 2017-10-31 Image transfer using euv lithographic structure and double patterning process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/407,539 Continuation US10032633B1 (en) 2017-01-17 2017-01-17 Image transfer using EUV lithographic structure and double patterning process

Publications (1)

Publication Number Publication Date
US20180204724A1 true US20180204724A1 (en) 2018-07-19

Family

ID=62841084

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/407,539 Expired - Fee Related US10032633B1 (en) 2017-01-17 2017-01-17 Image transfer using EUV lithographic structure and double patterning process
US15/798,659 Abandoned US20180204724A1 (en) 2017-01-17 2017-10-31 Image transfer using euv lithographic structure and double patterning process

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/407,539 Expired - Fee Related US10032633B1 (en) 2017-01-17 2017-01-17 Image transfer using EUV lithographic structure and double patterning process

Country Status (1)

Country Link
US (2) US10032633B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110764326A (en) * 2019-10-14 2020-02-07 深圳市华星光电半导体显示技术有限公司 Liquid crystal display panel and preparation method thereof

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10551742B2 (en) * 2017-12-20 2020-02-04 International Business Machines Corporation Tunable adhesion of EUV photoresist on oxide surface
US11131919B2 (en) * 2018-06-22 2021-09-28 International Business Machines Corporation Extreme ultraviolet (EUV) mask stack processing
US10916427B2 (en) 2018-07-11 2021-02-09 United Microelectronics Corp. Forming contact holes using litho-etch-litho-etch approach
US10998193B1 (en) 2020-01-22 2021-05-04 International Business Machines Corporation Spacer-assisted lithographic double patterning

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5091282A (en) * 1989-04-03 1992-02-25 Kabushiki Kaisha Toshiba Alkali soluble phenol polymer photosensitive composition
US6162587A (en) 1998-12-01 2000-12-19 Advanced Micro Devices Thin resist with transition metal hard mask for via etch application
US6720249B1 (en) * 2000-04-17 2004-04-13 International Business Machines Corporation Protective hardmask for producing interconnect structures
FR2812450B1 (en) 2000-07-26 2003-01-10 France Telecom RESIN, TWO-LAYER RESIN FOR EXTREME ULTRAVIOLET (EUV) PHOTOLITHOGRAPHY AND METHOD FOR EXTREME ULTRAVIOLET (EUV) PHOTOLITHOGRAPHY
US7244549B2 (en) 2001-08-24 2007-07-17 Jsr Corporation Pattern forming method and bilayer film
US20080020584A1 (en) * 2006-03-24 2008-01-24 Shin Hirotsu Method of manufacturing semiconductor device and plasma processing apparatus
US7803715B1 (en) * 2008-12-29 2010-09-28 Shai Haimson Lithographic patterning for sub-90nm with a multi-layered carbon-based hardmask
TWI547764B (en) 2011-07-20 2016-09-01 日產化學工業股份有限公司 Thin film forming composition for lithography containing titanium and silicon
US8658050B2 (en) 2011-07-27 2014-02-25 International Business Machines Corporation Method to transfer lithographic patterns into inorganic substrates
JP5441991B2 (en) * 2011-11-25 2014-03-12 Hoya株式会社 Imprint mold and manufacturing method thereof
EP2608247A1 (en) * 2011-12-21 2013-06-26 Imec EUV photoresist encapsulation
US9059250B2 (en) * 2012-02-17 2015-06-16 International Business Machines Corporation Lateral-dimension-reducing metallic hard mask etch
US9245788B2 (en) * 2012-04-11 2016-01-26 International Business Machines Corporation Non-bridging contact via structures in proximity
US9678430B2 (en) * 2012-05-18 2017-06-13 Entegris, Inc. Composition and process for stripping photoresist from a surface including titanium nitride
US9087699B2 (en) * 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US8986921B2 (en) * 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9006106B2 (en) * 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US8940641B1 (en) 2013-09-05 2015-01-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits with improved patterning schemes
US9123776B2 (en) 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9140987B2 (en) 2014-02-21 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for lithography patterning
KR102245135B1 (en) * 2014-05-20 2021-04-28 삼성전자 주식회사 Method of forming patterns and method of manufacturing integrated circuit device using the same
US9768065B1 (en) * 2016-07-06 2017-09-19 Globalfoundries Inc. Interconnect structures with variable dopant levels

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110764326A (en) * 2019-10-14 2020-02-07 深圳市华星光电半导体显示技术有限公司 Liquid crystal display panel and preparation method thereof

Also Published As

Publication number Publication date
US10032633B1 (en) 2018-07-24
US20180204723A1 (en) 2018-07-19

Similar Documents

Publication Publication Date Title
US10032633B1 (en) Image transfer using EUV lithographic structure and double patterning process
US10242952B2 (en) Registration mark formation during sidewall image transfer process
US8916472B2 (en) Interconnect formation using a sidewall mask layer
JP2017500744A (en) Extended contact using litho-freeze-litho-etch process
US9818638B1 (en) Manufacturing method of semiconductor device
CN110660652A (en) Patterning method of semiconductor device
JP4677407B2 (en) Method for manufacturing semiconductor device having organic antireflection film (ARC)
US11131919B2 (en) Extreme ultraviolet (EUV) mask stack processing
US11282712B2 (en) Method for preventing bottom layer wrinkling in a semiconductor device
US11227792B2 (en) Interconnect structures including self aligned vias
US20090075480A1 (en) Silicon Carbide Doped Oxide Hardmask For Single and Dual Damascene Integration
US6858542B2 (en) Semiconductor fabrication method for making small features
US20080308944A1 (en) Method for eliminating duo loading effect using a via plug
US11022887B2 (en) Tunable adhesion of EUV photoresist on oxide surface
US7883835B2 (en) Method for double patterning a thin film
US10249512B2 (en) Tunable TiOxNy hardmask for multilayer patterning
CN110931354B (en) Semiconductor structure and method for manufacturing semiconductor structure
US20080073321A1 (en) Method of patterning an anti-reflective coating by partial etching
US20020086519A1 (en) Stacked vias and method
CN112951721A (en) Trench etch process for photoresist line roughness improvement
US11682558B2 (en) Fabrication of back-end-of-line interconnects
US20230154753A1 (en) Patterned Semiconductor Device and Method
KR20050068363A (en) Method for fabricating thin pattern using the hard mask
CN116805616A (en) Method for forming semiconductor device structure
CN113284792A (en) Method for forming semiconductor element

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, HSUEH-CHUNG;MIGNOT, YANN A.M.;XU, YONGAN;SIGNING DATES FROM 20170116 TO 20170117;REEL/FRAME:043995/0421

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

AS Assignment

Owner name: ELPIS TECHNOLOGIES INC., CANADA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:052557/0327

Effective date: 20200306

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION