US20180130895A1 - Methods of forming gate electrodes on a vertical transistor device - Google Patents

Methods of forming gate electrodes on a vertical transistor device Download PDF

Info

Publication number
US20180130895A1
US20180130895A1 US15/345,644 US201615345644A US2018130895A1 US 20180130895 A1 US20180130895 A1 US 20180130895A1 US 201615345644 A US201615345644 A US 201615345644A US 2018130895 A1 US2018130895 A1 US 2018130895A1
Authority
US
United States
Prior art keywords
forming
vertically oriented
semiconductor structures
channel semiconductor
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/345,644
Other versions
US9966456B1 (en
Inventor
Chanro Park
Steven Bentley
Hoon Kim
Min Gyu Sung
Ruilong Xie
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US15/345,644 priority Critical patent/US9966456B1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BENTLEY, STEVEN, KIM, HOON, PARK, CHANRO, XIE, RUILONG, SUNG, MIN GYU
Application granted granted Critical
Publication of US9966456B1 publication Critical patent/US9966456B1/en
Publication of US20180130895A1 publication Critical patent/US20180130895A1/en
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823487MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes

Definitions

  • the present disclosure relates to the manufacture of semiconductor devices, and, more specifically, to various novel methods of forming gate electrodes on a vertical transistor device.
  • Transistors come in a variety of shapes and forms, e.g., planar transistors, FinFET transistors, nanowire devices, vertical transistors, etc.
  • the transistors are typically either NMOS (NFET) or PMOS (PFET) type devices wherein the “N” and “P” designation is based upon the type of dopants used to create the source/drain regions of the devices.
  • CMOS Complementary Metal Oxide Semiconductor
  • CMOS Complementary Metal Oxide Semiconductor
  • each device comprises drain and source regions and a gate electrode structure positioned between the source/drain regions.
  • a gate electrode structure positioned between the source/drain regions.
  • a conductive channel region forms between the drain region and the source region.
  • FIG. 1 is a simplistic and schematic depiction of an illustrative prior art vertical transistor device 10 .
  • the vertical transistor 10 comprises a generally vertically oriented channel semiconductor structure 12 A that extends upward from a front surface 12 S of a semiconductor substrate 12 .
  • the semiconductor structure 12 A may have a variety of different configurations when viewed from above, e.g., circular, rectangular, square, etc., and it has an outer perimeter 12 P.
  • the device 10 further comprises a channel region 13 , a gate-all-around (GAA) gate structure 14 that is positioned around the perimeter 12 P of the semiconductor structure 12 A, a bottom source/drain (S/D) region 16 , a top S/D region 18 , a bottom spacer 15 B, and a top spacer 15 T. Also depicted is an illustrative bottom contact 20 that is conductively coupled to the bottom S/D region 16 and a top contact 22 that is conductively coupled to the top S/D region 18 .
  • the gate structure 14 comprises a gate insulation layer 14 A and a conductive gate electrode 14 B.
  • the materials of construction for the components of the device 10 may vary depending upon the particular application.
  • the gate structure 14 may be manufactured using well-known gate first or replacement gate manufacturing techniques.
  • the gate electrode 14 B may be formed by depositing a metal layer between a plurality of closely spaced transistor devices and recessing the metal layer. It may be difficult to control the initial height of the metal layer and its post-recess height due to varying pitch between transistor devices 10 in different regions of the integrated circuit device and the effects of the pitch on the deposition and etch processes.
  • the present disclosure is directed to methods of simultaneously forming bottom and top spacers on a vertical transistor device that may solve or at least reduce the effects of one or more of the problems identified above.
  • One illustrative method disclosed herein includes, among other things, forming bottom source/drain (S/D) regions.
  • a plurality of vertically oriented channel semiconductor structures is formed above the bottom source/drain (S/D) regions.
  • a gate insulation layer is formed above the vertically oriented channel semiconductor structures.
  • a conformal layer of conductive gate material is formed above the gate insulation layer. The conformal layer of conductive material is etched to define conductive gate spacers on sidewalls of the vertically oriented channel semiconductor structures.
  • Top source/drain (S/D) regions are formed above the vertically oriented channel semiconductor structures.
  • Another illustrative method disclosed herein includes, among other things, forming bottom source/drain (S/D) regions.
  • a plurality of vertically oriented channel semiconductor structures is formed above the bottom source/drain (S/D) regions.
  • a gate insulation layer is formed above the vertically oriented channel semiconductor structures.
  • a conformal layer of conductive gate material is formed above the gate insulation layer.
  • the conformal layer of conductive gate material merges between at least two of the vertically oriented channel semiconductor structures.
  • the conformal layer of conductive gate material is etched to define conductive gate spacers on sidewalls of the vertically oriented channel semiconductor structures.
  • the conductive gate spacers are recessed.
  • Top source/drain (S/D) regions are formed above the vertically oriented channel semiconductor structures.
  • FIG. 1 simplistically depicts an illustrative prior art vertical transistor device
  • FIGS. 2A-2O depict various illustrative novel methods disclosed herein for simultaneously forming bottom and top spacers on a vertical transistor device and the resulting device.
  • the methods disclosed herein may be employed in manufacturing a variety of different devices, including, but not limited to, logic devices, memory devices, etc., and the devices may be may be either NMOS or PMOS devices.
  • various doped regions e.g., halo implant regions, well regions and the like, are not depicted in the attached drawings.
  • the inventions disclosed herein should not be considered to be limited to the illustrative examples depicted and described herein.
  • the various components and structures of the vertical transistor device 100 disclosed herein may be formed using a variety of different materials and by performing a variety of known techniques, e.g., a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a thermal growth process, spin-coating techniques, etc.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • thermal growth process spin-coating techniques, etc.
  • spin-coating techniques etc.
  • the thicknesses of these various layers of material may also vary depending upon the particular application.
  • FIGS. 2A-2O depict various illustrative novel methods of forming gate electrodes on a vertical transistor device of an integrated circuit (IC) product 100 that includes a plurality of illustrative vertical transistor devices 102 each including a vertically-oriented channel semiconductor structure 104 .
  • the IC product 100 may typically include millions of such vertical transistor devices 102 .
  • the vertical transistor devices have a rectangular cross section when viewed from above (e.g., fin-type devices).
  • the vertical transistor devices 102 may have different cross section shapes, such as circle, oval, square, etc. (as depicted in the prior art structure 10 of FIG. 1 ).
  • the view “X-X” is a cross-sectional view taken through a subset of the vertical transistor devices 102 that will be doped as N-type devices in a direction perpendicular to a long axis of the vertical transistor devices 102
  • the view “Y-Y” is a cross-sectional view taken through one of the P-type devices, a bridge contact (not yet formed), and one of the N-type devices along the long axis. Not all aspects of the processing operations reflected in the cross-sectional views will be depicted in the plan views so as not to overly complicate the drawings.
  • FIG. 2A depicts one illustrative embodiment of the IC product 100 including the vertical transistor devices 102 disclosed herein at a stage of fabrication after several process operations have already been performed.
  • the IC product 100 is formed in and above a substrate 100 X.
  • the substrate 100 X may have a variety of configurations, such as a bulk semiconductor (e.g., silicon) configuration.
  • the substrate 100 X may have a bulk configuration (as depicted) or a semiconductor-on-insulator (SOI) or a silicon-on-insulator configuration that includes a bulk semiconductor layer, a buried insulation layer (e.g., silicon dioxide), and an active layer (e.g., silicon), wherein semiconductor devices are formed in and above the active layer.
  • the substrate 100 X may be made of silicon or it may be made of materials other than silicon.
  • the terms “substrate” or “semiconductor substrate” should be understood to cover all semiconducting materials and all forms of such materials.
  • the vertically oriented channel semiconductor structures 104 have been formed for the vertical transistor devices 102 .
  • the vertically oriented channel semiconductor structures 104 were formed by performing one or more etching processes through a patterned etch mask 106 (e.g., silicon nitride) so as to define a plurality of trenches 108 in the substrate 100 X.
  • the patterned etch mask 106 may be comprised of one or more layers of material and it may be formed by forming one or more layers of material and thereafter patterning those materials using known photolithography and etching techniques.
  • the patterned etch mask 106 may be comprised of a layer of silicon dioxide and a layer of silicon nitride (not separately shown).
  • an ion implantation process was performed to form a bottom source/drain (S/D) region or structure 110 for the devices 102 in the substrate 100 X.
  • the bottom source/drain (S/D) region 110 may be doped with an appropriate dopant (e.g., N-type for the bottom devices in the plan view and P-type for the top devices in the plan view) depending upon the type of device 102 under construction.
  • the bottom source/drain (S/D) region 110 may be made of the same semiconductor material as that of the substrate 100 X, or it may be made of a semiconductor material that is different than that of the substrate 100 X.
  • isolation trenches 112 that extend into the substrate 100 X were defined by performing an etching process through a patterned etch mask (not shown), e.g., a patterned layer of photoresist material. The patterned etch mask was then removed.
  • a patterned etch mask e.g., a patterned layer of photoresist material.
  • the patterned etch mask was then removed.
  • the bottom S/D region 110 is shown as a continuous structure for a subset of the vertically oriented channel semiconductor structures 104 , in some embodiments, separate bottom S/D regions 110 may be provided.
  • a deposition process was performed to form a bottom spacer 114 (e.g., silicon nitride) above the bottom S/D region 110 .
  • Another deposition process was performed to form a gate insulation layer 116 above the bottom spacer 114 and the vertically oriented channel semiconductor structures 104 .
  • the gate insulation layer 116 is comprised of a high-k (k greater than 10) insulation material (where k is the relative dielectric constant), such as hafnium oxide.
  • Yet another deposition process was performed to form a work function material layer 118 N above the gate insulation layer 116 .
  • the work function material layer 118 N is tailored for an N-type device.
  • One or more barrier layers may be formed between the gate insulation layer 116 and the work function material layer 118 N.
  • the work function material layer 118 N may comprise TiAl, Al doped TiN, etc.
  • the work function material layer 118 N may include a stack of layers including, for example, TiN/TiAl, TiN/AlN, or TiN/TiAlN, etc. (the individual layers are not separately depicted in the drawings), formed using a sequence of conformal deposition processes.
  • FIG. 2B illustrates the IC product 100 after a conformal deposition process was performed to form a conductive layer 120 (e.g., tungsten formed using an atomic layer deposition (ALD) process) above the work function material layer 118 N.
  • the ALD process may include a reducing agent of H 2 , atomic hydrogen, B 2 , H 6 , etc.
  • a temperature range of about 100-500° C. (e.g., 400° C.) and a pressure range of about 0.1-700 Torr (e.g., 10-20 Torr) may be employed.
  • the conductive layer pinches off to completely fill the cavities, while the cavities between the less densely-spaced vertically oriented channel semiconductor structures 104 (on the right) are not filled.
  • the use of a conformal deposition process provides a consistent layer thickness for the conductive layer 120 across the regions of varying density.
  • FIG. 2C depicts the IC product 100 after one or more etch processes (e.g., anisotropic) were performed to define conductive gate spacers 120 S from the conductive layer 120 and to remove exposed portions of the gate insulation layer 116 and the work function material layer 118 N.
  • the conductive gate spacers 120 S formed between the dense structures 104 are essentially merged gate spacers 120 M.
  • FIG. 2D illustrates the IC product 100 after a deposition process was performed to form a dielectric layer 122 (e.g., silicon dioxide) above the structures 104 and a planarization process was performed to remove an upper portion of the dielectric layer 122 to expose the conductive gate spacers 120 S, 120 M.
  • a liner layer e.g., silicon nitride—not shown
  • FIG. 2E illustrates the IC product 100 after an etch process was performed to recess the conductive gate spacers 120 S, 120 M and remove exposed portions of the work function material layer 118 N.
  • the conductive gate spacers 120 S, 120 M may be recessed by an etch environment including SF 6 or NF 3 with additive gasses such as AR or He with no bias power, a source power of about 300-2000 W, and a pressure of about 1-50 mT.
  • the work function material layer 118 N may be removed by an etch environment using Cl 2 /BCl 3 with additive gasses such as AR or He with no bias power, a source power of about 300-2000 W, and a pressure of about 1-50 mT.
  • the conductive gate spacers 120 S, 120 M define gate electrodes for the transistor devices 102 .
  • FIG. 2F illustrates the IC product 100 after several process operations were performed.
  • FIG. 2F includes view “Y-Y” showing the N-type transistor device 102 N and the P-type transistor device 102 P.
  • an etch process was performed to remove remaining portions of the dielectric layer 122 .
  • a relatively thin liner layer 124 was formed above the IC product 100 by performing a conformal deposition process, e.g., ALD, CVD.
  • the liner layer 124 may be comprised of a material such as silicon nitride, silicon oxynitride, etc.
  • the thickness of the liner layer 124 may vary depending upon the particular application, e.g., 2-3 nm.
  • a dielectric layer 126 e.g., silicon dioxide
  • a planarization process such as a CMP (Chemical Mechanical Planarization) process was performed on the layer of insulating material 126 that stops on the upper surface of the liner layer 124 .
  • a patterned mask layer 128 was formed above the dielectric layer 126 .
  • the patterned mask layer 128 includes an opening 130 disposed between the N-type transistor device 102 N and the P-type transistor device 102 P above a gate electrode 132 N of the N-type transistor device 102 N and a gate electrode 132 P of the P-type transistor device 102 .
  • the gate electrodes 132 N, 132 P were defined by the conductive gate spacers 120 M, 120 S as previously described, as illustrated in view “Y-Y”. Also, note that the work function material layer 118 N for the N-type transistor device 102 N may be different than the work function material layer 118 P for the P-type transistor device 102 P. For example, the work function material layer 118 P may include TiN.
  • FIG. 2G depicts the IC product 100 after a plurality of processes was performed.
  • One or more etch processes were performed on the dielectric layer 126 through the opening 130 in the patterned mask layer 128 so as to remove exposed portions of the dielectric layer 126 and exposed portions of the liner layer 124 .
  • a subsequent etch process was performed to remove the patterned mask layer 128 .
  • FIG. 2H illustrates the IC product 100 after several process operations were performed.
  • a deposition process was performed to fill the cavity 134 with a conductive material 136 .
  • the conductive material 136 may include multiple layers (not separately shown), such as a barrier layer (e.g., TiN, and a conductive fill material, e.g., tungsten).
  • a planarization process was performed to remove portions of the conductive material 136 extending beyond the opening and a recess etch process was performed to recess a top surface of the conductive material 136 to define a bridge contact 136 B connecting the gate electrodes 134 N, 134 P.
  • an additional patterned etch process may be performed to segment the conductive material 136 (e.g., in the circled region shown in the plan view.
  • FIG. 2I illustrates the IC product 100 after several processes were performed.
  • a deposition process was performed to form a dielectric layer 138 , e.g., silicon dioxide.
  • a planarization process such as a CMP (Chemical Mechanical Planarization) process was performed on the dielectric layer 138 that stops on the upper surface of the liner layer 124 .
  • CMP Chemical Mechanical Planarization
  • FIG. 2J illustrates the IC product 100 after a plurality of processes was performed.
  • a first etch process was performed to remove the exposed surfaces of the liner layer 124 and the patterned etch mask 106 selectively relative to the surrounding materials and structures, thereby defining a cavity 140 and exposing an upper surface 104 S of the vertically oriented channel semiconductor structures 104 .
  • a second etch process was performed to remove the exposed portions of the gate insulation layer 116 .
  • FIG. 2K depicts the IC product 100 after several process operations were performed.
  • an epitaxial growth process was performed to form a top source/drain (S/D) region or structure 142 in the cavity 140 and on the upper surface 104 S of the vertically oriented channel semiconductor structures 104 .
  • the top source/drain (S/D) region 142 may be comprised of different materials depending upon the type of device under construction, e.g., SiGe for a P-type device, SiC for an N-type device.
  • the top source/drain (S/D) region 142 may be in situ doped with an appropriate dopant (N-type or P-type depending upon the type of device under construction) during the formation of the top source/drain (S/D) region 142 .
  • a deposition process was performed to form a sacrificial layer 144 (e.g., silicon nitride) in the cavities 140 .
  • the sacrificial layer 144 may overfill the cavities 140 , as illustrated FIG. 2K .
  • an optional planarization process may be performed to remove the over fill portions of the sacrificial layer 144 (not shown).
  • a deposition process was performed to form a dielectric layer 146 (e.g., silicon dioxide) above the sacrificial layer 144 .
  • FIG. 2L depicts the IC product 100 after several process operations were performed.
  • a mask layer 148 e.g., a patterned layer of photoresist, was formed so as to cover the dielectric layer 146 .
  • a first etch process was performed to etch the dielectric layer 146 , with an etch stop provided by the sacrificial layer 144 .
  • an etch process was performed to selectively remove the exposed portions of the sacrificial layer 144 , terminating on the top S/D/regions 142 and defining cavities 150 (e.g., CA cavities).
  • cavities 150 e.g., CA cavities
  • FIG. 2M depicts the IC product 100 after several process operations were performed.
  • an ashing process was performed to remove the mask layer 148 .
  • a mask layer 152 e.g., a patterned layer of photoresist, was formed so as to cover the dielectric layer 146 and fill the cavities 150 .
  • a plurality of etch processes were performed to etch through the portions of the dielectric layer 146 , the sacrificial layer 144 , and the dielectric layer 138 exposed by the mask layer 152 to define a cavity 154 (e.g., a CB cavity) exposing the conductive material 136 B, with an etch stop provided by the sacrificial layer 144 .
  • an etch process was performed to selectively remove the exposed portions of the sacrificial layer 144 , terminating on the top S/D/regions 142 and defining cavities 150 (e.g., CA cavities).
  • FIG. 2N depicts the IC product 100 after several process operations were performed.
  • an ashing process was performed to remove the mask layer 152 .
  • one or more deposition processes were performed to fill the cavities 150 , 154 with a conductive material 156 .
  • the conductive material 156 may include multiple layers (not separately shown), such as a barrier layer (e.g., TiN and a conductive fill material (e.g., tungsten).
  • a planarization process was performed to remove portions of the conductive material 156 extending beyond the opening to define source/drain contacts 158 , and a gate contact 160 .
  • traditional metallization layers (not shown) may be formed above the IC product 100 so as to establish electrical connections to the contacts 158 , 160 .
  • FIG. 2O depicts the IC product 100 in an alternative process flow.
  • pillars 162 in the dielectric layer 126 are present between the densely spaced transistor devices 102 .
  • the pillars 162 may be reduced and substantially removed during the etch processes and cleaning processes (not separately described).
  • the pillars 162 may be reduced during the etch processes described in reference to FIG. 2L and essentially removed during a preclean process performed prior to forming the conductive material 156 .
  • FIG. 2O illustrates the IC product 100 with the pillars 162 removed.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

One illustrative method of forming a vertical transistor device disclosed herein includes, among other things, forming bottom source/drain (S/D) regions. A plurality of vertically oriented channel semiconductor structures is formed above the bottom source/drain (S/D) regions. A gate insulation layer is formed above the vertically oriented channel semiconductor structures. A conformal layer of conductive gate material is formed above the gate insulation layer. The conformal layer of conductive material is etched to define conductive gate spacers on sidewalls of the vertically oriented channel semiconductor structures. Top source/drain (S/D) regions are formed above the vertically oriented channel semiconductor structures.

Description

    BACKGROUND OF THE INVENTION 1. Field of the Invention
  • Generally, the present disclosure relates to the manufacture of semiconductor devices, and, more specifically, to various novel methods of forming gate electrodes on a vertical transistor device.
  • 2. Description of the Related Art
  • In modern integrated circuits, such as microprocessors, storage devices and the like, a very large number of circuit elements, especially transistors, are provided on a restricted chip area. Transistors come in a variety of shapes and forms, e.g., planar transistors, FinFET transistors, nanowire devices, vertical transistors, etc. The transistors are typically either NMOS (NFET) or PMOS (PFET) type devices wherein the “N” and “P” designation is based upon the type of dopants used to create the source/drain regions of the devices. So-called CMOS (Complementary Metal Oxide Semiconductor) technology or products refers to integrated circuit products that are manufactured using both NMOS and PMOS transistor devices. Irrespective of the physical configuration of the transistor device, each device comprises drain and source regions and a gate electrode structure positioned between the source/drain regions. Upon application of an appropriate control voltage to the gate electrode, a conductive channel region forms between the drain region and the source region.
  • FIG. 1 is a simplistic and schematic depiction of an illustrative prior art vertical transistor device 10. In general, the vertical transistor 10 comprises a generally vertically oriented channel semiconductor structure 12A that extends upward from a front surface 12S of a semiconductor substrate 12. As indicated in the right-hand portion of FIG. 1, the semiconductor structure 12A may have a variety of different configurations when viewed from above, e.g., circular, rectangular, square, etc., and it has an outer perimeter 12P. The device 10 further comprises a channel region 13, a gate-all-around (GAA) gate structure 14 that is positioned around the perimeter 12P of the semiconductor structure 12A, a bottom source/drain (S/D) region 16, a top S/D region 18, a bottom spacer 15B, and a top spacer 15T. Also depicted is an illustrative bottom contact 20 that is conductively coupled to the bottom S/D region 16 and a top contact 22 that is conductively coupled to the top S/D region 18. In the depicted example, the gate structure 14 comprises a gate insulation layer 14A and a conductive gate electrode 14B. The materials of construction for the components of the device 10 may vary depending upon the particular application. The gate structure 14 may be manufactured using well-known gate first or replacement gate manufacturing techniques.
  • Device designers and manufacturers are constantly in search of device designs and methods of manufacturing that improve device performance, processing efficiencies and/or product yields. The formation of vertical transistor devices can present some special challenges. For example, the gate electrode 14B may be formed by depositing a metal layer between a plurality of closely spaced transistor devices and recessing the metal layer. It may be difficult to control the initial height of the metal layer and its post-recess height due to varying pitch between transistor devices 10 in different regions of the integrated circuit device and the effects of the pitch on the deposition and etch processes.
  • The present disclosure is directed to methods of simultaneously forming bottom and top spacers on a vertical transistor device that may solve or at least reduce the effects of one or more of the problems identified above.
  • SUMMARY OF THE INVENTION
  • The following presents a simplified summary of the invention in order to provide a basic understanding of some aspects of the invention. This summary is not an exhaustive overview of the invention. It is not intended to identify key or critical elements of the invention or to delineate the scope of the invention. Its sole purpose is to present some concepts in a simplified form as a prelude to the more detailed description that is discussed later.
  • Generally, the present disclosure is directed to various novel methods of forming gate electrodes on a vertical transistor device. One illustrative method disclosed herein includes, among other things, forming bottom source/drain (S/D) regions. A plurality of vertically oriented channel semiconductor structures is formed above the bottom source/drain (S/D) regions. A gate insulation layer is formed above the vertically oriented channel semiconductor structures. A conformal layer of conductive gate material is formed above the gate insulation layer. The conformal layer of conductive material is etched to define conductive gate spacers on sidewalls of the vertically oriented channel semiconductor structures. Top source/drain (S/D) regions are formed above the vertically oriented channel semiconductor structures.
  • Another illustrative method disclosed herein includes, among other things, forming bottom source/drain (S/D) regions. A plurality of vertically oriented channel semiconductor structures is formed above the bottom source/drain (S/D) regions. A gate insulation layer is formed above the vertically oriented channel semiconductor structures. A conformal layer of conductive gate material is formed above the gate insulation layer. The conformal layer of conductive gate material merges between at least two of the vertically oriented channel semiconductor structures. The conformal layer of conductive gate material is etched to define conductive gate spacers on sidewalls of the vertically oriented channel semiconductor structures. The conductive gate spacers are recessed. Top source/drain (S/D) regions are formed above the vertically oriented channel semiconductor structures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The disclosure may be understood by reference to the following description taken in conjunction with the accompanying drawings, in which like reference numerals identify like elements, and in which:
  • FIG. 1 simplistically depicts an illustrative prior art vertical transistor device; and
  • FIGS. 2A-2O depict various illustrative novel methods disclosed herein for simultaneously forming bottom and top spacers on a vertical transistor device and the resulting device.
  • While the subject matter disclosed herein is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail. It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.
  • DETAILED DESCRIPTION
  • Various illustrative embodiments of the invention are described below. In the interest of clarity, not all features of an actual implementation are described in this specification. It will of course be appreciated that in the development of any such actual embodiment, numerous implementation-specific decisions must be made to achieve the developers' specific goals, such as compliance with system-related and business-related constraints, which will vary from one implementation to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure.
  • The present subject matter will now be described with reference to the attached figures. Various structures, systems and devices are schematically depicted in the drawings for purposes of explanation only and so as to not obscure the present disclosure with details that are well known to those skilled in the art. Nevertheless, the attached drawings are included to describe and explain illustrative examples of the present disclosure. The words and phrases used herein should be understood and interpreted to have a meaning consistent with the understanding of those words and phrases by those skilled in the relevant art. No special definition of a term or phrase, i.e., a definition that is different from the ordinary and customary meaning as understood by those skilled in the art, is intended to be implied by consistent usage of the term or phrase herein. To the extent that a term or phrase is intended to have a special meaning, i.e., a meaning other than that understood by skilled artisans, such a special definition will be expressly set forth in the specification in a definitional manner that directly and unequivocally provides the special definition for the term or phrase. To the extent the term “adjacent” is used herein and in the attached claims to described a positional relationship between two components or structures, that term should be understood and construed so as to cover situations where there is actual physical contact between the two components and to cover situations where such components are positioned near one another but there is no physical contact between the two components. Physical contact between two components will be specified within the specification and claims by use of the phrase “on and in contact with” or other similar language. As will be readily apparent to those skilled in the art upon a complete reading of the present application, the methods disclosed herein may be employed in manufacturing a variety of different devices, including, but not limited to, logic devices, memory devices, etc., and the devices may be may be either NMOS or PMOS devices.
  • As will be appreciated by those skilled in the art after a complete reading of the present application, various doped regions, e.g., halo implant regions, well regions and the like, are not depicted in the attached drawings. Of course, the inventions disclosed herein should not be considered to be limited to the illustrative examples depicted and described herein. The various components and structures of the vertical transistor device 100 disclosed herein may be formed using a variety of different materials and by performing a variety of known techniques, e.g., a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, a thermal growth process, spin-coating techniques, etc. The thicknesses of these various layers of material may also vary depending upon the particular application. With reference to the attached figures, various illustrative embodiments of the methods and devices disclosed herein will now be described in more detail.
  • FIGS. 2A-2O depict various illustrative novel methods of forming gate electrodes on a vertical transistor device of an integrated circuit (IC) product 100 that includes a plurality of illustrative vertical transistor devices 102 each including a vertically-oriented channel semiconductor structure 104. Of course, the IC product 100 may typically include millions of such vertical transistor devices 102. In the illustrated examples, the vertical transistor devices have a rectangular cross section when viewed from above (e.g., fin-type devices). In other embodiments, the vertical transistor devices 102 may have different cross section shapes, such as circle, oval, square, etc. (as depicted in the prior art structure 10 of FIG. 1). During operation, current will flow through the vertically oriented channel semiconductor structure 104 of the vertical transistor device 102 in the direction indicated by the double arrows 104L, which is the gate length direction of the device 102. Several of the drawings also include a simplistic plan view of the IC product 100 (in the upper right corner) that depicts the location where various cross-sectional views depicted in the following drawings will be taken. More specifically, the view “X-X” is a cross-sectional view taken through a subset of the vertical transistor devices 102 that will be doped as N-type devices in a direction perpendicular to a long axis of the vertical transistor devices 102, and the view “Y-Y” is a cross-sectional view taken through one of the P-type devices, a bridge contact (not yet formed), and one of the N-type devices along the long axis. Not all aspects of the processing operations reflected in the cross-sectional views will be depicted in the plan views so as not to overly complicate the drawings.
  • FIG. 2A depicts one illustrative embodiment of the IC product 100 including the vertical transistor devices 102 disclosed herein at a stage of fabrication after several process operations have already been performed. In general, the IC product 100 is formed in and above a substrate 100X. The substrate 100X may have a variety of configurations, such as a bulk semiconductor (e.g., silicon) configuration. The substrate 100X may have a bulk configuration (as depicted) or a semiconductor-on-insulator (SOI) or a silicon-on-insulator configuration that includes a bulk semiconductor layer, a buried insulation layer (e.g., silicon dioxide), and an active layer (e.g., silicon), wherein semiconductor devices are formed in and above the active layer. The substrate 100X may be made of silicon or it may be made of materials other than silicon. Thus, the terms “substrate” or “semiconductor substrate” should be understood to cover all semiconducting materials and all forms of such materials.
  • With continuing reference to FIG. 2A, the vertically oriented channel semiconductor structures 104 have been formed for the vertical transistor devices 102. In the depicted example, the vertically oriented channel semiconductor structures 104 were formed by performing one or more etching processes through a patterned etch mask 106 (e.g., silicon nitride) so as to define a plurality of trenches 108 in the substrate 100X. In some embodiments, the patterned etch mask 106 may be comprised of one or more layers of material and it may be formed by forming one or more layers of material and thereafter patterning those materials using known photolithography and etching techniques. In one illustrative embodiment, the patterned etch mask 106 may be comprised of a layer of silicon dioxide and a layer of silicon nitride (not separately shown).
  • Next, in one illustrative embodiment, an ion implantation process was performed to form a bottom source/drain (S/D) region or structure 110 for the devices 102 in the substrate 100X. The bottom source/drain (S/D) region 110 may be doped with an appropriate dopant (e.g., N-type for the bottom devices in the plan view and P-type for the top devices in the plan view) depending upon the type of device 102 under construction. In some applications, and other process flows, the bottom source/drain (S/D) region 110 may be made of the same semiconductor material as that of the substrate 100X, or it may be made of a semiconductor material that is different than that of the substrate 100X. Then, isolation trenches 112 that extend into the substrate 100X were defined by performing an etching process through a patterned etch mask (not shown), e.g., a patterned layer of photoresist material. The patterned etch mask was then removed. Although the bottom S/D region 110 is shown as a continuous structure for a subset of the vertically oriented channel semiconductor structures 104, in some embodiments, separate bottom S/D regions 110 may be provided.
  • Next, a deposition process was performed to form a bottom spacer 114 (e.g., silicon nitride) above the bottom S/D region 110. Another deposition process was performed to form a gate insulation layer 116 above the bottom spacer 114 and the vertically oriented channel semiconductor structures 104. In the illustrated embodiment, the gate insulation layer 116 is comprised of a high-k (k greater than 10) insulation material (where k is the relative dielectric constant), such as hafnium oxide. Yet another deposition process was performed to form a work function material layer 118N above the gate insulation layer 116. The work function material layer 118N is tailored for an N-type device. One or more barrier layers (not shown) may be formed between the gate insulation layer 116 and the work function material layer 118N. In some embodiments, the work function material layer 118N may comprise TiAl, Al doped TiN, etc. The work function material layer 118N may include a stack of layers including, for example, TiN/TiAl, TiN/AlN, or TiN/TiAlN, etc. (the individual layers are not separately depicted in the drawings), formed using a sequence of conformal deposition processes.
  • FIG. 2B illustrates the IC product 100 after a conformal deposition process was performed to form a conductive layer 120 (e.g., tungsten formed using an atomic layer deposition (ALD) process) above the work function material layer 118N. In some embodiments, the ALD process may include a reducing agent of H2, atomic hydrogen, B2, H6, etc. A temperature range of about 100-500° C. (e.g., 400° C.) and a pressure range of about 0.1-700 Torr (e.g., 10-20 Torr) may be employed. In the cavities between the densely-spaced vertically oriented channel semiconductor structures 104 (on the left), the conductive layer pinches off to completely fill the cavities, while the cavities between the less densely-spaced vertically oriented channel semiconductor structures 104 (on the right) are not filled. The use of a conformal deposition process provides a consistent layer thickness for the conductive layer 120 across the regions of varying density.
  • FIG. 2C depicts the IC product 100 after one or more etch processes (e.g., anisotropic) were performed to define conductive gate spacers 120S from the conductive layer 120 and to remove exposed portions of the gate insulation layer 116 and the work function material layer 118N. The conductive gate spacers 120S formed between the dense structures 104 are essentially merged gate spacers 120M.
  • FIG. 2D illustrates the IC product 100 after a deposition process was performed to form a dielectric layer 122 (e.g., silicon dioxide) above the structures 104 and a planarization process was performed to remove an upper portion of the dielectric layer 122 to expose the conductive gate spacers 120S, 120M. In some embodiments, a liner layer (e.g., silicon nitride—not shown) may be formed prior to forming the dielectric layer 122.
  • FIG. 2E illustrates the IC product 100 after an etch process was performed to recess the conductive gate spacers 120S, 120M and remove exposed portions of the work function material layer 118N. In some embodiments, the conductive gate spacers 120S, 120M may be recessed by an etch environment including SF6 or NF3 with additive gasses such as AR or He with no bias power, a source power of about 300-2000 W, and a pressure of about 1-50 mT. The work function material layer 118N may be removed by an etch environment using Cl2/BCl3 with additive gasses such as AR or He with no bias power, a source power of about 300-2000 W, and a pressure of about 1-50 mT. The conductive gate spacers 120S, 120M define gate electrodes for the transistor devices 102.
  • FIG. 2F illustrates the IC product 100 after several process operations were performed. FIG. 2F includes view “Y-Y” showing the N-type transistor device 102N and the P-type transistor device 102P. First, an etch process was performed to remove remaining portions of the dielectric layer 122. Second, a relatively thin liner layer 124 was formed above the IC product 100 by performing a conformal deposition process, e.g., ALD, CVD. In one illustrative embodiment, the liner layer 124 may be comprised of a material such as silicon nitride, silicon oxynitride, etc. The thickness of the liner layer 124 may vary depending upon the particular application, e.g., 2-3 nm. Then, a dielectric layer 126, e.g., silicon dioxide, was blanket-deposited across the IC product 100 and above the liner layer 124. Thereafter, a planarization process, such as a CMP (Chemical Mechanical Planarization) process was performed on the layer of insulating material 126 that stops on the upper surface of the liner layer 124. Subsequently, a patterned mask layer 128 was formed above the dielectric layer 126. The patterned mask layer 128 includes an opening 130 disposed between the N-type transistor device 102N and the P-type transistor device 102P above a gate electrode 132N of the N-type transistor device 102N and a gate electrode 132P of the P-type transistor device 102. The gate electrodes 132N, 132P were defined by the conductive gate spacers 120M, 120S as previously described, as illustrated in view “Y-Y”. Also, note that the work function material layer 118N for the N-type transistor device 102N may be different than the work function material layer 118P for the P-type transistor device 102P. For example, the work function material layer 118P may include TiN.
  • FIG. 2G depicts the IC product 100 after a plurality of processes was performed. One or more etch processes were performed on the dielectric layer 126 through the opening 130 in the patterned mask layer 128 so as to remove exposed portions of the dielectric layer 126 and exposed portions of the liner layer 124. A subsequent etch process was performed to remove the patterned mask layer 128. These process operations result in the formation of a cavity 134 in the dielectric layer 126 exposing the gate electrodes 134N, 134P.
  • FIG. 2H illustrates the IC product 100 after several process operations were performed. First, a deposition process was performed to fill the cavity 134 with a conductive material 136. The conductive material 136 may include multiple layers (not separately shown), such as a barrier layer (e.g., TiN, and a conductive fill material, e.g., tungsten). Next, a planarization process was performed to remove portions of the conductive material 136 extending beyond the opening and a recess etch process was performed to recess a top surface of the conductive material 136 to define a bridge contact 136B connecting the gate electrodes 134N, 134P. In some embodiments, an additional patterned etch process (not shown) may be performed to segment the conductive material 136 (e.g., in the circled region shown in the plan view.
  • FIG. 2I illustrates the IC product 100 after several processes were performed. A deposition process was performed to form a dielectric layer 138, e.g., silicon dioxide. Thereafter, a planarization process, such as a CMP (Chemical Mechanical Planarization) process was performed on the dielectric layer 138 that stops on the upper surface of the liner layer 124.
  • FIG. 2J illustrates the IC product 100 after a plurality of processes was performed. A first etch process was performed to remove the exposed surfaces of the liner layer 124 and the patterned etch mask 106 selectively relative to the surrounding materials and structures, thereby defining a cavity 140 and exposing an upper surface 104S of the vertically oriented channel semiconductor structures 104. A second etch process was performed to remove the exposed portions of the gate insulation layer 116.
  • FIG. 2K depicts the IC product 100 after several process operations were performed. First, an epitaxial growth process was performed to form a top source/drain (S/D) region or structure 142 in the cavity 140 and on the upper surface 104S of the vertically oriented channel semiconductor structures 104. The top source/drain (S/D) region 142 may be comprised of different materials depending upon the type of device under construction, e.g., SiGe for a P-type device, SiC for an N-type device. The top source/drain (S/D) region 142 may be in situ doped with an appropriate dopant (N-type or P-type depending upon the type of device under construction) during the formation of the top source/drain (S/D) region 142. Next, a deposition process was performed to form a sacrificial layer 144 (e.g., silicon nitride) in the cavities 140. The sacrificial layer 144 may overfill the cavities 140, as illustrated FIG. 2K. In some embodiments, an optional planarization process may be performed to remove the over fill portions of the sacrificial layer 144 (not shown). Then a deposition process was performed to form a dielectric layer 146 (e.g., silicon dioxide) above the sacrificial layer 144.
  • FIG. 2L depicts the IC product 100 after several process operations were performed. First, a mask layer 148, e.g., a patterned layer of photoresist, was formed so as to cover the dielectric layer 146. Then, a first etch process was performed to etch the dielectric layer 146, with an etch stop provided by the sacrificial layer 144. Then, an etch process was performed to selectively remove the exposed portions of the sacrificial layer 144, terminating on the top S/D/regions 142 and defining cavities 150 (e.g., CA cavities).
  • FIG. 2M depicts the IC product 100 after several process operations were performed. First, an ashing process was performed to remove the mask layer 148. Then, a mask layer 152, e.g., a patterned layer of photoresist, was formed so as to cover the dielectric layer 146 and fill the cavities 150. Then, a plurality of etch processes were performed to etch through the portions of the dielectric layer 146, the sacrificial layer 144, and the dielectric layer 138 exposed by the mask layer 152 to define a cavity 154 (e.g., a CB cavity) exposing the conductive material 136B, with an etch stop provided by the sacrificial layer 144. Then, an etch process was performed to selectively remove the exposed portions of the sacrificial layer 144, terminating on the top S/D/regions 142 and defining cavities 150 (e.g., CA cavities).
  • FIG. 2N depicts the IC product 100 after several process operations were performed. First, an ashing process was performed to remove the mask layer 152. Then, one or more deposition processes were performed to fill the cavities 150, 154 with a conductive material 156. The conductive material 156 may include multiple layers (not separately shown), such as a barrier layer (e.g., TiN and a conductive fill material (e.g., tungsten). Next, a planarization process was performed to remove portions of the conductive material 156 extending beyond the opening to define source/drain contacts 158, and a gate contact 160. At this point in the process flow, traditional metallization layers (not shown) may be formed above the IC product 100 so as to establish electrical connections to the contacts 158, 160.
  • FIG. 2O depicts the IC product 100 in an alternative process flow. As shown in FIG. 2N, pillars 162 in the dielectric layer 126 are present between the densely spaced transistor devices 102. In the alternative process flow, the pillars 162 may be reduced and substantially removed during the etch processes and cleaning processes (not separately described). For example, the pillars 162 may be reduced during the etch processes described in reference to FIG. 2L and essentially removed during a preclean process performed prior to forming the conductive material 156. FIG. 2O illustrates the IC product 100 with the pillars 162 removed.
  • The particular embodiments disclosed above are illustrative only, as the invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. For example, the process steps set forth above may be performed in a different order. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention. Note that the use of terms, such as “first,” “second,” “third” or “fourth” to describe various processes or structures in this specification and in the attached claims is only used as a shorthand reference to such steps/structures and does not necessarily imply that such steps/structures are performed/formed in that ordered sequence. Of course, depending upon the exact claim language, an ordered sequence of such processes may or may not be required. Accordingly, the protection sought herein is as set forth in the claims below.

Claims (20)

What is claimed:
1. A method of forming a vertical transistor device, the method comprising:
forming bottom source/drain (S/D) regions;
forming a plurality of vertically oriented channel semiconductor structures above said bottom source/drain (S/D) regions;
forming a gate insulation layer above said vertically oriented channel semiconductor structures;
forming a conformal layer of conductive gate material above said gate insulation layer;
etching said conformal layer of conductive gate material to define conductive gate spacers on sidewalls of said vertically oriented channel semiconductor structures; and
forming top source/drain (S/D) regions above said vertically oriented channel semiconductor structures.
2. The method of claim 1, further comprising recessing said conductive gate spacers.
3. The method of claim 2, wherein said conductive gate spacers between a first pair of said vertically oriented channel semiconductor structures merge to define a merged conductive gate spacer, and the method further comprises recessing said conductive gate spacers and said merged conductive gate spacer.
4. The method of claim 1, wherein a mask layer is formed on upper surfaces of said vertically oriented channel semiconductor structures, and the method further comprises:
forming a dielectric layer above said vertically oriented channel semiconductor structures and said mask layer;
planarizing said dielectric layer to expose said mask layer;
removing said mask layer to define a plurality of cavities exposing said upper surfaces of said vertically oriented channel semiconductor structures; and
forming said top S/D regions on said upper surfaces of said vertically oriented channel semiconductor structures to at least partially fill said cavities.
5. The method of claim 1, wherein a mask layer is formed on upper surfaces of said vertically oriented channel semiconductor structures, and the method further comprises:
forming a liner layer above said vertically oriented channel semiconductor structures and said mask layer;
forming a dielectric layer above said liner layer;
planarizing said dielectric layer to expose portions of said liner layer;
removing said exposed portions of said liner layer and said mask layer to define a plurality of cavities exposing said upper surfaces of said vertically oriented channel semiconductor structures; and
forming said top S/D regions on said upper surfaces of said vertically oriented channel semiconductor structures to at least partially fill said cavities.
6. The method of claim 1, further comprising:
forming a first dielectric layer above said vertically oriented channel semiconductor structures;
forming a cavity in said first dielectric layer exposing a first conductive gate spacer associated with a first one of said vertically oriented channel semiconductor structures and a second conductive gate spacer associated with a second one of said vertically oriented channel semiconductor structures; and
forming a bridge contact in said cavity coupling said first and second conductive gate spacers.
7. The method of claim 6, wherein forming said bridge contact comprises:
filling said cavity with a first conductive material;
recessing said first conductive material; and
forming a second dielectric layer above said recessed first conductive material.
8. The method of claim 7, further comprising:
forming a third dielectric layer above said first and second dielectric layers;
forming first openings in said first and third dielectric layers exposing said top S/D regions;
forming a second opening in said second and third dielectric layers exposing said bridge contact;
forming source/drain (S/D) contacts in said first openings; and
forming a gate contact in said second opening.
9. The method of claim 8, wherein forming said S/D contacts and said gate contact further comprises concurrently forming a second conductive material in said first openings and said second opening.
10. The method of claim 1, wherein said conformal layer of conductive gate material comprises tungsten.
11. The method of claim 1, wherein forming said conformal layer of conductive gate material comprises performing an atomic layer deposition process.
12. A method of forming a vertical transistor device, the method comprising:
forming bottom source/drain (S/D) regions;
forming a plurality of vertically oriented channel semiconductor structures above said bottom source/drain (S/D) regions;
forming a gate insulation layer above said vertically oriented channel semiconductor structures;
forming a conformal layer of conductive gate material above said gate insulation layer, wherein said conformal layer of conductive gate material merges between at least two of said vertically oriented channel semiconductor structures;
etching said conformal layer of conductive gate material to define conductive gate spacers on sidewalls of said vertically oriented channel semiconductor structures;
recessing said conductive gate spacers; and
forming top source/drain (S/D) regions above said vertically oriented channel semiconductor structures.
13. The method of claim 12, wherein a mask layer is formed on upper surfaces of said vertically oriented channel semiconductor structures, and the method further comprises:
forming a dielectric layer above said vertically oriented channel semiconductor structures and said mask layer;
planarizing said dielectric layer to expose said mask layer;
removing said mask layer to define a plurality of cavities exposing said upper surfaces of said vertically oriented channel semiconductor structures; and
forming said top S/D regions on said upper surfaces of said vertically oriented channel semiconductor structures to at least partially fill said cavities.
14. The method of claim 12, wherein a mask layer is formed on upper surfaces of said vertically oriented channel semiconductor structures, and the method further comprises:
forming a liner layer above said vertically oriented channel semiconductor structures and said mask layer;
forming a dielectric layer above said liner layer;
planarizing said dielectric layer to expose portions of said liner layer;
removing said exposed portions of said liner layer and said mask layer to define a plurality of cavities exposing said upper surfaces of said vertically oriented channel semiconductor structures; and
forming said top S/D regions on said upper surfaces of said vertically oriented channel semiconductor structures to at least partially fill said cavities.
15. The method of claim 12, further comprising:
forming a first dielectric layer above said vertically oriented channel semiconductor structures;
forming a cavity in said first dielectric layer exposing a first conductive gate spacer associated with a first one of said vertically oriented channel semiconductor structures and a second conductive gate spacer associated with a second one of said vertically oriented channel semiconductor structures; and
forming a bridge contact in said cavity coupling said first and second conductive gate spacers.
16. The method of claim 15, wherein forming said bridge contact comprises:
filling said cavity with a first conductive material;
recessing said first conductive material; and
forming a second dielectric layer above said recessed first conductive material.
17. The method of claim 16, further comprising:
forming a third dielectric layer above said first and second dielectric layers;
forming first openings in said first and third dielectric layers exposing said top S/D regions;
forming a second opening in said second and third dielectric layers exposing said bridge contact; and
forming source/drain (S/D) contacts in said first openings; and
forming a gate contact in said second opening.
18. The method of claim 17, wherein forming said S/D contacts and said gate contact further comprises concurrently forming a second conductive material in said first openings and said second opening.
19. The method of claim 12, wherein said conformal layer of conductive gate material comprises tungsten.
20. The method of claim 12, wherein forming said conformal layer of conductive gate material comprises performing an atomic layer deposition process.
US15/345,644 2016-11-08 2016-11-08 Methods of forming gate electrodes on a vertical transistor device Active 2036-11-26 US9966456B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/345,644 US9966456B1 (en) 2016-11-08 2016-11-08 Methods of forming gate electrodes on a vertical transistor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/345,644 US9966456B1 (en) 2016-11-08 2016-11-08 Methods of forming gate electrodes on a vertical transistor device

Publications (2)

Publication Number Publication Date
US9966456B1 US9966456B1 (en) 2018-05-08
US20180130895A1 true US20180130895A1 (en) 2018-05-10

Family

ID=62045140

Family Applications (1)

Application Number Title Priority Date Filing Date
US15/345,644 Active 2036-11-26 US9966456B1 (en) 2016-11-08 2016-11-08 Methods of forming gate electrodes on a vertical transistor device

Country Status (1)

Country Link
US (1) US9966456B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180212040A1 (en) * 2017-01-26 2018-07-26 International Business Machines Corporation Approach to control over-etching of bottom spacers in vertical fin field effect transistor devices
US10332799B2 (en) * 2017-04-20 2019-06-25 International Business Machines Corporation Vertical silicon/silicon-germanium transistors with multiple threshold voltages
US20230086960A1 (en) * 2021-09-20 2023-03-23 International Business Machines Corporation Vertical fet replacement gate formation with variable fin pitch

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108695382B (en) 2017-04-07 2021-07-06 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method for manufacturing the same
EP3404707A1 (en) * 2017-05-15 2018-11-21 IMEC vzw Method for forming interconnected vertical channel devices and semiconductor structure
US10818674B2 (en) * 2019-03-07 2020-10-27 Globalfoundries Inc. Structures and SRAM bit cells integrating complementary field-effect transistors
US11195746B2 (en) * 2020-01-13 2021-12-07 International Business Machines Corporation Nanosheet transistor with self-aligned dielectric pillar
US11615992B2 (en) * 2020-01-15 2023-03-28 International Business Machines Corporation Substrate isolated VTFET devices
CN113363211B (en) * 2020-03-05 2023-10-20 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
CN113363321B (en) * 2020-03-05 2024-05-17 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
KR20220019178A (en) 2020-08-07 2022-02-16 삼성전자주식회사 Semiconductor device
US11949011B2 (en) * 2021-11-30 2024-04-02 International Business Machines Corporation Vertical transistor with gate encapsulation layers

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5342797A (en) 1988-10-03 1994-08-30 National Semiconductor Corporation Method for forming a vertical power MOSFET having doped oxide side wall spacers
US5208172A (en) 1992-03-02 1993-05-04 Motorola, Inc. Method for forming a raised vertical transistor
FR2810792B1 (en) 2000-06-22 2003-07-04 Commissariat Energie Atomique MIG VERTICAL BURST TRANSISTOR AND METHOD OF MANUFACTURING THE SAME
US6372559B1 (en) 2000-11-09 2002-04-16 International Business Machines Corporation Method for self-aligned vertical double-gate MOSFET
US6690040B2 (en) 2001-09-10 2004-02-10 Agere Systems Inc. Vertical replacement-gate junction field-effect transistor
US6759730B2 (en) 2001-09-18 2004-07-06 Agere Systems Inc. Bipolar junction transistor compatible with vertical replacement gate transistor
US20030052365A1 (en) 2001-09-18 2003-03-20 Samir Chaudhry Structure and fabrication method for capacitors integratible with vertical replacement gate transistors
US6686604B2 (en) 2001-09-21 2004-02-03 Agere Systems Inc. Multiple operating voltage vertical replacement-gate (VRG) transistor
US6709904B2 (en) 2001-09-28 2004-03-23 Agere Systems Inc. Vertical replacement-gate silicon-on-insulator transistor
US6773994B2 (en) 2001-12-26 2004-08-10 Agere Systems Inc. CMOS vertical replacement gate (VRG) transistors
US7241655B2 (en) 2004-08-30 2007-07-10 Micron Technology, Inc. Method of fabricating a vertical wrap-around-gate field-effect-transistor for high density, low voltage logic and memory array
TWI305669B (en) 2006-07-14 2009-01-21 Nanya Technology Corp Method for making a raised vertical channel transistor device
US7825460B2 (en) 2006-09-06 2010-11-02 International Business Machines Corporation Vertical field effect transistor arrays and methods for fabrication thereof
US7892956B2 (en) 2007-09-24 2011-02-22 International Business Machines Corporation Methods of manufacture of vertical nanowire FET devices
JP2009088134A (en) 2007-09-28 2009-04-23 Elpida Memory Inc Semiconductor device, method of manufacturing the same, and data processing system
KR101524823B1 (en) 2009-01-05 2015-06-01 삼성전자주식회사 3-dimensional semiconductor device
EP2378557B1 (en) 2010-04-19 2015-12-23 Imec Method of manufacturing a vertical TFET
CN103153477B (en) 2010-09-09 2016-04-27 威尔斯格尔文分形技术有限责任公司 Fractal orifice plate
BR112014031141B1 (en) 2012-06-11 2021-12-21 Brian E. Butters DECONTAMINATION SYSTEM TO REMOVE A CONTAMINANT FROM AN INLET FLUID INCLUDING THE CONTAMINANT AND A METHOD FOR SEPARATING AN INLET FLUID
US9224840B2 (en) 2012-07-10 2015-12-29 GlobalFoundries, Inc. Replacement gate FinFET structures with high mobility channel
EP2808897B1 (en) 2013-05-30 2021-06-30 IMEC vzw Tunnel field effect transistor and method for making thereof
US9236480B2 (en) 2013-10-02 2016-01-12 Globalfoundries Inc. Methods of forming finFET semiconductor devices using a replacement gate technique and the resulting devices
US9437711B2 (en) 2013-11-15 2016-09-06 Globalfoundries Inc. Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
US9177785B1 (en) 2014-05-30 2015-11-03 Taiwan Semiconductor Manufacturing Company Limited Thin oxide formation by wet chemical oxidation of semiconductor surface when the one component of the oxide is water soluble
US9406793B2 (en) 2014-07-03 2016-08-02 Broadcom Corporation Semiconductor device with a vertical channel formed through a plurality of semiconductor layers
US9646973B2 (en) 2015-03-27 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dual-port SRAM cell structure with vertical devices
US9385195B1 (en) 2015-03-31 2016-07-05 Stmicroelectronics, Inc. Vertical gate-all-around TFET
US9728551B1 (en) 2016-02-04 2017-08-08 Sandisk Technologies Llc Multi-tier replacement memory stack structure integration scheme
US9530863B1 (en) * 2016-04-13 2016-12-27 Globalfoundries Inc. Methods of forming vertical transistor devices with self-aligned replacement gate structures
US9660028B1 (en) 2016-10-31 2017-05-23 International Business Machines Corporation Stacked transistors with different channel widths

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180212040A1 (en) * 2017-01-26 2018-07-26 International Business Machines Corporation Approach to control over-etching of bottom spacers in vertical fin field effect transistor devices
US10283620B2 (en) 2017-01-26 2019-05-07 International Business Machines Corporation Approach to control over-etching of bottom spacers in vertical fin field effect transistor devices
US10580881B2 (en) * 2017-01-26 2020-03-03 International Business Machines Corporation Approach to control over-etching of bottom spacers in vertical fin field effect transistor devices
US11251285B2 (en) 2017-01-26 2022-02-15 International Business Machines Corporation Approach to control over-etching of bottom spacers in vertical fin field effect transistor devices
US10332799B2 (en) * 2017-04-20 2019-06-25 International Business Machines Corporation Vertical silicon/silicon-germanium transistors with multiple threshold voltages
US10541176B2 (en) 2017-04-20 2020-01-21 International Business Machines Corporation Vertical silicon/silicon-germanium transistors with multiple threshold voltages
US11011432B2 (en) 2017-04-20 2021-05-18 International Business Machines Corporation Vertical silicon/silicon-germanium transistors with multiple threshold voltages
US20230086960A1 (en) * 2021-09-20 2023-03-23 International Business Machines Corporation Vertical fet replacement gate formation with variable fin pitch

Also Published As

Publication number Publication date
US9966456B1 (en) 2018-05-08

Similar Documents

Publication Publication Date Title
US9966456B1 (en) Methods of forming gate electrodes on a vertical transistor device
US10784171B2 (en) Vertically stacked complementary-FET device with independent gate control
US9882025B1 (en) Methods of simultaneously forming bottom and top spacers on a vertical transistor device
US10217672B2 (en) Vertical transistor devices with different effective gate lengths
US10325812B2 (en) Graphene contacts on source/drain regions of FinFET devices
US10276659B2 (en) Air gap adjacent a bottom source/drain region of vertical transistor device
US9991352B1 (en) Methods of forming a nano-sheet transistor device with a thicker gate stack and the resulting device
US9219153B2 (en) Methods of forming gate structures for FinFET devices and the resulting semiconductor products
US9064932B1 (en) Methods of forming gate structures by a gate-cut-last process and the resulting structures
CN107845578B (en) Method of forming vertical transistor device
US9117908B2 (en) Methods of forming replacement gate structures for semiconductor devices and the resulting semiconductor products
US20170154977A1 (en) Tri-gate finfet device
US9530869B2 (en) Methods of forming embedded source/drain regions on finFET devices
US10366930B1 (en) Self-aligned gate cut isolation
US11676869B2 (en) Semiconductor device and manufacturing method thereof
US10242982B2 (en) Method for forming a protection device having an inner contact spacer and the resulting devices
US20150340497A1 (en) Methods of increasing silicide to epi contact areas and the resulting devices
US10229999B2 (en) Methods of forming upper source/drain regions on a vertical transistor device
US9711644B2 (en) Methods of making source/drain regions positioned inside U-shaped semiconductor material using source/drain placeholder structures
US10020395B2 (en) Semiconductor device with gate inside U-shaped channel and methods of making such a device
US10950692B2 (en) Methods of forming air gaps between source/drain contacts and the resulting devices
US9171922B1 (en) Combination finFET/ultra-thin body transistor structure and methods of making such structures
US10727133B2 (en) Method of forming gate structure with undercut region and resulting device
US10290738B2 (en) Methods of forming epi semiconductor material on a recessed fin in the source/drain regions of a FinFET device

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PARK, CHANRO;BENTLEY, STEVEN;KIM, HOON;AND OTHERS;SIGNING DATES FROM 20161026 TO 20161028;REEL/FRAME:040576/0238

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4