US20180076203A1 - Structure and Method for Semiconductor Device - Google Patents

Structure and Method for Semiconductor Device Download PDF

Info

Publication number
US20180076203A1
US20180076203A1 US15/816,386 US201715816386A US2018076203A1 US 20180076203 A1 US20180076203 A1 US 20180076203A1 US 201715816386 A US201715816386 A US 201715816386A US 2018076203 A1 US2018076203 A1 US 2018076203A1
Authority
US
United States
Prior art keywords
features
fins
semiconductor device
over
isolation structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US15/816,386
Other versions
US10727229B2 (en
Inventor
Yi-Jing Lee
Tsz-Mei Kwok
Ming-Hua Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US15/816,386 priority Critical patent/US10727229B2/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YU, MING-HUA, KWOK, TSZ-MEI, LEE, YI-JING
Publication of US20180076203A1 publication Critical patent/US20180076203A1/en
Priority to US16/669,595 priority patent/US11031398B2/en
Application granted granted Critical
Publication of US10727229B2 publication Critical patent/US10727229B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • MOSFETs metal-oxide-semiconductor field effect transistors
  • S/D strained source/drain
  • One approach of forming a MOSFET with strained S/D features grows epitaxial silicon (Si) to form raised S/D features for an n-type device, and grows epitaxial silicon germanium (SiGe) to form raised S/D features for a p-type device.
  • SiGe epitaxial silicon germanium
  • Various techniques directed at shapes, configurations, and materials of these S/D features have been implemented to further improve transistor device performance.
  • FIG. 1 illustrate a semiconductor device constructed according to various aspects of the present disclosure.
  • FIG. 2 shows a block diagram of a method of forming a semiconductor device, according to various aspects of the present disclosure.
  • FIG. 3 illustrates a perspective view of a semiconductor device in an intermediate step of fabrication according to an embodiment of the method of FIG. 2 .
  • FIGS. 4, 5A, 5B, 6, 7, 8, and 9 illustrate cross-sectional views of forming a target semiconductor device according to the method of FIG. 2 , in accordance with an embodiment.
  • FIGS. 10A, 10B, and 10C illustrate some configurations of S/D features formed with the method of FIG. 2 , in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • the present disclosure is generally related to semiconductor devices and methods of forming the same.
  • the present disclosure is related to forming raised S/D features in field effect transistors (FETs) including fin-like FETs (FinFETs).
  • FETs field effect transistors
  • FinFETs fin-like FETs
  • two or more raised S/D features merge into a larger S/D feature having a curvy (or non-flat) top surface.
  • the curvy top surface provides a greater surface area for S/D contact formation than a flat top surface provides.
  • the raised S/D features are surrounded by a dielectric layer (or film) at their respective bottom portions. The dielectric layer protects the raised S/D features from potential contamination by metal materials in replacement gate processes.
  • FIG. 1 shows a semiconductor device 100 constructed according to various aspects of the present disclosure.
  • the semiconductor device 100 may be an intermediate device fabricated during processing of an IC, or a portion thereof, that may comprise static random access memory (SRAM) and/or logic circuits, passive components such as resistors, capacitors, and inductors, and active components such as PFETs, NFETs, FinFETs, MOSFET, CMOS transistors, bipolar transistors, high voltage transistors, high frequency transistors, other memory cells, and combinations thereof.
  • SRAM static random access memory
  • the semiconductor device 100 includes various device regions. Particularly, it includes a P-type device region 101 P and an N-type device region 101 N.
  • the device region 101 P is properly configured for forming PFETs
  • the device region 101 N is properly configured for forming NFETs.
  • the various device regions are formed in, and on, a common substrate 102 .
  • An isolation structure 104 is disposed over the substrate 102 .
  • Various fins extend from the substrate 102 and through the isolation structure 104 .
  • the various fins include two P-type fins 106 p for forming PFETs and two N-type fins 106 n for forming NFETs.
  • each of the fins 106 p and 106 n includes a channel region and two S/D regions sandwiching the channel region.
  • FIG. 1 shows a sectional view of the device 100 cut across the S/D regions.
  • the semiconductor device 100 further includes raised S/D features 116 and 122 over the S/D regions of the fins 106 p and 106 n respectively.
  • the S/D features 116 include p-type doped silicon germanium
  • the S/D features 122 include n-type doped silicon.
  • Each of the S/D features 116 includes an upper portion 116 U and a lower portion 116 L.
  • Each of the S/D features 122 includes an upper portion 122 U and a lower portion 122 L.
  • the lower portions 116 L and 122 L are partially in, and partially above, the isolation structure 104 .
  • the upper portions 116 U and 122 U have larger areas than the respective lower portions 116 L and 122 L from a top view for providing reduced S/D contact resistance.
  • the upper portions 116 U are separate from each other in this embodiment.
  • the upper portions 122 U merge into a large S/D feature 123 having a curvy top surface 124 .
  • the curvy top surface 124 has a dip near its center in this cross-sectional view.
  • the curvy top surface 124 provides a large contact area for further reducing S/D contact resistance when an S/D contact is conformally deposited over the S/D feature 123 .
  • the semiconductor device 100 further includes a dielectric layer 110 disposed over the isolation structure 104 and adjacent to the S/D regions of the fins 106 p and 106 n .
  • the dielectric layer 110 surrounds the lower S/D portions 116 L and 122 L.
  • the semiconductor device 100 undergoes a replacement gate process after the formation of the S/D features 116 and 122 .
  • the replacement gate process may cause metal materials to leak into the space under the merged S/D feature 123 .
  • the dielectric layer 110 protects the S/D features 122 from being contaminated by the metal materials.
  • the height of the dielectric layer 110 may be used in tuning the height and size of the S/D features 116 and 122 in the fabrication process.
  • the dielectric layer 110 comprises a nitride such as silicon nitride, silicon oxynitride, or silicon carbon nitride.
  • FIG. 2 shows a block diagram of a method 200 of forming an embodiment of the semiconductor device 100 , according to various aspects of the present disclosure.
  • the method 200 is an example, and is not intended to limit the present disclosure beyond what is explicitly recited in the claims. Additional operations can be provided before, during, and after the method 200 , and some operations described can be replaced, eliminated, or moved around for additional embodiments of the method.
  • the method 200 is described below in conjunction with FIGS. 3-9 which are perspective and cross-sectional views of the semiconductor device 100 , in accordance with some embodiments.
  • the method 200 receives a precursor of the semiconductor device 100 ( FIG. 3 ).
  • the precursor of the semiconductor device 100 is also referred to as the semiconductor device 100 , or simply, the device 100 .
  • the device 100 includes the substrate 102 with various structures formed therein and thereon.
  • the substrate 102 is a silicon substrate in the present embodiment.
  • the substrate 102 may comprise another elementary semiconductor, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof.
  • the substrate 102 includes a semiconductor-on-insulator (SOI) such as a buried dielectric layer.
  • SOI semiconductor-on-insulator
  • the substrate 102 includes active regions such as p-wells and n-wells for forming active devices.
  • the two fins (or protrusions) 106 p extend from the substrate 102 in the P-type device region 101 P, and the two fins 106 n extend from the substrate 102 in the N-type device region 101 N.
  • the fins 106 p and 106 n are suitable for forming P-type and N-type FinFETs respectively.
  • each of the fins 106 p and 106 n is an elongated protrusion and is oriented lengthwise in the “y” direction.
  • the two fins 106 p are disposed side by side, and the two fins 106 n are disposed side by side.
  • the four fins 106 p and 106 n are isolated from each other by the isolation structure 104 that is disposed over the substrate 102 .
  • the fins 106 p and 106 n may be fabricated using suitable processes including photolithography and etch processes.
  • the photolithography process may include forming a photoresist layer (resist) overlying the substrate 102 , exposing the resist to a pattern, performing post-exposure bake processes, and developing the resist to form a masking element including the resist.
  • the masking element is then used for etching recesses into the substrate 102 , leaving the fins 106 p and 106 n on the substrate 102 .
  • the etching process can include dry etching, wet etching, reactive ion etching (RIE), and/or other suitable processes.
  • a dry etching process may implement an oxygen-containing gas, a fluorine-containing gas (e.g., CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6 ), a chlorine-containing gas (e.g., Cl 2 , CHCl 3 , CCl 4 , and/or BCl 3 ), a bromine-containing gas (e.g., HBr and/or CHBR 3 ), an iodine-containing gas, other suitable gases and/or plasmas, and/or combinations thereof.
  • a fluorine-containing gas e.g., CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6
  • a chlorine-containing gas e.g., Cl 2 , CHCl 3 , CCl 4 , and/or BCl 3
  • a bromine-containing gas e.g., HBr and/or CHBR 3
  • a wet etching process may comprise etching in diluted hydrofluoric acid (DHF); potassium hydroxide (KOH) solution; ammonia; a solution containing hydrofluoric acid (HF), nitric acid (HNO 3 ), and/or acetic acid (CH 3 COOH); or other suitable wet etchant.
  • DHF diluted hydrofluoric acid
  • KOH potassium hydroxide
  • ammonia a solution containing hydrofluoric acid (HF), nitric acid (HNO 3 ), and/or acetic acid (CH 3 COOH); or other suitable wet etchant.
  • the fins 106 p and 106 n may include epitaxial semiconductor layers.
  • the isolation structure 104 may be formed of silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable insulating material.
  • the isolation structure 104 is formed by etching trenches in the substrate 102 (e.g., as part of the fin formation process discussed above), filling the trenches with an isolating material, performing a chemical mechanical planarization (CMP) process, and recessing the isolating material to expose the fins 106 p and 106 n .
  • CMP chemical mechanical planarization
  • Other isolation structure such as field oxide, LOCal Oxidation of Silicon (LOCOS), and/or other suitable structures are possible.
  • the isolation structure 104 may include a multi-layer structure, for example, having one or more thermal oxide liner layers.
  • the device 100 further includes two gate stacks 108 p and 108 n disposed over the isolation structure 104 .
  • the gate stack 108 p engages the fins 106 p in the channel regions thereof and across the width thereof (along the “x” direction). As a result, the two S/D regions of the fins 106 p are disposed on opposite sides of the gate stack 108 p .
  • the gate stack 108 n engages the fins 106 n in channel regions thereof.
  • the gate stacks 108 p and 108 n may each include a gate dielectric layer, a gate electrode layer, and one or more additional layers.
  • the gate stacks 108 p and 108 n are sacrificial gate structures (or dummy gates), i.e., placeholder for final gate stacks.
  • FIG. 4 shows a cross-sectional view of the device 100 , taken along the “ 1 - 1 ” and “ 2 - 2 ” lines of FIG. 3 .
  • the “ 1 - 1 ” and “ 2 - 2 ” lines cut across one of the S/D regions of the fins 106 p and 106 n , respectively, in the “x-z” plane.
  • each of the fins 106 p and 106 n has a cross-sectional profile tapered from its bottom portion (on the substrate 102 ) towards its top portion (away from the substrate 102 ).
  • FIGS. 5A, 6, 7, 8 , and 9 illustrate the device 100 in the same cross-sectional view as FIG. 4 .
  • the method 200 forms the dielectric layer 110 on sidewalls of the fins 106 p and 106 n in the respective S/D regions.
  • the dielectric layer 110 may comprise a single layer or multilayer structure, and may comprise a dielectric material such as silicon nitride (SiN) or silicon oxynitride.
  • the dielectric layer 110 may be formed by chemical vapor deposition (CVD), plasma-enhanced CVD (PECVD), atomic layer deposition (ALD), thermal deposition, or other suitable methods.
  • the dielectric layer 110 is also disposed on sidewalls of the gate stacks 108 p and 108 n , as shown in FIG.
  • operation 204 includes a deposition process followed by an etching process. For example, it deposits a dielectric material over the device 100 as a blanket layer, covering the isolation structure 104 , the fins 106 p and 106 n , and the gate stacks 108 p and 108 n .
  • the isolation structure 104 performs an anisotropic etching process to remove portions of the dielectric material from top surfaces of the isolation structure 104 , the fins 106 p and 106 n , and the gate stacks 108 p and 108 n , leaving remaining portion of the dielectric material on sidewalls of the fins 106 and 106 n and the gate stacks 108 p and 108 n as the dielectric layer 110 .
  • the method 200 selectively etches the S/D regions of the fins 106 p to form trenches (or recesses) 114 therein.
  • the fins 106 p are etched while the device region 101 N is covered by a masking element 112 .
  • the masking element 112 may be formed by one or more photolithography process and etching process.
  • the fins 106 p may be etched by a dry etching process, a wet etching process, or other etching techniques. The etching process is selectively tuned to remove the materials of the fins 106 p while the gate stack 108 p , the dielectric layer 110 , and the isolation structure 104 remain substantially unchanged.
  • the S/D regions of the fins 106 p are recessed to a level below the top surface of the isolation structure 104 .
  • the channel regions of the fins 106 p covered by the gate 108 p ( FIG. 3 ), are not etched by operation 206 .
  • Operation 206 forms four trenches 114 with two on each side of the gate stack 108 p .
  • Each trench 114 has a tapered cross-sectional profile (in the “x-z” plane) with a wider opening at its bottom than at its top.
  • each trench 114 has a rectangular shape from a top view (in the “x-y” plane).
  • a cleaning process may be performed that cleans the trenches 114 with a hydrofluoric acid (HF) solution, a diluted HF solution, or other suitable cleaning solutions.
  • HF hydrofluoric acid
  • the method 200 grows four P-type doped S/D features 116 in the four trenches 114 , with one in each trench.
  • the S/D feature 116 includes a lower portion 116 L and an upper portion 116 U over the lower portion 116 L.
  • the lower portion 116 L fills the trench 114 and thereby conforms to the shape of the trench 114 ( FIG. 6 ).
  • the upper portion 116 U is above the dielectric layer 110 , and expands laterally and upwardly.
  • the upper portion 116 U has a generally diamond shape in the “x-z” plane.
  • the four S/D features 116 U do not merge (i.e., they are separate from each other).
  • the S/D features 116 include silicon germanium (SiGe) formed by one or more epitaxial growth processes.
  • the epitaxial growth process may be a low pressure chemical vapor deposition (LPCVD) process or a selective epitaxy growth (SEG) process.
  • the one or more epitaxial growth processes may in-situ dope the grown SiGe with a P-type dopant such as boron or indium for forming doped SiGe features for P-type devices.
  • the method 200 selectively etches the S/D regions of the fins 106 n to form trenches (or recesses) 118 therein.
  • the masking element 112 is removed from the device region 101 N.
  • Another masking element 120 is formed over the device region 101 P, covering various features thereon.
  • the fins 106 n are etched using an etching process selectively tuned to remove the materials of the fins 106 n while the gate stack 108 n ( FIG. 3 ), the dielectric layer 110 , and the isolation structure 104 remain substantially unchanged.
  • the S/D regions of the fins 106 n are recessed to a level below the top surface of the isolation structure 104 .
  • the channel regions of the fins 106 n , covered by the gate stack 108 n ( FIG. 3 ), are not etched by operation 210 .
  • the etching process may be a dry etching process, a wet etching process, or other etching techniques.
  • Operation 210 forms four trenches 118 with two on each side of the gate stack 108 n .
  • Each trench 118 has a tapered cross-sectional profile (in the “x-z” plane) with a wider opening at its bottom than at its top.
  • each trench 118 has a rectangular shape from a top view (in the “x-y” plane).
  • a cleaning process may be performed that cleans the trenches 118 with a hydrofluoric acid (HF) solution, a diluted HF solution, or other suitable cleaning solutions.
  • HF hydrofluoric acid
  • each of the S/D features 122 includes a lower portion 122 L and an upper portion 122 U over the lower portion 122 L.
  • the lower portion 122 L fills the trench 118 and thereby conforms to the shape of the trench 118 ( FIG. 8 ).
  • the upper portion 122 U is above the dielectric layer 110 and expands laterally and upwardly.
  • the upper portion 122 U has a generally diamond shape in the “x-z” plane.
  • the merging of the S/D features 122 may be controlled by the spacing of the trenches 118 ( FIG. 8 ), the height of the dielectric layer 110 , the crystalline facets of the S/D features 122 , and the growth rate and growth time for the S/D features 122 .
  • the merging of the S/D features 122 is desired because it provides a larger surface area for S/D contact formation, thereby reducing S/D contact resistance.
  • the growth time for the S/D features 122 is controlled such that the merged S/D feature 123 is provided with a curvy top surface 124 .
  • the merged S/D feature 123 might be provided with a flat top surface.
  • the curvy top surface 124 provides a larger surface area for S/D contact formation than that would be provided by a flat top surface.
  • FIGS. 10A, 10B, and 10C illustrate some embodiments of the merged S/D feature 123 .
  • the curvy top surface 124 includes a dip at a center of the merged S/D feature 123 .
  • the center of the merged S/D feature 123 is a center line oriented along the “y” direction, parallel to the ridges of the diamond-shaped S/D features 122 U.
  • the curvy top surface 124 includes a dip proximate the center of the two upper portions 122 U which may be of a regular or irregular shape.
  • the depth of the dip, “D,” is in a range from 5 nanometer (nm) to 20 nm
  • the width of the dip, “W,” is in a range from 10 nm to 50 nm.
  • the dimension of the dip (D and W) may be controlled during the epitaxial growth process.
  • the S/D features 122 include silicon formed by one or more epitaxial growth processes.
  • the epitaxial growth process may be a low pressure chemical vapor deposition (LPCVD) process or a selective epitaxy growth (SEG) process.
  • the one or more epitaxial growth processes may in-situ dope the grown silicon with an N-type dopant such as phosphorus, or arsenic, or combinations thereof for forming doped silicon features for N-type devices.
  • the method 200 proceeds to other steps to complete the fabrication of the device 100 .
  • the method 200 forms S/D contacts (or plugs) over the S/D features 116 and 123 using various etching and deposition processes.
  • the method 200 removes the masking element 120 ( FIG. 9 ) using an etching process or a striping process. It then deposits an etch stop layer covering the gate stacks 108 p and 108 n , the S/D features 116 and 122 , and the isolation structure 104 .
  • the etch stop layer may comprise silicon nitride in an embodiment, and may be deposited using ALD, CVD, or other suitable methods.
  • the method 200 then deposits an inter-layer dielectric (ILD) layer over the etch stop layer, using PECVD, flowable CVD, or other suitable methods.
  • the ILD layer may include materials such as tetraethylorthosilicate oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass, fused silica glass, phosphosilicate glass, boron doped silicon glass, and/or other suitable dielectric materials.
  • the method 200 may then proceed to etching contact holes through the ILD layer and the etch stop layer to expose top surfaces of the S/D features 116 and 123 .
  • the method 200 then forms S/D contacts in the contact holes.
  • the S/D contacts may comprise tungsten (W), cobalt (Co), copper (Cu), or any other elemental metals, metal nitrides, or combinations thereof, and may be formed by CVD, PVD, plating, and/or other suitable processes.
  • the merged S/D features 123 advantageously provide large surface areas for the S/D contacts due to the curvy top surface 124 .
  • the method 200 may form silicidation or germanosilicidation features between the S/D contacts and the S/D features 116 and 123 .
  • the method 200 further performs a replacement gate process that replace the gate stacks 108 p and 108 n with final gate stacks respectively.
  • the replacement gate process may include etching and removing the gate stacks 108 p and 108 n , and depositing layers of a metal gate that engage the channel regions of the fins 106 p and 106 n .
  • the metal gate includes an interfacial layer, a gate dielectric layer, a work function metal layer, and a metal fill layer.
  • the interfacial layer may include a dielectric material such as silicon oxide (SiO 2 ) or silicon oxynitride (SiON), and may be formed by chemical oxidation, thermal oxidation, ALD, CVD, and/or other suitable techniques.
  • the gate dielectric layer may include a high-k dielectric layer such as hafnium oxide (HfO 2 ), zirconium oxide (ZrO 2 ), lanthanum oxide (La 2 O 3 ), titanium oxide (TiO 2 ), yttrium oxide (Y 2 O 3 ), strontium titanate (SrTiO 3 ), other suitable metal-oxides, or combinations thereof.
  • the gate dielectric layer may be formed by ALD and/or other suitable methods.
  • the work function metal layer may be a p-type or an n-type work function layer.
  • the p-type work function layer may comprise titanium nitride (TiN), tantalum nitride (TaN), ruthenium (Ru), molybdenum (Mo), tungsten (W), platinum (Pt), or combinations thereof.
  • the n-type work function layer may comprise titanium (Ti), aluminum (Al), tantalum carbide (TaC), tantalum carbide nitride (TaCN), tantalum silicon nitride (TaSiN), or combinations thereof.
  • the work function metal layer may include a plurality of layers and may be deposited by CVD, PVD, and/or other suitable process.
  • the metal fill layer may include aluminum (Al), tungsten (W), cobalt (Co), copper (Cu), and/or other suitable materials.
  • the metal fill layer may be formed by CVD, PVD, plating, and/or other suitable processes.
  • the dielectric layer 110 at the foot of the gate stacks 108 p and 108 n might be over-etched, causing metal materials of the final gate stacks to leak into the S/D regions.
  • the dielectric layer 110 on sidewalls of the S/D features 116 and 122 protect the respective S/D features from being contaminated by the leaked metal materials.
  • one or more embodiments of the present disclosure provide many benefits to a semiconductor device and the formation thereof.
  • epitaxial features can be selectively grown in P-type and/or N-type device regions and be selectively merged into a larger S/D epitaxial feature having a curvy top surface.
  • the curvy top surface provides a larger area for S/D contact formation, thereby reducing S/D contact resistance.
  • the epitaxial features are surrounded by a dielectric layer at their bottom portions. The dielectric layer protects the epitaxial features from potential contamination due to metal extrusion.
  • embodiments of the present disclosure can be integrated into existing fabrication flow.
  • the present disclosure is directed to a method of forming a semiconductor device.
  • the method includes providing a precursor.
  • the precursor includes a substrate; an isolation structure over the substrate; and two fins extending from the substrate and through the isolation structure.
  • the two fins are disposed side-by-side.
  • Each of the two fins has a channel region and two source/drain (S/D) regions sandwiching the channel region.
  • the precursor further includes a gate stack over the isolation structure and engaging the channel regions of the two fins.
  • the method further includes forming a dielectric layer on sidewalls of the S/D regions of the two fins; etching the S/D regions of the two fins, thereby forming four trenches; and growing four S/D features in the four trenches respectively.
  • Each of the four S/D features includes a lower portion and an upper portion over the lower portion.
  • the lower portions of the four S/D features are surrounded at least partially by the dielectric layer.
  • the upper portions of the four S/D features merge into two merged S/D features with one on each side of the gate stack.
  • Each of the two merged S/D features has a curvy top surface.
  • the present disclosure is directed to method of forming a semiconductor device.
  • the method includes providing a precursor.
  • the precursor includes a substrate; an isolation structure over the substrate; two first fins in a P-type region of the semiconductor device; and two second fins in an N-type region of the semiconductor device.
  • the two first fins and the two second fins extend from the substrate and through the isolation structure.
  • the two first fins are disposed side-by-side, the two second fins are disposed side-by-side, and each of the two first fins and the two second fins has a channel region and two source/drain (S/D) regions sandwiching the channel region.
  • S/D source/drain
  • the precursor further includes first and second gate stacks over the isolation structure, wherein the first gate stack engages the channel regions of the two first fins, and the second gate stack engages the channel regions of the two second fins.
  • the method further includes forming a dielectric layer on sidewalls of the first and second gate stacks and on sidewalls of the S/D regions of the two first fins and the two second fins.
  • the method further includes etching the S/D regions of the two first fins to form four first trenches, and growing four first S/D features in the four first trenches respectively.
  • the method further includes etching the S/D regions of the two second fins to form four second trenches, and growing four second S/D features in the four second trenches respectively.
  • Each of the four first S/D features and the four second S/D features includes a lower portion and an upper portion over the lower portion.
  • the lower portions of the four first S/D features and the four second S/D features are surrounded at least partially by the dielectric layer.
  • the upper portions of the four second S/D features merge into two merged second S/D features with one on each side of the second gate stack.
  • Each of the two merged second S/D features has a curvy top surface.
  • the present disclosure is directed to a semiconductor device.
  • the semiconductor device comprises a substrate; an isolation structure over the substrate; two first fins in a P-type region of the semiconductor device; and two second fins in an N-type region of the semiconductor device.
  • the two first fins and the two second fins extend from the substrate and through the isolation structure.
  • the two first fins are disposed side-by-side, the two second fins are disposed side-by-side, and each of the two first fins and the two second fins has a channel region and two source/drain (S/D) regions sandwiching the channel region.
  • the semiconductor device further comprises first and second gate stacks over the isolation structure. The first gate stack engages the channel regions of the two first fins.
  • the second gate stack engages the channel regions of the two second fins.
  • the semiconductor device further comprises a dielectric layer disposed over the isolation structure and adjacent to the S/D regions of the two first fins and the two second fins.
  • the semiconductor device further comprises four first S/D features over the S/D regions of the two first fins; and four second S/D features over the S/D regions of the two second fins.
  • Each of the four first S/D features and the four second S/D features includes a lower portion and an upper portion over the lower portion.
  • the lower portions of the four first S/D features and the four second S/D features are surrounded at least partially by the dielectric layer.
  • the upper portions of the four second S/D features merge into two merged second S/D features with one on each side of the second gate stack.
  • Each of the two merged second S/D features has a curvy top surface.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

A semiconductor device includes a substrate; an isolation structure over the substrate; and two fins in a first region of the semiconductor device extending from the substrate and through the isolation structure. Each of the two fins has a channel region and two source/drain (S/D) regions sandwiching the channel region. The semiconductor device further includes a gate stack over the isolation structure and engaging the channel regions of the two fins; and four S/D features over the S/D regions of the two fins. Each of the four S/D features includes a lower portion and an upper portion over the lower portion. Each of the lower portions of the four S/D features has a cross-sectional profile that is wider at its bottom than at its top. The upper portions of the four S/D features merge into two merged S/D features with one on each side of the gate stack.

Description

    PRIORITY
  • This is a divisional of U.S. patent application Ser. No. 15/051,072, filed Feb. 23, 2016, herein incorporated by reference in its entirety.
  • BACKGROUND
  • The semiconductor integrated circuit (IC) industry has experienced exponential growth. Technological advances in IC materials and design have produced generations of ICs where each generation has smaller and more complex circuits than the previous generation. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of processing and manufacturing ICs.
  • For example, as semiconductor devices, such as metal-oxide-semiconductor field effect transistors (MOSFETs), are scaled down through various technology nodes, strained source/drain (S/D) features have been implemented to enhance carrier mobility and improve device performance. One approach of forming a MOSFET with strained S/D features grows epitaxial silicon (Si) to form raised S/D features for an n-type device, and grows epitaxial silicon germanium (SiGe) to form raised S/D features for a p-type device. Various techniques directed at shapes, configurations, and materials of these S/D features have been implemented to further improve transistor device performance. Although existing approaches have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure is best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features are not drawn to scale and are used for illustration purposes only. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 illustrate a semiconductor device constructed according to various aspects of the present disclosure.
  • FIG. 2 shows a block diagram of a method of forming a semiconductor device, according to various aspects of the present disclosure.
  • FIG. 3 illustrates a perspective view of a semiconductor device in an intermediate step of fabrication according to an embodiment of the method of FIG. 2.
  • FIGS. 4, 5A, 5B, 6, 7, 8, and 9 illustrate cross-sectional views of forming a target semiconductor device according to the method of FIG. 2, in accordance with an embodiment.
  • FIGS. 10A, 10B, and 10C illustrate some configurations of S/D features formed with the method of FIG. 2, in accordance with some embodiments.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the provided subject matter. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • The present disclosure is generally related to semiconductor devices and methods of forming the same. In particular, the present disclosure is related to forming raised S/D features in field effect transistors (FETs) including fin-like FETs (FinFETs). In one aspect of the present disclosure, two or more raised S/D features merge into a larger S/D feature having a curvy (or non-flat) top surface. The curvy top surface provides a greater surface area for S/D contact formation than a flat top surface provides. Furthermore, the raised S/D features are surrounded by a dielectric layer (or film) at their respective bottom portions. The dielectric layer protects the raised S/D features from potential contamination by metal materials in replacement gate processes.
  • FIG. 1 shows a semiconductor device 100 constructed according to various aspects of the present disclosure. The semiconductor device 100 may be an intermediate device fabricated during processing of an IC, or a portion thereof, that may comprise static random access memory (SRAM) and/or logic circuits, passive components such as resistors, capacitors, and inductors, and active components such as PFETs, NFETs, FinFETs, MOSFET, CMOS transistors, bipolar transistors, high voltage transistors, high frequency transistors, other memory cells, and combinations thereof.
  • Referring to FIG. 1, the semiconductor device 100 includes various device regions. Particularly, it includes a P-type device region 101P and an N-type device region 101N. The device region 101P is properly configured for forming PFETs, and the device region 101N is properly configured for forming NFETs. The various device regions are formed in, and on, a common substrate 102. An isolation structure 104 is disposed over the substrate 102. Various fins extend from the substrate 102 and through the isolation structure 104. The various fins include two P-type fins 106 p for forming PFETs and two N-type fins 106 n for forming NFETs. Although not shown in FIG. 1, each of the fins 106 p and 106 n includes a channel region and two S/D regions sandwiching the channel region. FIG. 1 shows a sectional view of the device 100 cut across the S/D regions.
  • Still referring to FIG. 1, the semiconductor device 100 further includes raised S/D features 116 and 122 over the S/D regions of the fins 106 p and 106 n respectively. In an embodiment, the S/D features 116 include p-type doped silicon germanium, and the S/D features 122 include n-type doped silicon. Each of the S/D features 116 includes an upper portion 116U and a lower portion 116L. Each of the S/D features 122 includes an upper portion 122U and a lower portion 122L. In this embodiment, the lower portions 116L and 122L are partially in, and partially above, the isolation structure 104. The upper portions 116U and 122U have larger areas than the respective lower portions 116L and 122L from a top view for providing reduced S/D contact resistance. The upper portions 116U are separate from each other in this embodiment. The upper portions 122U merge into a large S/D feature 123 having a curvy top surface 124. The curvy top surface 124 has a dip near its center in this cross-sectional view. The curvy top surface 124 provides a large contact area for further reducing S/D contact resistance when an S/D contact is conformally deposited over the S/D feature 123.
  • Still referring to FIG. 1, the semiconductor device 100 further includes a dielectric layer 110 disposed over the isolation structure 104 and adjacent to the S/D regions of the fins 106 p and 106 n. The dielectric layer 110 surrounds the lower S/ D portions 116L and 122L. In an embodiment, the semiconductor device 100 undergoes a replacement gate process after the formation of the S/D features 116 and 122. The replacement gate process may cause metal materials to leak into the space under the merged S/D feature 123. In such a case, the dielectric layer 110 protects the S/D features 122 from being contaminated by the metal materials. Furthermore, the height of the dielectric layer 110 may be used in tuning the height and size of the S/D features 116 and 122 in the fabrication process. In an embodiment, the dielectric layer 110 comprises a nitride such as silicon nitride, silicon oxynitride, or silicon carbon nitride.
  • FIG. 2 shows a block diagram of a method 200 of forming an embodiment of the semiconductor device 100, according to various aspects of the present disclosure. The method 200 is an example, and is not intended to limit the present disclosure beyond what is explicitly recited in the claims. Additional operations can be provided before, during, and after the method 200, and some operations described can be replaced, eliminated, or moved around for additional embodiments of the method. The method 200 is described below in conjunction with FIGS. 3-9 which are perspective and cross-sectional views of the semiconductor device 100, in accordance with some embodiments.
  • At operation 202, the method 200 (FIG. 2) receives a precursor of the semiconductor device 100 (FIG. 3). For the convenience of discussion, the precursor of the semiconductor device 100 is also referred to as the semiconductor device 100, or simply, the device 100. Referring to FIG. 3, the device 100 includes the substrate 102 with various structures formed therein and thereon. The substrate 102 is a silicon substrate in the present embodiment. Alternatively, the substrate 102 may comprise another elementary semiconductor, such as germanium; a compound semiconductor including silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; an alloy semiconductor including SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP; or combinations thereof. In yet another alternative, the substrate 102 includes a semiconductor-on-insulator (SOI) such as a buried dielectric layer. The substrate 102 includes active regions such as p-wells and n-wells for forming active devices.
  • Still referring to FIG. 3, the two fins (or protrusions) 106 p extend from the substrate 102 in the P-type device region 101P, and the two fins 106 n extend from the substrate 102 in the N-type device region 101N. The fins 106 p and 106 n are suitable for forming P-type and N-type FinFETs respectively. In the embodiment shown, each of the fins 106 p and 106 n is an elongated protrusion and is oriented lengthwise in the “y” direction. The two fins 106 p are disposed side by side, and the two fins 106 n are disposed side by side. The four fins 106 p and 106 n are isolated from each other by the isolation structure 104 that is disposed over the substrate 102.
  • The fins 106 p and 106 n may be fabricated using suitable processes including photolithography and etch processes. The photolithography process may include forming a photoresist layer (resist) overlying the substrate 102, exposing the resist to a pattern, performing post-exposure bake processes, and developing the resist to form a masking element including the resist. The masking element is then used for etching recesses into the substrate 102, leaving the fins 106 p and 106 n on the substrate 102. The etching process can include dry etching, wet etching, reactive ion etching (RIE), and/or other suitable processes. For example, a dry etching process may implement an oxygen-containing gas, a fluorine-containing gas (e.g., CF4, SF6, CH2F2, CHF3, and/or C2F6), a chlorine-containing gas (e.g., Cl2, CHCl3, CCl4, and/or BCl3), a bromine-containing gas (e.g., HBr and/or CHBR3), an iodine-containing gas, other suitable gases and/or plasmas, and/or combinations thereof. For example, a wet etching process may comprise etching in diluted hydrofluoric acid (DHF); potassium hydroxide (KOH) solution; ammonia; a solution containing hydrofluoric acid (HF), nitric acid (HNO3), and/or acetic acid (CH3COOH); or other suitable wet etchant. In an embodiment, the fins 106 p and 106 n may include epitaxial semiconductor layers.
  • The isolation structure 104 may be formed of silicon oxide, silicon nitride, silicon oxynitride, fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable insulating material. In an embodiment, the isolation structure 104 is formed by etching trenches in the substrate 102 (e.g., as part of the fin formation process discussed above), filling the trenches with an isolating material, performing a chemical mechanical planarization (CMP) process, and recessing the isolating material to expose the fins 106 p and 106 n. Other isolation structure such as field oxide, LOCal Oxidation of Silicon (LOCOS), and/or other suitable structures are possible. The isolation structure 104 may include a multi-layer structure, for example, having one or more thermal oxide liner layers.
  • Still referring to FIG. 3, the device 100 further includes two gate stacks 108 p and 108 n disposed over the isolation structure 104. The gate stack 108 p engages the fins 106 p in the channel regions thereof and across the width thereof (along the “x” direction). As a result, the two S/D regions of the fins 106 p are disposed on opposite sides of the gate stack 108 p. Similarly, the gate stack 108 n engages the fins 106 n in channel regions thereof. The gate stacks 108 p and 108 n may each include a gate dielectric layer, a gate electrode layer, and one or more additional layers. In an embodiment, the gate stacks 108 p and 108 n are sacrificial gate structures (or dummy gates), i.e., placeholder for final gate stacks.
  • FIG. 4 shows a cross-sectional view of the device 100, taken along the “1-1” and “2-2” lines of FIG. 3. Specifically, the “1-1” and “2-2” lines cut across one of the S/D regions of the fins 106 p and 106 n, respectively, in the “x-z” plane. Referring to FIG. 4, in the embodiment shown, each of the fins 106 p and 106 n has a cross-sectional profile tapered from its bottom portion (on the substrate 102) towards its top portion (away from the substrate 102). In the following discussion, FIGS. 5A, 6, 7, 8, and 9 illustrate the device 100 in the same cross-sectional view as FIG. 4.
  • At operation 204, the method 200 (FIG. 2) forms the dielectric layer 110 on sidewalls of the fins 106 p and 106 n in the respective S/D regions. Referring to FIG. 5A, the dielectric layer 110 may comprise a single layer or multilayer structure, and may comprise a dielectric material such as silicon nitride (SiN) or silicon oxynitride. The dielectric layer 110 may be formed by chemical vapor deposition (CVD), plasma-enhanced CVD (PECVD), atomic layer deposition (ALD), thermal deposition, or other suitable methods. In the present embodiment, the dielectric layer 110 is also disposed on sidewalls of the gate stacks 108 p and 108 n, as shown in FIG. 5B which shows a cross-sectional view of the device 100 taken along the “3-3” line of FIG. 3. In an embodiment, operation 204 includes a deposition process followed by an etching process. For example, it deposits a dielectric material over the device 100 as a blanket layer, covering the isolation structure 104, the fins 106 p and 106 n, and the gate stacks 108 p and 108 n. Then, it performs an anisotropic etching process to remove portions of the dielectric material from top surfaces of the isolation structure 104, the fins 106 p and 106 n, and the gate stacks 108 p and 108 n, leaving remaining portion of the dielectric material on sidewalls of the fins 106 and 106 n and the gate stacks 108 p and 108 n as the dielectric layer 110.
  • At operation 206, the method 200 (FIG. 2) selectively etches the S/D regions of the fins 106 p to form trenches (or recesses) 114 therein. Referring to FIG. 6, the fins 106 p are etched while the device region 101N is covered by a masking element 112. The masking element 112 may be formed by one or more photolithography process and etching process. The fins 106 p may be etched by a dry etching process, a wet etching process, or other etching techniques. The etching process is selectively tuned to remove the materials of the fins 106 p while the gate stack 108 p, the dielectric layer 110, and the isolation structure 104 remain substantially unchanged. In the present embodiment, the S/D regions of the fins 106 p are recessed to a level below the top surface of the isolation structure 104. The channel regions of the fins 106 p, covered by the gate 108 p (FIG. 3), are not etched by operation 206. Operation 206 forms four trenches 114 with two on each side of the gate stack 108 p. Each trench 114 has a tapered cross-sectional profile (in the “x-z” plane) with a wider opening at its bottom than at its top. Although not shown, each trench 114 has a rectangular shape from a top view (in the “x-y” plane). After the etching process, a cleaning process may be performed that cleans the trenches 114 with a hydrofluoric acid (HF) solution, a diluted HF solution, or other suitable cleaning solutions.
  • At operation 208, the method 200 (FIG. 2) grows four P-type doped S/D features 116 in the four trenches 114, with one in each trench. Referring to FIG. 7, the S/D feature 116 includes a lower portion 116L and an upper portion 116U over the lower portion 116L. The lower portion 116L fills the trench 114 and thereby conforms to the shape of the trench 114 (FIG. 6). The upper portion 116U is above the dielectric layer 110, and expands laterally and upwardly. In this embodiment, the upper portion 116U has a generally diamond shape in the “x-z” plane. The four S/D features 116U do not merge (i.e., they are separate from each other). In another embodiment, the two S/D features 116U on the same side of the gate stack 108 (FIG. 3) merge into one large S/D feature. Whether or not the S/D features 116 merge may be controlled by the spacing between the two trenches 114 (FIG. 6), the height of the dielectric layer 110, the crystalline facets of the S/D features 116, and the growth rate and growth time for the S/D features 116. In an embodiment, the S/D features 116 include silicon germanium (SiGe) formed by one or more epitaxial growth processes. The epitaxial growth process may be a low pressure chemical vapor deposition (LPCVD) process or a selective epitaxy growth (SEG) process. Furthermore, the one or more epitaxial growth processes may in-situ dope the grown SiGe with a P-type dopant such as boron or indium for forming doped SiGe features for P-type devices.
  • At operation 210, the method 200 (FIG. 2) selectively etches the S/D regions of the fins 106 n to form trenches (or recesses) 118 therein. Referring to FIG. 8, the masking element 112 is removed from the device region 101N. Another masking element 120 is formed over the device region 101P, covering various features thereon. Thereafter, the fins 106 n are etched using an etching process selectively tuned to remove the materials of the fins 106 n while the gate stack 108 n (FIG. 3), the dielectric layer 110, and the isolation structure 104 remain substantially unchanged. In this embodiment shown, the S/D regions of the fins 106 n are recessed to a level below the top surface of the isolation structure 104. The channel regions of the fins 106 n, covered by the gate stack 108 n (FIG. 3), are not etched by operation 210. The etching process may be a dry etching process, a wet etching process, or other etching techniques. Operation 210 forms four trenches 118 with two on each side of the gate stack 108 n. Each trench 118 has a tapered cross-sectional profile (in the “x-z” plane) with a wider opening at its bottom than at its top. Although not shown, each trench 118 has a rectangular shape from a top view (in the “x-y” plane). After the etching process, a cleaning process may be performed that cleans the trenches 118 with a hydrofluoric acid (HF) solution, a diluted HF solution, or other suitable cleaning solutions.
  • At operation 212, the method 200 (FIG. 2) grows four N-type doped S/D features 122 in the four trenches 118, with one in each trench. Referring to FIG. 9, each of the S/D features 122 includes a lower portion 122L and an upper portion 122U over the lower portion 122L. The lower portion 122L fills the trench 118 and thereby conforms to the shape of the trench 118 (FIG. 8). The upper portion 122U is above the dielectric layer 110 and expands laterally and upwardly. In this embodiment, the upper portion 122U has a generally diamond shape in the “x-z” plane. Furthermore, each two upper portions 122U on the same side of the gate stack 108 n (FIG. 3) merge into a merged S/D feature 123. The merging of the S/D features 122 may be controlled by the spacing of the trenches 118 (FIG. 8), the height of the dielectric layer 110, the crystalline facets of the S/D features 122, and the growth rate and growth time for the S/D features 122. In this embodiment, the merging of the S/D features 122 is desired because it provides a larger surface area for S/D contact formation, thereby reducing S/D contact resistance. Still further, the growth time for the S/D features 122 is controlled such that the merged S/D feature 123 is provided with a curvy top surface 124. If the S/D features 122 are over-grown, the merged S/D feature 123 might be provided with a flat top surface. The curvy top surface 124 provides a larger surface area for S/D contact formation than that would be provided by a flat top surface. FIGS. 10A, 10B, and 10C illustrate some embodiments of the merged S/D feature 123.
  • Referring to FIG. 10A, the curvy top surface 124 includes a dip at a center of the merged S/D feature 123. In this embodiment, the center of the merged S/D feature 123 is a center line oriented along the “y” direction, parallel to the ridges of the diamond-shaped S/D features 122U. Referring to FIGS. 10B and 10C, the curvy top surface 124 includes a dip proximate the center of the two upper portions 122U which may be of a regular or irregular shape. In an embodiment, the depth of the dip, “D,” is in a range from 5 nanometer (nm) to 20 nm, and the width of the dip, “W,” is in a range from 10 nm to 50 nm. As discussed above, the dimension of the dip (D and W) may be controlled during the epitaxial growth process.
  • In an embodiment, the S/D features 122 include silicon formed by one or more epitaxial growth processes. The epitaxial growth process may be a low pressure chemical vapor deposition (LPCVD) process or a selective epitaxy growth (SEG) process. Furthermore, the one or more epitaxial growth processes may in-situ dope the grown silicon with an N-type dopant such as phosphorus, or arsenic, or combinations thereof for forming doped silicon features for N-type devices.
  • At operation 214, the method 200 (FIG. 2) proceeds to other steps to complete the fabrication of the device 100. In one example, the method 200 forms S/D contacts (or plugs) over the S/D features 116 and 123 using various etching and deposition processes. For example, the method 200 removes the masking element 120 (FIG. 9) using an etching process or a striping process. It then deposits an etch stop layer covering the gate stacks 108 p and 108 n, the S/D features 116 and 122, and the isolation structure 104. The etch stop layer may comprise silicon nitride in an embodiment, and may be deposited using ALD, CVD, or other suitable methods. The method 200 then deposits an inter-layer dielectric (ILD) layer over the etch stop layer, using PECVD, flowable CVD, or other suitable methods. The ILD layer may include materials such as tetraethylorthosilicate oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass, fused silica glass, phosphosilicate glass, boron doped silicon glass, and/or other suitable dielectric materials. The method 200 may then proceed to etching contact holes through the ILD layer and the etch stop layer to expose top surfaces of the S/D features 116 and 123. The method 200 then forms S/D contacts in the contact holes. The S/D contacts may comprise tungsten (W), cobalt (Co), copper (Cu), or any other elemental metals, metal nitrides, or combinations thereof, and may be formed by CVD, PVD, plating, and/or other suitable processes. The merged S/D features 123 advantageously provide large surface areas for the S/D contacts due to the curvy top surface 124. In an embodiment, the method 200 may form silicidation or germanosilicidation features between the S/D contacts and the S/D features 116 and 123.
  • In an embodiment where the gate stacks 108 p and 108 n are placeholders (dummy gates) for final gate stacks, the method 200 further performs a replacement gate process that replace the gate stacks 108 p and 108 n with final gate stacks respectively. The replacement gate process may include etching and removing the gate stacks 108 p and 108 n, and depositing layers of a metal gate that engage the channel regions of the fins 106 p and 106 n. In one example, the metal gate includes an interfacial layer, a gate dielectric layer, a work function metal layer, and a metal fill layer. The interfacial layer may include a dielectric material such as silicon oxide (SiO2) or silicon oxynitride (SiON), and may be formed by chemical oxidation, thermal oxidation, ALD, CVD, and/or other suitable techniques. The gate dielectric layer may include a high-k dielectric layer such as hafnium oxide (HfO2), zirconium oxide (ZrO2), lanthanum oxide (La2O3), titanium oxide (TiO2), yttrium oxide (Y2O3), strontium titanate (SrTiO3), other suitable metal-oxides, or combinations thereof. The gate dielectric layer may be formed by ALD and/or other suitable methods. The work function metal layer may be a p-type or an n-type work function layer. The p-type work function layer may comprise titanium nitride (TiN), tantalum nitride (TaN), ruthenium (Ru), molybdenum (Mo), tungsten (W), platinum (Pt), or combinations thereof. The n-type work function layer may comprise titanium (Ti), aluminum (Al), tantalum carbide (TaC), tantalum carbide nitride (TaCN), tantalum silicon nitride (TaSiN), or combinations thereof. The work function metal layer may include a plurality of layers and may be deposited by CVD, PVD, and/or other suitable process. The metal fill layer may include aluminum (Al), tungsten (W), cobalt (Co), copper (Cu), and/or other suitable materials. The metal fill layer may be formed by CVD, PVD, plating, and/or other suitable processes. During the various etching, cleaning, and depositing operations in the replacement gate process, the dielectric layer 110 at the foot of the gate stacks 108 p and 108 n (FIG. 5B) might be over-etched, causing metal materials of the final gate stacks to leak into the S/D regions. In the present embodiment, the dielectric layer 110 on sidewalls of the S/D features 116 and 122 protect the respective S/D features from being contaminated by the leaked metal materials.
  • Although not intended to be limiting, one or more embodiments of the present disclosure provide many benefits to a semiconductor device and the formation thereof. For example, epitaxial features can be selectively grown in P-type and/or N-type device regions and be selectively merged into a larger S/D epitaxial feature having a curvy top surface. The curvy top surface provides a larger area for S/D contact formation, thereby reducing S/D contact resistance. Furthermore, the epitaxial features are surrounded by a dielectric layer at their bottom portions. The dielectric layer protects the epitaxial features from potential contamination due to metal extrusion. Still further, embodiments of the present disclosure can be integrated into existing fabrication flow.
  • In one exemplary aspect, the present disclosure is directed to a method of forming a semiconductor device. The method includes providing a precursor. The precursor includes a substrate; an isolation structure over the substrate; and two fins extending from the substrate and through the isolation structure. The two fins are disposed side-by-side. Each of the two fins has a channel region and two source/drain (S/D) regions sandwiching the channel region. The precursor further includes a gate stack over the isolation structure and engaging the channel regions of the two fins. The method further includes forming a dielectric layer on sidewalls of the S/D regions of the two fins; etching the S/D regions of the two fins, thereby forming four trenches; and growing four S/D features in the four trenches respectively. Each of the four S/D features includes a lower portion and an upper portion over the lower portion. The lower portions of the four S/D features are surrounded at least partially by the dielectric layer. The upper portions of the four S/D features merge into two merged S/D features with one on each side of the gate stack. Each of the two merged S/D features has a curvy top surface.
  • In another exemplary aspect, the present disclosure is directed to method of forming a semiconductor device. The method includes providing a precursor. The precursor includes a substrate; an isolation structure over the substrate; two first fins in a P-type region of the semiconductor device; and two second fins in an N-type region of the semiconductor device. The two first fins and the two second fins extend from the substrate and through the isolation structure. The two first fins are disposed side-by-side, the two second fins are disposed side-by-side, and each of the two first fins and the two second fins has a channel region and two source/drain (S/D) regions sandwiching the channel region. The precursor further includes first and second gate stacks over the isolation structure, wherein the first gate stack engages the channel regions of the two first fins, and the second gate stack engages the channel regions of the two second fins. The method further includes forming a dielectric layer on sidewalls of the first and second gate stacks and on sidewalls of the S/D regions of the two first fins and the two second fins. The method further includes etching the S/D regions of the two first fins to form four first trenches, and growing four first S/D features in the four first trenches respectively. The method further includes etching the S/D regions of the two second fins to form four second trenches, and growing four second S/D features in the four second trenches respectively. Each of the four first S/D features and the four second S/D features includes a lower portion and an upper portion over the lower portion. The lower portions of the four first S/D features and the four second S/D features are surrounded at least partially by the dielectric layer. The upper portions of the four second S/D features merge into two merged second S/D features with one on each side of the second gate stack. Each of the two merged second S/D features has a curvy top surface.
  • In another exemplary aspect, the present disclosure is directed to a semiconductor device. The semiconductor device comprises a substrate; an isolation structure over the substrate; two first fins in a P-type region of the semiconductor device; and two second fins in an N-type region of the semiconductor device. The two first fins and the two second fins extend from the substrate and through the isolation structure. The two first fins are disposed side-by-side, the two second fins are disposed side-by-side, and each of the two first fins and the two second fins has a channel region and two source/drain (S/D) regions sandwiching the channel region. The semiconductor device further comprises first and second gate stacks over the isolation structure. The first gate stack engages the channel regions of the two first fins. The second gate stack engages the channel regions of the two second fins. The semiconductor device further comprises a dielectric layer disposed over the isolation structure and adjacent to the S/D regions of the two first fins and the two second fins. The semiconductor device further comprises four first S/D features over the S/D regions of the two first fins; and four second S/D features over the S/D regions of the two second fins. Each of the four first S/D features and the four second S/D features includes a lower portion and an upper portion over the lower portion. The lower portions of the four first S/D features and the four second S/D features are surrounded at least partially by the dielectric layer. The upper portions of the four second S/D features merge into two merged second S/D features with one on each side of the second gate stack. Each of the two merged second S/D features has a curvy top surface.
  • The foregoing outlines features of several embodiments so that those of ordinary skill in the art may better understand the aspects of the present disclosure. Those of ordinary skill in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those of ordinary skill in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A semiconductor device, comprising:
a substrate;
an isolation structure over the substrate;
two fins in a first region of the semiconductor device, wherein the two fins extend from the substrate and through the isolation structure, and each of the two fins has a channel region and two source/drain (S/D) regions sandwiching the channel region;
a gate stack over the isolation structure and engaging the channel regions of the two fins;
four S/D features over the S/D regions of the two fins,
wherein:
each of the four S/D features includes a lower portion and an upper portion over the lower portion;
each of the lower portions of the four S/D features has a cross-sectional profile that is wider at its bottom than at its top; and
the upper portions of the four S/D features merge into two merged S/D features with one on each side of the gate stack.
2. The semiconductor device of claim 1, wherein each of the two merged S/D features has a curvy top surface.
3. The semiconductor device of claim 2, wherein the curvy top surface includes a dip proximate to a center of the curvy top surface.
4. The semiconductor device of claim 3, wherein the dip has a depth ranging from 5 nanometers (nm) to 20 nm and a top opening ranging from 10 nm to 50 nm.
5. The semiconductor device of claim 1, further comprising a dielectric layer disposed over the isolation structure and directly underneath the upper portions of the four S/D features.
6. The semiconductor device of claim 1, wherein each of the four S/D features includes n-type doped silicon.
7. The semiconductor device of claim 1, wherein each of the upper portions of the four S/D features has a larger area than the respective lower portion from a top view.
8. The semiconductor device of claim 1, further comprising:
two second fins in a second region of the semiconductor device, wherein the two second fins extend from the substrate and through the isolation structure, and each of the two second fins has a channel region and two source/drain (S/D) regions sandwiching the respective channel region;
a second gate stack over the isolation structure and engaging the channel regions of the two second fins; and
four second S/D features over the S/D regions of the two second fins, wherein the four second S/D features are separate from each other.
9. The semiconductor device of claim 8, wherein each of the four S/D features includes n-type doped silicon and each of the four second S/D features includes p-type doped silicon germanium.
10. The semiconductor device of claim 8, further comprising a dielectric layer disposed over the isolation structure and in physical contact with each of the four S/D features and the four second S/D features.
11. The semiconductor device of claim 1, wherein each of the upper portions of the four S/D features has a generally diamond shape in a cross-sectional view.
12. A semiconductor device, comprising:
a substrate;
an isolation structure over the substrate;
two fins in an N-type region of the semiconductor device, wherein the two fins extend from the substrate and through the isolation structure, and each of the two fins has a channel region and two source/drain (S/D) regions sandwiching the channel region;
a gate stack over the isolation structure and engaging the channel regions of the two fins;
four S/D features over the S/D regions of the two fins;
a dielectric layer disposed over the isolation structure and on sidewalls of the four S/D features, wherein:
each of the four S/D features includes a lower portion and an upper portion over the lower portion;
each of the lower portions of the four S/D features has a cross-sectional profile that is wider at its bottom than at its top;
the upper portions of the four S/D features are above the dielectric layer and merge into two merged S/D features with one on each side of the gate stack; and
each of the two merged S/D features has a curvy top surface.
13. The semiconductor device of claim 12, wherein the lower portions of the four S/D features extend below a top surface of the isolation structure.
14. The semiconductor device of claim 12, wherein the curvy top surface includes two peaks and a dip between the two peaks in a cross-sectional view.
15. The semiconductor device of claim 14, wherein a depth of the dip ranges from 5 nanometers (nm) to 20 nm and a distance between the two peaks ranges from 10 nm to 50 nm in the cross-sectional view.
16. The semiconductor device of claim 12, wherein the upper portions of the four S/D features include an n-type dopant.
17. A semiconductor device, comprising:
a substrate;
an isolation structure over the substrate;
two first fins in a P-type region of the semiconductor device;
two second fins in an N-type region of the semiconductor device, wherein the two first fins and the two second fins extend from the substrate and through the isolation structure, the two first fins are disposed side-by-side, the two second fins are disposed side-by-side, and each of the two first fins and the two second fins has a channel region and two source/drain (S/D) regions sandwiching the respective channel region;
first and second gate stacks over the isolation structure, the first gate stack engaging the channel regions of the two first fins, the second gate stack engaging the channel regions of the two second fins;
a dielectric layer disposed over the isolation structure and adjacent to the S/D regions of the two first fins and the two second fins;
four first S/D features over the S/D regions of the two first fins; and
four second S/D features over the S/D regions of the two second fins,
wherein:
each of the four first S/D features and the four second S/D features includes a lower portion and an upper portion over the lower portion;
the lower portions of the four first S/D features and the four second S/D features are surrounded at least partially by the dielectric layer;
each of the lower portions of the four first S/D features and the four second S/D features has a cross-sectional profile that is wider at its bottom than at its top;
the upper portions of the four second S/D features merge into two merged second S/D features with one on each side of the second gate stack; and
each of the two merged second S/D features has a curvy top surface.
18. The semiconductor device of claim 17, wherein the upper portions of the four first S/D features are physically separate from each other.
19. The semiconductor device of claim 17, wherein each of the upper portions of the four first S/D features and the four second S/D features is in a generally diamond shape in a cross-sectional view.
20. The semiconductor device of claim 17, wherein the curvy top surface has a dip of 5 to 20 nm proximate a center of the curvy top surface.
US15/816,386 2016-02-23 2017-11-17 Structure and method for semiconductor device Active 2036-04-25 US10727229B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US15/816,386 US10727229B2 (en) 2016-02-23 2017-11-17 Structure and method for semiconductor device
US16/669,595 US11031398B2 (en) 2016-02-23 2019-10-31 Structure and method for semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/051,072 US9825036B2 (en) 2016-02-23 2016-02-23 Structure and method for semiconductor device
US15/816,386 US10727229B2 (en) 2016-02-23 2017-11-17 Structure and method for semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/051,072 Division US9825036B2 (en) 2016-02-23 2016-02-23 Structure and method for semiconductor device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US16/669,595 Division US11031398B2 (en) 2016-02-23 2019-10-31 Structure and method for semiconductor device

Publications (2)

Publication Number Publication Date
US20180076203A1 true US20180076203A1 (en) 2018-03-15
US10727229B2 US10727229B2 (en) 2020-07-28

Family

ID=59629546

Family Applications (3)

Application Number Title Priority Date Filing Date
US15/051,072 Active US9825036B2 (en) 2016-02-23 2016-02-23 Structure and method for semiconductor device
US15/816,386 Active 2036-04-25 US10727229B2 (en) 2016-02-23 2017-11-17 Structure and method for semiconductor device
US16/669,595 Active 2036-04-05 US11031398B2 (en) 2016-02-23 2019-10-31 Structure and method for semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/051,072 Active US9825036B2 (en) 2016-02-23 2016-02-23 Structure and method for semiconductor device

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/669,595 Active 2036-04-05 US11031398B2 (en) 2016-02-23 2019-10-31 Structure and method for semiconductor device

Country Status (3)

Country Link
US (3) US9825036B2 (en)
CN (1) CN107104147B (en)
TW (1) TWI597846B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11031398B2 (en) 2016-02-23 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for semiconductor device

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10490459B2 (en) * 2017-08-25 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method for source/drain contact formation in semiconductor devices
DE102016119024B4 (en) 2015-12-29 2023-12-21 Taiwan Semiconductor Manufacturing Co. Ltd. Method of fabricating a FinFET device with flat top epitaxial elements
US10049936B2 (en) 2016-12-15 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having merged epitaxial features with Arc-like bottom surface and method of making the same
US10505021B2 (en) 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFet device and method of forming the same
US10355105B2 (en) * 2017-10-31 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors and methods of forming the same
US10490650B2 (en) * 2017-11-14 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and methods for forming the same
US10658242B2 (en) 2017-11-21 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with Fin structures
KR102472070B1 (en) 2018-06-12 2022-11-30 삼성전자주식회사 Semiconductor device
KR102626334B1 (en) 2018-07-27 2024-01-16 삼성전자주식회사 Semiconductor device and method for fabricating the same
US11222951B2 (en) * 2018-08-31 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial source/drain structure and method
US11404417B2 (en) * 2020-02-26 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Low leakage device
DE102020129842A1 (en) 2020-03-31 2021-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. FINFET DEVICES WITH REAR BUSBAR AND REAR SELF-ADJUSTING THROUGH CONTACT
US11362213B2 (en) * 2020-03-31 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a FinFET device with a backside power rail and a backside self-aligned via by etching an extended source trench
KR20220049088A (en) 2020-10-13 2022-04-21 삼성전자주식회사 Semiconductor device

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7678648B2 (en) * 2006-07-14 2010-03-16 Micron Technology, Inc. Subresolution silicon features and methods for forming the same
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8558279B2 (en) 2010-09-23 2013-10-15 Intel Corporation Non-planar device having uniaxially strained semiconductor body and method of making same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
CN103187445B (en) * 2011-12-30 2016-06-29 中芯国际集成电路制造(上海)有限公司 Fin field effect pipe and forming method thereof
KR101876793B1 (en) * 2012-02-27 2018-07-11 삼성전자주식회사 Field Effect Transistor and Method of fabricating the same
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US9136383B2 (en) 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US20140054646A1 (en) * 2012-08-24 2014-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and Method for Multiple Gate Transistors
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9087724B2 (en) 2013-03-21 2015-07-21 International Business Machines Corporation Method and structure for finFET CMOS
US9853154B2 (en) * 2014-01-24 2017-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Embedded source or drain region of transistor with downward tapered region under facet region
US9171935B2 (en) * 2014-03-07 2015-10-27 Globalfoundries Inc. FinFET formation with late fin reveal
US9123744B1 (en) * 2014-03-07 2015-09-01 United Microelectronics Corp. Semiconductor device and method for fabricating the same
TWI549295B (en) 2014-03-22 2016-09-11 阿爾特拉公司 High performance finfet
US9443963B2 (en) * 2014-04-07 2016-09-13 International Business Machines Corporation SiGe FinFET with improved junction doping control
US9583625B2 (en) * 2014-10-24 2017-02-28 Globalfoundries Inc. Fin structures and multi-Vt scheme based on tapered fin and method to form
US9899268B2 (en) * 2015-03-11 2018-02-20 Globalfoundries Inc. Cap layer for spacer-constrained epitaxially grown material on fins of a FinFET device
US10032910B2 (en) * 2015-04-24 2018-07-24 GlobalFoundries, Inc. FinFET devices having asymmetrical epitaxially-grown source and drain regions and methods of forming the same
US9553194B1 (en) * 2015-07-29 2017-01-24 Globalfoundries Inc. Method for improved fin profile
US10580882B2 (en) * 2015-12-21 2020-03-03 Intel Corporation Low band gap semiconductor devices having reduced gate induced drain leakage (GIDL)
CN108292673B (en) * 2015-12-24 2021-10-15 英特尔公司 Transistor with sub-fin dielectric region under gate
US10157748B2 (en) * 2016-02-08 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin profile improvement for high performance transistor
US9825036B2 (en) 2016-02-23 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11031398B2 (en) 2016-02-23 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for semiconductor device

Also Published As

Publication number Publication date
US10727229B2 (en) 2020-07-28
US9825036B2 (en) 2017-11-21
TW201731107A (en) 2017-09-01
TWI597846B (en) 2017-09-01
US20170243868A1 (en) 2017-08-24
US20200075597A1 (en) 2020-03-05
US11031398B2 (en) 2021-06-08
CN107104147A (en) 2017-08-29
CN107104147B (en) 2020-06-19

Similar Documents

Publication Publication Date Title
US11031398B2 (en) Structure and method for semiconductor device
US11239341B2 (en) Horizontal gate all-around device having wrapped-around source and drain
US11610983B2 (en) Epitaxial features confined by dielectric fins and spacers
US10490552B2 (en) FinFET device having flat-top epitaxial features and method of making the same
US10283414B2 (en) Isolation manufacturing method for semiconductor structures
US11710792B2 (en) Semiconductor structure with improved source drain epitaxy
US11721544B2 (en) Cut metal gate process for reducing transistor spacing
US20230378181A1 (en) Finfet device having flat-top epitaxial features and method of making the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEE, YI-JING;YU, MING-HUA;KWOK, TSZ-MEI;SIGNING DATES FROM 20160225 TO 20160226;REEL/FRAME:044162/0898

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., TAIW

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEE, YI-JING;YU, MING-HUA;KWOK, TSZ-MEI;SIGNING DATES FROM 20160225 TO 20160226;REEL/FRAME:044162/0898

FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4