US20170338226A1 - Controlling within-die uniformity using doped polishing material - Google Patents

Controlling within-die uniformity using doped polishing material Download PDF

Info

Publication number
US20170338226A1
US20170338226A1 US15/628,984 US201715628984A US2017338226A1 US 20170338226 A1 US20170338226 A1 US 20170338226A1 US 201715628984 A US201715628984 A US 201715628984A US 2017338226 A1 US2017338226 A1 US 2017338226A1
Authority
US
United States
Prior art keywords
fin structures
region
various embodiments
oxide
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/628,984
Inventor
Haigou Huang
Jinping Liu
Huang Liu
Taifong Chao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Priority to US15/628,984 priority Critical patent/US20170338226A1/en
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHAO, TAIFONG, HUANG, HAIGOU, LIU, JINPING, LIU, Huang
Publication of US20170338226A1 publication Critical patent/US20170338226A1/en
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7856Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with an non-uniform gate, e.g. varying doping structure, shape or composition on different sides of the fin, or different gate insulator thickness or composition on opposing fin sides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the subject matter disclosed herein relates to integrated circuit devices. More particularly, the subject matter relates to processes in forming integrated circuit devices.
  • Smaller ICs call for greater uniformity within the die used to form separate IC chips. For example, some product constraints may call for less than two nanometers (nm) of within-die uniformity. These constraints may be particularly tough to meet in certain processing approaches, e.g., in chemical-mechanical polishing (CMP), where macro-loading causes variations in the density of structures within the die.
  • CMP chemical-mechanical polishing
  • a method of forming an integrated circuit structure can include: forming a mask over an oxide layer and an underlying set of fin structures, the set of fin structures including a plurality of fins each having a substrate base and a silicide layer over the substrate base; implanting the oxide layer through an opening in the mask; removing the mask; polishing the oxide layer overlying the set of fin structures after removing the mask to expose the set of fin structures; and forming a nitride layer over the set of fin structures.
  • a first aspect of the disclosure includes a method of forming an integrated circuit structure, the method including: forming a mask over an oxide layer and an underlying set of fin structures, the set of fin structures including a plurality of fins each having a substrate base and a silicide layer over the substrate base; implanting the oxide layer through an opening in the mask; removing the mask; polishing the oxide layer overlying the set of fin structures after removing the mask to expose the set of fin structures; and forming a nitride layer over the set of fin structures.
  • a second aspect of the disclosure includes a method of forming an integrated circuit structure, the method including: forming a mask over an oxide layer and an underlying set of fin structures, the set of fin structures including a plurality of fins each having a substrate base and a silicide layer over the substrate base; implanting the oxide layer through an opening in the mask with ions of at least one of carbon, phosphorous or boron; removing the mask; polishing the oxide layer overlying the set of fin structures after removing the mask to expose the set of fin structures; and forming a nitride layer over the set of fin structures, wherein a height of the nitride layer is substantially uniform across the set of fin structures.
  • a third aspect of the disclosure includes an integrated circuit (IC) structure having: a substrate; a set of fin structures overlying the substrate, each of the set of fin structures including a substrate base and a silicide layer over the substrate base; an oxide located between adjacent fins in the set of fin structures; and a nitride layer over the set of fin structures, wherein a height of the nitride layer is substantially uniform across the set of fin structures.
  • IC integrated circuit
  • FIG. 1 is a flow diagram illustrating processes in a method according to various embodiments.
  • FIG. 2A shows a schematic cross-sectional view of a first region within a precursor structure, through a first set of fin structures, according to various embodiments.
  • FIG. 2B shows a schematic cross-sectional view of a second region in the precursor structure of FIG. 2A , with the cross-section through a second set of fin structures.
  • FIG. 3A shows a schematic cross-sectional view of a first region of a structure undergoing a process according to various embodiments, with the cross-section through a first set of fin structures.
  • FIG. 3B shows a schematic cross-sectional view of a second region of the structure of FIG. 3A , with the cross-section through a second set of fin structures.
  • FIG. 4A shows a schematic cross-sectional view of a first region of a structure undergoing a process according to various embodiments, with the cross-section through a first set of fin structures.
  • FIG. 4B shows a schematic cross-sectional view of a second region of the structure of FIG. 4A , with the cross-section through a second set of fin structures.
  • FIG. 5A shows a schematic cross-sectional view of a first region of a structure undergoing a process according to various embodiments, with the cross-section through a first set of fin structures.
  • FIG. 5B shows a schematic cross-sectional view of a second region of the structure of FIG. 5A , with the cross-section through a second set of fin structures.
  • FIG. 6A shows a schematic cross-sectional view of a first region of a structure undergoing a process according to various embodiments, with the cross-section through a first set of fin structures.
  • FIG. 6B shows a schematic cross-sectional view of a second region of the structure of FIG. 6A , with the cross-section through a second set of fin structures.
  • FIG. 7A shows a schematic cross-sectional view of a first region of a structure undergoing a process according to various embodiments, with the cross-section through a first set of fin structures.
  • FIG. 7B shows a schematic cross-sectional view of a second region of the structure of FIG. 7A , with the cross-section through a second set of fin structures.
  • FIG. 8A shows a schematic cross-sectional view of a first region of an integrated circuit (IC) structure according to various embodiments, with the cross-section through a first set of fin structures.
  • IC integrated circuit
  • FIG. 8B shows a schematic cross-sectional view of a second region of the structure of FIG. 8 , with the cross-section through a second set of fin structures.
  • the subject matter disclosed herein relates to integrated circuit (IC) devices. More particularly, the subject matter relates to controlling within-die uniformity in integrated circuit devices.
  • the term “within-die uniformity” refers to a consistency in height and/or density of layers within a given die.
  • material layers are often formed, modified, etc. over a large wafer, which is then cut (or diced) to form individual pieces, each of which is called a die.
  • various embodiments of the disclosure include approaches for forming nitride regions with substantially uniform heights within a layer of an IC structure or device. That is, according to various embodiments, approaches described herein can control (e.g., enhance) within-die uniformity (e.g., height uniformity in silicide layers) in IC structures.
  • “depositing” may include any now known or later developed techniques appropriate for the material to be deposited including but are not limited to, for example: chemical vapor deposition (CVD), low-pressure CVD (LPCVD), plasma-enhanced CVD (PECVD), semi-atmosphere CVD (SACVD) and high density plasma CVD (HDPCVD), rapid thermal CVD (RTCVD), ultra-high vacuum CVD (UHVCVD), limited reaction processing CVD (LRPCVD), metalorganic CVD (MOCVD), sputtering deposition, ion beam deposition, electron beam deposition, laser assisted deposition, thermal oxidation, thermal nitridation, spin-on methods, physical vapor deposition (PVD), atomic layer deposition (ALD), chemical oxidation, molecular beam epitaxy (MBE), plating, evaporation.
  • CVD chemical vapor deposition
  • LPCVD low-pressure CVD
  • PECVD plasma-enhanced CVD
  • FIG. 1 is a flow diagram illustrating processes performed according to various embodiments of the disclosure.
  • FIGS. 2A, 2B through 8A, 8B show schematic cross-sectional depictions of regions of integrated circuit structures (and precursor structures) that illustrate processes performed according to various embodiments. It is understood that the processes outlined herein may be performed in a different order than described in some embodiments. Additionally, not all of the processes outlined herein need necessarily be performed according to various embodiments.
  • FIGS. 2A, 2B through 8A, 8B schematic depictions of processes of forming an integrated circuit (IC) structure 26 ( FIG. 8A and FIG. 8B ) performed on a first region 1 of a precursor structure 2 ( FIGS. 2A ) and a second region 3 of precursor structure 2 , are shown according to various embodiments.
  • Figures labeled “A” depict first region 1 , which includes a higher-density region (with greater number of fins 8 ) relative to a lower-density region (second region 3 ), labeled in Figures “B.”
  • precursor structure 2 can include an oxide layer 4 over a set of fin structures 6 , where the underlying set of fin structures 6 can include a plurality of fins 8 having a substrate base 10 and a silicide layer 12 overlying substrate base 10 .
  • oxide layer 4 can include silicon dioxide (SiO 2 ).
  • FIGS. 2A-7B illustrate processes, with respect to two distinct regions 1 , 3 (cross-section across fins 8 ).
  • Substrate base 10 can be formed (e.g., etched) from a substrate 12 , which may include silicon, doped silicon or silicon germanium.
  • a portion or entire substrate 12 may be strained.
  • substrate 12 can include elemental semiconductor materials (e.g., silicon, germanium, carbon, or alloys thereof), III-V semiconductor materials, or II-VI semiconductor materials.
  • the (doped) silicon substrate 12 is deposited as a bulk silicon, and subsequently ionized to dope the bulk silicon material.
  • a portion of substrate 12 is ionized (e.g., subjected to ionizing radiation) to form a doped silicon layer.
  • a conventional masking and etching process can be employed to form fins 8 , e.g., from substrate base 10 , e.g., including forming a hard mask over substrate base 10 and etching the underlying silicon (e.g., doped silicon) using the mask to remove portions of substrate base 10 between plurality of fins 8 .
  • fins 8 can be formed according to various approaches known in the art.
  • fins 8 can be formed by epitaxially growing at least a portion of fins 8 over substrate 12 , by patterning portions of fins 8 over substrate base 12 , etc.
  • processes according to various embodiments can include:
  • Process P 1 A (an optional pre-process according to various embodiments), which is illustrated in FIGS. 2A-2B and 3A-3B , including: polishing oxide layer 4 , wherein oxide layer 4 includes surface contours 14 ( FIGS. 2A, 2B ) prior to the polishing ( FIG. 3A, 3B ).
  • surface contours 14 can include bumps or protrusions forming an uneven upper surface of oxide layer 4 .
  • polishing oxide layer 4 includes performing a conventional chemical mechanical polishing (planarization) technique known in the art, e.g., using a polishing device and a chemical slurry to remove portions of oxide layer 4 . As shown in FIGS.
  • surface contours 14 may exist due to the formation of oxide layer 4 over underlying fins 8 and spaces between those fins 8 .
  • Surface contours 14 can correspond with a density of fins 8 in a particular region, for example, first region 1 may have a greater concentration of surface contours 14 due to its higher density of fins 8 when compared with second region 3 .
  • Process P 1 (following process P 1 A, in various embodiments, illustrated in FIGS. 3A and 4A ): forming a mask 16 over oxide layer 4 and an underlying set of fin structures 8 .
  • mask 16 can include a conventional photoresist and/or hardmask material, such as a nitride, e.g., a silicon nitride.
  • a nitride e.g., a silicon nitride.
  • mask 16 is deposited over oxide layer 4 using conventional deposition techniques, however, in other cases, mask 16 may be epitaxially grown or otherwise formed over oxide layer 4 .
  • mask 16 may be formed using conventional photolithography techniques, including but not limited to deep ultraviolet (DUV) or extreme ultraviolet (EUV) processes, sidewall imaging transfer processes, or multiple patterning processes.
  • DUV deep ultraviolet
  • EUV extreme ultraviolet
  • mask 16 may be selectively formed over only a region (e.g., first region 1 ) and not formed over other region(s) (e.g., second region 3 ).
  • Process P 2 implanting (with ions 17 ) oxide layer 4 through an opening 18 in mask 16 .
  • mask 16 can be formed over fins 8 in first region 1 , leaving fin structures 6 in second region 3 (e.g., in regions having a lower concentration of fins 8 , where fins 8 are adjacent large oxide regions 20 ) exposed via opening(s) 18 .
  • oxide 4 and oxide regions 20 are implanted with ions 17 via conventional ion-implant techniques.
  • Mask 16 can prevent implanting in underlying regions of oxide 4 and fins 8 .
  • ion implanting is performed with ions of at least one of carbon (C), phosphorous (P) or boron (B).
  • C, P or B ions for implanting can reduce the subsequent removal rate (e.g., polishing rate) of oxide 4 in second region 3 when compared with non-implanted oxide 4 in first region 1 .
  • Process P 3 (shown as post-implanted depiction in FIGS. 6A and 6B ): removing mask 16 , e.g., via conventional etching techniques such as wet etching or dry etching.
  • mask 16 can be removed from first region 1 using a chemical etching process.
  • remaining mask 16 can be removed, e.g., by dry plasma ashing or (selectively) wet cleaning (e.g., using sulfuric peroxide).
  • a portion 21 of oxide layer 4 in second region 3 previously exposed between mask(s) 16 , is implanted with ions.
  • Process P 4 ( FIGS. 7A, 7B ): polishing oxide layer 4 overlying set of fin structures 6 after removing mask 16 to expose an upper surface 23 the set of fin structures 6 .
  • polishing oxide layer 4 includes performing a conventional chemical mechanical polishing (planarization) technique known in the art, e.g., using a polishing device and a chemical slurry to remove portions of oxide layer 4 .
  • polishing is performed in a single process across first region 1 and second region 3 , such that additional processing is not necessary for lower-density regions (e.g., second region 3 ) versus higher-density regions (e.g., first region 1 )
  • nitride layer 22 can include silicon nitride (SiN).
  • forming nitride layer 22 can include forming (e.g., depositing) a silicon (e.g., thin layer) over the exposed set of fin structures 6 and a remaining portion 24 of oxide layer 4 , and exposing the silicon to nitrogen and heat to convert the silicon to SiN.
  • implanting oxide 4 in large oxide regions 20 can reduce the removal rate of the oxide 4 in these regions, and allow for level formation of nitride layer 22 across a wide gap between adjacent fins 8 .
  • the polishing process (P 4 ) is a significant process in reducing free oxide residue within oxide layer 4 .
  • the macro-loading from polishing can cause conventional oxide structures to polish at different, undesirably fast, rates.
  • oxide 4 in these regions e.g., large oxide regions 20 in second region 3
  • a height (h) of nitride layer 22 is substantially uniform across set of fin structures 6 , e.g., nitride layer 22 has a substantially uniform thickness across fin structures 6 and oxide 4 .
  • the substantially uniform height (h) is defined as having a deviation of less than approximately 2 nanometers across nitride layer 22 over the set of fin structures 6 and oxide 4 .
  • forming nitride layer 22 such that it has a height uniformity in IC structure 26 can help to overcome performance issues associated with non-uniform density in conventional integrated circuits.
  • FEOL front-end of line
  • BEOL back-end of line
  • MOL middle of line
  • FEOL can include operations performed on the semiconductor wafer in the course of device manufacturing up to first metallization
  • BEOL can include operations performed on the semiconductor wafer in the course of device manufacturing following first metallization
  • MOL can include operations performed on the semiconductor wafer during first metallization.
  • Spatially relative terms such as “inner,” “outer,” “beneath”, “below”, “lower”, “above”, “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. Spatially relative terms may be intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the example term “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

Abstract

Various embodiments include methods and integrated circuit structures. In some cases, an integrated circuit (IC) structure includes: a substrate; a set of fin structures overlying the substrate, the set of fin structures including a substrate base and a silicide layer over the substrate base; an oxide layer located between adjacent fins in the set of fin structures; and a nitride layer over the set of fin structures, wherein a height of the nitride layer is substantially uniform across the set of fin structures.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application claims priority to, and is divisional application of, currently pending U.S. patent application Ser. No. 15/160,409, filed on May 20, 2016, which is hereby incorporated by reference in its entirety.
  • BACKGROUND
  • The subject matter disclosed herein relates to integrated circuit devices. More particularly, the subject matter relates to processes in forming integrated circuit devices.
  • As integrated circuit (IC) technologies have advanced, the size of these devices has correspondingly decreased. In particular, as devices are reduced in scale to comply with ever-smaller packaging, tighter constraints are applied to their dimensions and spacings.
  • Smaller ICs call for greater uniformity within the die used to form separate IC chips. For example, some product constraints may call for less than two nanometers (nm) of within-die uniformity. These constraints may be particularly tough to meet in certain processing approaches, e.g., in chemical-mechanical polishing (CMP), where macro-loading causes variations in the density of structures within the die.
  • SUMMARY
  • Various embodiments include methods and integrated circuit structures. In some cases, a method of forming an integrated circuit structure can include: forming a mask over an oxide layer and an underlying set of fin structures, the set of fin structures including a plurality of fins each having a substrate base and a silicide layer over the substrate base; implanting the oxide layer through an opening in the mask; removing the mask; polishing the oxide layer overlying the set of fin structures after removing the mask to expose the set of fin structures; and forming a nitride layer over the set of fin structures.
  • A first aspect of the disclosure includes a method of forming an integrated circuit structure, the method including: forming a mask over an oxide layer and an underlying set of fin structures, the set of fin structures including a plurality of fins each having a substrate base and a silicide layer over the substrate base; implanting the oxide layer through an opening in the mask; removing the mask; polishing the oxide layer overlying the set of fin structures after removing the mask to expose the set of fin structures; and forming a nitride layer over the set of fin structures.
  • A second aspect of the disclosure includes a method of forming an integrated circuit structure, the method including: forming a mask over an oxide layer and an underlying set of fin structures, the set of fin structures including a plurality of fins each having a substrate base and a silicide layer over the substrate base; implanting the oxide layer through an opening in the mask with ions of at least one of carbon, phosphorous or boron; removing the mask; polishing the oxide layer overlying the set of fin structures after removing the mask to expose the set of fin structures; and forming a nitride layer over the set of fin structures, wherein a height of the nitride layer is substantially uniform across the set of fin structures.
  • A third aspect of the disclosure includes an integrated circuit (IC) structure having: a substrate; a set of fin structures overlying the substrate, each of the set of fin structures including a substrate base and a silicide layer over the substrate base; an oxide located between adjacent fins in the set of fin structures; and a nitride layer over the set of fin structures, wherein a height of the nitride layer is substantially uniform across the set of fin structures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other features of this invention will be more readily understood from the following detailed description of the various aspects of the invention taken in conjunction with the accompanying drawings that depict various embodiments of the invention, in which:
  • FIG. 1 is a flow diagram illustrating processes in a method according to various embodiments.
  • FIG. 2A shows a schematic cross-sectional view of a first region within a precursor structure, through a first set of fin structures, according to various embodiments.
  • FIG. 2B shows a schematic cross-sectional view of a second region in the precursor structure of FIG. 2A, with the cross-section through a second set of fin structures.
  • FIG. 3A shows a schematic cross-sectional view of a first region of a structure undergoing a process according to various embodiments, with the cross-section through a first set of fin structures.
  • FIG. 3B shows a schematic cross-sectional view of a second region of the structure of FIG. 3A, with the cross-section through a second set of fin structures.
  • FIG. 4A shows a schematic cross-sectional view of a first region of a structure undergoing a process according to various embodiments, with the cross-section through a first set of fin structures.
  • FIG. 4B shows a schematic cross-sectional view of a second region of the structure of FIG. 4A, with the cross-section through a second set of fin structures.
  • FIG. 5A shows a schematic cross-sectional view of a first region of a structure undergoing a process according to various embodiments, with the cross-section through a first set of fin structures.
  • FIG. 5B shows a schematic cross-sectional view of a second region of the structure of FIG. 5A, with the cross-section through a second set of fin structures.
  • FIG. 6A shows a schematic cross-sectional view of a first region of a structure undergoing a process according to various embodiments, with the cross-section through a first set of fin structures.
  • FIG. 6B shows a schematic cross-sectional view of a second region of the structure of FIG. 6A, with the cross-section through a second set of fin structures.
  • FIG. 7A shows a schematic cross-sectional view of a first region of a structure undergoing a process according to various embodiments, with the cross-section through a first set of fin structures.
  • FIG. 7B shows a schematic cross-sectional view of a second region of the structure of FIG. 7A, with the cross-section through a second set of fin structures.
  • FIG. 8A shows a schematic cross-sectional view of a first region of an integrated circuit (IC) structure according to various embodiments, with the cross-section through a first set of fin structures.
  • FIG. 8B shows a schematic cross-sectional view of a second region of the structure of FIG. 8, with the cross-section through a second set of fin structures.
  • It is noted that the drawings of the invention are not necessarily to scale. The drawings are intended to depict only typical aspects of the invention, and therefore should not be considered as limiting the scope of the invention. In the drawings, like numbering represents like elements between the drawings.
  • DETAILED DESCRIPTION
  • As noted, the subject matter disclosed herein relates to integrated circuit (IC) devices. More particularly, the subject matter relates to controlling within-die uniformity in integrated circuit devices.
  • As described herein, the term “within-die uniformity” refers to a consistency in height and/or density of layers within a given die. As is known in the art, in the process of forming IC devices, material layers are often formed, modified, etc. over a large wafer, which is then cut (or diced) to form individual pieces, each of which is called a die.
  • In contrast to conventional approaches, various embodiments of the disclosure include approaches for forming nitride regions with substantially uniform heights within a layer of an IC structure or device. That is, according to various embodiments, approaches described herein can control (e.g., enhance) within-die uniformity (e.g., height uniformity in silicide layers) in IC structures.
  • In the following description, reference is made to the accompanying drawings that form a part thereof, and in which is shown by way of illustration specific embodiments in which the present teachings may be practiced. These embodiments are described in sufficient detail to enable those skilled in the art to practice the present teachings and it is to be understood that other embodiments may be utilized and that changes may be made without departing from the scope of the present teachings. The following description is, therefore, merely illustrative.
  • As described herein, “depositing” may include any now known or later developed techniques appropriate for the material to be deposited including but are not limited to, for example: chemical vapor deposition (CVD), low-pressure CVD (LPCVD), plasma-enhanced CVD (PECVD), semi-atmosphere CVD (SACVD) and high density plasma CVD (HDPCVD), rapid thermal CVD (RTCVD), ultra-high vacuum CVD (UHVCVD), limited reaction processing CVD (LRPCVD), metalorganic CVD (MOCVD), sputtering deposition, ion beam deposition, electron beam deposition, laser assisted deposition, thermal oxidation, thermal nitridation, spin-on methods, physical vapor deposition (PVD), atomic layer deposition (ALD), chemical oxidation, molecular beam epitaxy (MBE), plating, evaporation.
  • FIG. 1 is a flow diagram illustrating processes performed according to various embodiments of the disclosure. FIGS. 2A, 2B through 8A, 8B show schematic cross-sectional depictions of regions of integrated circuit structures (and precursor structures) that illustrate processes performed according to various embodiments. It is understood that the processes outlined herein may be performed in a different order than described in some embodiments. Additionally, not all of the processes outlined herein need necessarily be performed according to various embodiments.
  • Turning to FIGS. 2A, 2B through 8A, 8B, with continuing reference to FIG. 1, schematic depictions of processes of forming an integrated circuit (IC) structure 26 (FIG. 8A and FIG. 8B) performed on a first region 1 of a precursor structure 2 (FIGS. 2A) and a second region 3 of precursor structure 2, are shown according to various embodiments. Figures labeled “A” depict first region 1, which includes a higher-density region (with greater number of fins 8) relative to a lower-density region (second region 3), labeled in Figures “B.” As shown in FIGS. 2A and 2B, precursor structure 2 can include an oxide layer 4 over a set of fin structures 6, where the underlying set of fin structures 6 can include a plurality of fins 8 having a substrate base 10 and a silicide layer 12 overlying substrate base 10. In various embodiments, oxide layer 4 can include silicon dioxide (SiO2). FIGS. 2A-7B illustrate processes, with respect to two distinct regions 1, 3 (cross-section across fins 8).
  • Substrate base 10 can be formed (e.g., etched) from a substrate 12, which may include silicon, doped silicon or silicon germanium. In some cases, substrate 12 can include on or more substrate materials such as silicon, germanium, silicon germanium, silicon carbide, and those consisting essentially of one or more III-V compound semiconductors having a composition defined by the formula AlX1GaX2InX3AsY1PY2NY3SbY4, where X1, X2, X3, Y1, Y2, Y3, and Y4 represent relative proportions, each greater than or equal to zero and X1+X2+X3+Y1+Y2+Y3+Y4=1 (1 being the total relative mole quantity). Other suitable substrates include II-VI compound semiconductors having a composition ZnA1CdA2SeB1TeS2, where A1, A2, B1, and B2 are relative proportions each greater than or equal to zero and A1+A2+B1+B2=1 (1 being a total mole quantity). Furthermore, a portion or entire substrate 12 may be strained. In various embodiments, e.g., where substrate 12 includes a doped silicon, substrate 12 can include elemental semiconductor materials (e.g., silicon, germanium, carbon, or alloys thereof), III-V semiconductor materials, or II-VI semiconductor materials. According to various embodiments, the (doped) silicon substrate 12 is deposited as a bulk silicon, and subsequently ionized to dope the bulk silicon material. In other cases, a portion of substrate 12 is ionized (e.g., subjected to ionizing radiation) to form a doped silicon layer. In various embodiments, a conventional masking and etching process can be employed to form fins 8, e.g., from substrate base 10, e.g., including forming a hard mask over substrate base 10 and etching the underlying silicon (e.g., doped silicon) using the mask to remove portions of substrate base 10 between plurality of fins 8. It is understood, however, that fins 8 can be formed according to various approaches known in the art. In non-limiting examples, fins 8 can be formed by epitaxially growing at least a portion of fins 8 over substrate 12, by patterning portions of fins 8 over substrate base 12, etc.
  • As shown, processes according to various embodiments can include:
  • Process P1A (an optional pre-process according to various embodiments), which is illustrated in FIGS. 2A-2B and 3A-3B, including: polishing oxide layer 4, wherein oxide layer 4 includes surface contours 14 (FIGS. 2A, 2B) prior to the polishing (FIG. 3A, 3B). In various embodiments, surface contours 14 can include bumps or protrusions forming an uneven upper surface of oxide layer 4. In various embodiments, polishing oxide layer 4 includes performing a conventional chemical mechanical polishing (planarization) technique known in the art, e.g., using a polishing device and a chemical slurry to remove portions of oxide layer 4. As shown in FIGS. 2A and 2B, prior to polishing, surface contours 14 may exist due to the formation of oxide layer 4 over underlying fins 8 and spaces between those fins 8. Surface contours 14 can correspond with a density of fins 8 in a particular region, for example, first region 1 may have a greater concentration of surface contours 14 due to its higher density of fins 8 when compared with second region 3.
  • Process P1 (following process P1A, in various embodiments, illustrated in FIGS. 3A and 4A): forming a mask 16 over oxide layer 4 and an underlying set of fin structures 8. In various embodiments, mask 16 can include a conventional photoresist and/or hardmask material, such as a nitride, e.g., a silicon nitride. In some cases, mask 16 is deposited over oxide layer 4 using conventional deposition techniques, however, in other cases, mask 16 may be epitaxially grown or otherwise formed over oxide layer 4. In some cases, mask 16 may be formed using conventional photolithography techniques, including but not limited to deep ultraviolet (DUV) or extreme ultraviolet (EUV) processes, sidewall imaging transfer processes, or multiple patterning processes. In various embodiments, as shown in the side-by-side comparison of FIGS. 4A and 4B, mask 16 may be selectively formed over only a region (e.g., first region 1) and not formed over other region(s) (e.g., second region 3).
  • Process P2 (illustrated in FIGS. 5A and 5B): implanting (with ions 17) oxide layer 4 through an opening 18 in mask 16. As shown in FIGS. 5A and 5B, mask 16 can be formed over fins 8 in first region 1, leaving fin structures 6 in second region 3 (e.g., in regions having a lower concentration of fins 8, where fins 8 are adjacent large oxide regions 20) exposed via opening(s) 18. In various embodiments, oxide 4 and oxide regions 20 (e.g., separating adjacent sets of fin structures 6) are implanted with ions 17 via conventional ion-implant techniques. Mask 16 can prevent implanting in underlying regions of oxide 4 and fins 8. In some cases, ion implanting is performed with ions of at least one of carbon (C), phosphorous (P) or boron (B). Use of C, P or B ions for implanting can reduce the subsequent removal rate (e.g., polishing rate) of oxide 4 in second region 3 when compared with non-implanted oxide 4 in first region 1.
  • Process P3 (shown as post-implanted depiction in FIGS. 6A and 6B): removing mask 16, e.g., via conventional etching techniques such as wet etching or dry etching. In some cases, mask 16 can be removed from first region 1 using a chemical etching process. For example, remaining mask 16 can be removed, e.g., by dry plasma ashing or (selectively) wet cleaning (e.g., using sulfuric peroxide). As shown, a portion 21 of oxide layer 4 in second region 3, previously exposed between mask(s) 16, is implanted with ions.
  • Process P4 (FIGS. 7A, 7B): polishing oxide layer 4 overlying set of fin structures 6 after removing mask 16 to expose an upper surface 23 the set of fin structures 6. In various embodiments, polishing oxide layer 4 includes performing a conventional chemical mechanical polishing (planarization) technique known in the art, e.g., using a polishing device and a chemical slurry to remove portions of oxide layer 4. In some cases, polishing is performed in a single process across first region 1 and second region 3, such that additional processing is not necessary for lower-density regions (e.g., second region 3) versus higher-density regions (e.g., first region 1)
  • Process P5 (FIGS. 8A, 8B): forming a nitride layer 22 over the set of fin structures 6. In various embodiments, nitride layer 22 can include silicon nitride (SiN). According to some embodiments, forming nitride layer 22 can include forming (e.g., depositing) a silicon (e.g., thin layer) over the exposed set of fin structures 6 and a remaining portion 24 of oxide layer 4, and exposing the silicon to nitrogen and heat to convert the silicon to SiN. According to various embodiments, implanting oxide 4 in large oxide regions 20, e.g., in lower-density region (second region 3), can reduce the removal rate of the oxide 4 in these regions, and allow for level formation of nitride layer 22 across a wide gap between adjacent fins 8.
  • That is, the polishing process (P4) is a significant process in reducing free oxide residue within oxide layer 4. However, as noted herein, where large oxide regions are present, such as oxide 4 in second region 3, the macro-loading from polishing can cause conventional oxide structures to polish at different, undesirably fast, rates. According to various embodiments herein, oxide 4 in these regions (e.g., large oxide regions 20 in second region 3) is ion implanted to reduce the removal (e.g., polish) rate of that oxide 4 relative to oxide 4 in more densely-packed regions (e.g., first region 1). By reducing the removal rate of large oxide regions 20 in second region 3 relative to oxide 4 in first region 1, a more uniform upper surface can be formed between the two regions 1, 3, allowing for level formation of nitride layer 22 in IC structure 26.
  • According to various embodiments, as shown in the IC structure 26 in FIGS. 8A and 8B, a height (h) of nitride layer 22 is substantially uniform across set of fin structures 6, e.g., nitride layer 22 has a substantially uniform thickness across fin structures 6 and oxide 4. In other words, as measured across a flat plane (p), the substantially uniform height (h) is defined as having a deviation of less than approximately 2 nanometers across nitride layer 22 over the set of fin structures 6 and oxide 4. As noted herein, forming nitride layer 22 such that it has a height uniformity in IC structure 26 can help to overcome performance issues associated with non-uniform density in conventional integrated circuits.
  • It is understood that the herein-noted approaches can be implemented in any stage of integrated circuit formation, e.g., front-end of line (FEOL), back-end of line (BEOL) and/or middle of line (MOL) processes. As is known in the art, FEOL can include operations performed on the semiconductor wafer in the course of device manufacturing up to first metallization, BEOL can include operations performed on the semiconductor wafer in the course of device manufacturing following first metallization, and MOL can include operations performed on the semiconductor wafer during first metallization.
  • When an element or layer is referred to as being “on”, “engaged to”, “connected to” or “coupled to” another element or layer, it may be directly on, engaged, connected or coupled to the other element or layer, or intervening elements or layers may be present. In contrast, when an element is referred to as being “directly on,” “directly engaged to”, “directly connected to” or “directly coupled to” another element or layer, there may be no intervening elements or layers present. Other words used to describe the relationship between elements should be interpreted in a like fashion (e.g., “between” versus “directly between,” “adjacent” versus “directly adjacent,” etc.). As used herein, the term “and/or” includes any and all combinations of one or more of the associated listed items.
  • Spatially relative terms, such as “inner,” “outer,” “beneath”, “below”, “lower”, “above”, “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. Spatially relative terms may be intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as “below” or “beneath” other elements or features would then be oriented “above” the other elements or features. Thus, the example term “below” can encompass both an orientation of above and below. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the disclosure. As used herein, the singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof. It is further understood that the terms “front” and “back” are not intended to be limiting and are intended to be interchangeable where appropriate.
  • This written description uses examples to disclose the invention, including the best mode, and also to enable any person skilled in the art to practice the invention, including making and using any devices or systems and performing any incorporated methods. The patentable scope of the invention is defined by the claims, and may include other examples that occur to those skilled in the art. Such other examples are intended to be within the scope of the claims if they have structural elements that do not differ from the literal language of the claims, or if they include equivalent structural elements with insubstantial differences from the literal languages of the claims.
  • The descriptions of the various embodiments of the present invention have been presented for purposes of illustration, but are not intended to be exhaustive or limited to the embodiments disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the described embodiments. The terminology used herein was chosen to best explain the principles of the embodiments, the practical application or technical improvement over technologies found in the marketplace, or to enable others of ordinary skill in the art to understand the embodiments disclosed herein.

Claims (4)

We claim:
1. An integrated circuit (IC) structure comprising:
a substrate;
a set of fin structures overlying the substrate, the set of fin structures including a substrate base and a silicide layer over the substrate base;
an oxide layer located between adjacent fins in the set of fin structures; and
a nitride layer over the set of fin structures, wherein a height of the nitride layer is substantially uniform across the set of fin structures.
2. The IC structure of claim 1, wherein the oxide layer includes silicon dioxide (SiO2) and is doped with at least one of carbon, phosphorous or boron, and wherein the nitride layer directly contacts the set of fin structures.
3. The IC structure of claim 1, wherein the substantially uniform height is defined as having a deviation of less than approximately 2 nanometers across the nitride layer over the set of fin structures.
4. The IC structure of claim 1, wherein the nitride layer includes silicon nitride (SiN).
US15/628,984 2016-05-20 2017-06-21 Controlling within-die uniformity using doped polishing material Abandoned US20170338226A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/628,984 US20170338226A1 (en) 2016-05-20 2017-06-21 Controlling within-die uniformity using doped polishing material

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/160,409 US9754837B1 (en) 2016-05-20 2016-05-20 Controlling within-die uniformity using doped polishing material
US15/628,984 US20170338226A1 (en) 2016-05-20 2017-06-21 Controlling within-die uniformity using doped polishing material

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/160,409 Division US9754837B1 (en) 2016-05-20 2016-05-20 Controlling within-die uniformity using doped polishing material

Publications (1)

Publication Number Publication Date
US20170338226A1 true US20170338226A1 (en) 2017-11-23

Family

ID=59702451

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/160,409 Active US9754837B1 (en) 2016-05-20 2016-05-20 Controlling within-die uniformity using doped polishing material
US15/628,984 Abandoned US20170338226A1 (en) 2016-05-20 2017-06-21 Controlling within-die uniformity using doped polishing material

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/160,409 Active US9754837B1 (en) 2016-05-20 2016-05-20 Controlling within-die uniformity using doped polishing material

Country Status (3)

Country Link
US (2) US9754837B1 (en)
CN (1) CN107403715B (en)
TW (1) TWI690997B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10559470B2 (en) 2018-01-22 2020-02-11 Globalfoundries Inc. Capping structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070221956A1 (en) * 2006-03-23 2007-09-27 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US20100015778A1 (en) * 2008-07-21 2010-01-21 Advanced Micro Devices, Inc. Method of forming finned semiconductor devices with trench isolation
US20130228830A1 (en) * 2012-03-02 2013-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. ("Tsmc") Gate structure for semiconductor device
US20150104954A1 (en) * 2013-10-16 2015-04-16 Asm Ip Holding B.V. Deposition of boron and carbon containing materials

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6146973A (en) * 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. High density isolation using an implant as a polish stop
US6518176B2 (en) * 1998-06-05 2003-02-11 Ted Guo Method of selective formation of a barrier layer for a contact level via
JP4504214B2 (en) * 2005-02-04 2010-07-14 株式会社東芝 MOS type semiconductor device and manufacturing method thereof
KR100642391B1 (en) * 2005-04-04 2006-11-03 주식회사 하이닉스반도체 Chemical mechanical polishing method for manufacturing a semiconductor device
JP2007042713A (en) * 2005-08-01 2007-02-15 Seiko Epson Corp Process for fabricating semiconductor device
US8748989B2 (en) * 2012-02-28 2014-06-10 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistors
US8906759B2 (en) * 2013-02-25 2014-12-09 International Business Machines Corporation Silicon nitride gate encapsulation by implantation
US9184089B2 (en) * 2013-10-04 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070221956A1 (en) * 2006-03-23 2007-09-27 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US20100015778A1 (en) * 2008-07-21 2010-01-21 Advanced Micro Devices, Inc. Method of forming finned semiconductor devices with trench isolation
US20130228830A1 (en) * 2012-03-02 2013-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. ("Tsmc") Gate structure for semiconductor device
US20150104954A1 (en) * 2013-10-16 2015-04-16 Asm Ip Holding B.V. Deposition of boron and carbon containing materials

Also Published As

Publication number Publication date
US9754837B1 (en) 2017-09-05
TW201812910A (en) 2018-04-01
CN107403715A (en) 2017-11-28
TWI690997B (en) 2020-04-11
CN107403715B (en) 2021-03-19

Similar Documents

Publication Publication Date Title
US9653571B2 (en) Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US20220208615A1 (en) Dielectric Fins With Different Dielectric Constants and Sizes in Different Regions of a Semiconductor Device
US8367534B2 (en) Non-uniformity reduction in semiconductor planarization
US20180233585A1 (en) Merged gate and source/drain contacts in a semiconductor device
TW201935650A (en) Middle of line structures
CN109119470A (en) Boundary interval object structure and integrated
US10522679B2 (en) Selective shallow trench isolation (STI) fill for stress engineering in semiconductor structures
US11031279B2 (en) Semiconductor device with reduced trench loading effect
US9754837B1 (en) Controlling within-die uniformity using doped polishing material
US10224330B2 (en) Self-aligned junction structures
US9685374B1 (en) Contact process flow
US20190229019A1 (en) Contact structures
US20210017641A1 (en) Carbon layer covered mask in 3d applications
US8039400B2 (en) Reducing contamination of semiconductor substrates during BEOL processing by performing a deposition/etch cycle during barrier deposition
US9941204B2 (en) III-V compatible anti-fuses
CN107039347B (en) Forming stressed epitaxial layers using dummy gates
US20190027556A1 (en) Shallow trench isolation (sti) gap fill
US20170170016A1 (en) Multiple patterning method for substrate
US10636893B2 (en) Replacement metal gate with reduced shorting and uniform chamfering
TWI835167B (en) Method for forming integrated circuit device and semiconductor device
US20230122175A1 (en) Multiple threshold voltage scheme in complementary metal oxide semiconductor transistors
TWI834830B (en) Semiconductor device and method for manufacturing the same
WO2023040722A1 (en) Buried power rail for semiconductors
US20230067493A1 (en) Top via patterning using metal as hard mask and via conductor
US9966272B1 (en) Methods for nitride planarization using dielectric

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HUANG, HAIGOU;LIU, JINPING;LIU, HUANG;AND OTHERS;SIGNING DATES FROM 20160518 TO 20160519;REEL/FRAME:042768/0485

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117