US20170140932A1 - Method of forming ultra-thin nanowires - Google Patents

Method of forming ultra-thin nanowires Download PDF

Info

Publication number
US20170140932A1
US20170140932A1 US14/941,909 US201514941909A US2017140932A1 US 20170140932 A1 US20170140932 A1 US 20170140932A1 US 201514941909 A US201514941909 A US 201514941909A US 2017140932 A1 US2017140932 A1 US 2017140932A1
Authority
US
United States
Prior art keywords
nanowire
mask layer
opening
forming
diameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/941,909
Other versions
US9653288B1 (en
Inventor
Martin Christopher Holland
Blandine Duriez
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/941,909 priority Critical patent/US9653288B1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: Duriez, Blandine, HOLLAND, MARTIN CHRISTOPHER
Priority to TW105136011A priority patent/TWI701707B/en
Priority to CN201610994270.8A priority patent/CN106711329A/en
Priority to US15/595,253 priority patent/US9978834B2/en
Application granted granted Critical
Publication of US9653288B1 publication Critical patent/US9653288B1/en
Publication of US20170140932A1 publication Critical patent/US20170140932A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02463Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02513Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02543Phosphides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02549Antimonides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66469Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with one- or zero-dimensional channel, e.g. quantum wire field-effect transistors, in-plane gate transistors [IPG], single electron transistors [SET], Coulomb blockade transistors, striped channel transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66522Unipolar field-effect transistors with an insulated gate, i.e. MISFET with an active layer made of a group 13/15 material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/80Constructional details
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials

Definitions

  • a non-planar device e.g., a vertical FET
  • FIG. 1 depicts a method of fabricating a nanowire-based device in accordance with various embodiments.
  • FIGS. 2A, 2C, 2D, 2E, 2F, 2G, 2H, 2I, and 2J depict cross-sectional views of a nanowire-based device fabricated by the method of FIG. 1 in accordance with various embodiments.
  • FIG. 2B depicts a top view of a nanowire-based device fabricated by the method of FIG. 1 in accordance with various embodiments.
  • FIG. 3 depicts a perspective view of an array of nanowires fabricated by the method of FIG. 1 in accordance with various embodiments.
  • FIG. 4 depicts a method of fabricating a nanowire-based device in accordance with various embodiments.
  • FIGS. 5A, 5B, 5C, 5D, 5E, 5F, and 5G depict cross-sectional views of a nanowire-based device fabricated by the method of FIG. 4 in accordance with various embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact.
  • Various features in the figures may be arbitrarily drawn in different scales for the sake of simplicity and clarity.
  • FIG. 1 is a flowchart of a method 100 of forming a nanowire-based device 200 with an ultrathin diameter constructed according to various aspects of the present disclosure in one or more embodiments.
  • the method 100 is described with reference to FIG. 1 and in conjunction with FIGS. 2A, 2B, 2C, 2D, 2E, 2F, 2G, and 2H .
  • FIGS. 2A-2H are cross sectional views of forming the nanowire-based device 200 by the method 100 according to some embodiments.
  • the nanowire-based device 200 fabricated according to the disclosed method 100 may be an element of a field-effect-transistor (FET).
  • FET field-effect-transistor
  • the nanowire-based device may form a channel, a source feature, and/or a drain feature of a vertical FET. It is understood that additional steps can be provided before, during, and/or after the method 100 , and some of the steps described can be replaced, eliminated, and/or moved around for additional embodiments of the method 100 .
  • ultrathin diameter refers to diameter not greater than about 10 nanometers.
  • Ultrathin nanowires are important because a nanowire-based device with such ultrathin nanowires may result in better performance of the device as a whole such as, for example, higher switching speed, lower leakage current, lower contact resistance, etc. This is especially true in non-planar devices.
  • method 100 begins at operation 102 by providing a substrate 202 overlaid by a mask layer 204 with an opening 206 .
  • the substrate 202 is a semiconductor substrate and includes silicon.
  • the substrate includes germanium, silicon germanium and/or other semiconductor materials such as III/V materials (e.g., InAs, GaAs, InP, GaN, etc.).
  • the substrate 202 may include a buried dielectric material layer for isolation formed by a proper technology, such as a technology referred to as separation by implanted oxygen (SIMOX).
  • the substrate 202 may be a semiconductor on insulator, such as silicon on insulator (SOI).
  • the mask layer 204 may be formed of a dielectric layer such as, for example, silicon nitride (SiN x ) and/or silicon oxide (SiO x ).
  • the mask layer 204 may be formed by using any of a variety of deposition processes such as, for example, a physical vapour deposition (PVD) process, a chemical vapour deposition (CVD) process, and/or an atomic layer deposition (ALD) process.
  • the opening 206 extending through mask layer 204 may be formed by using one of a variety of approaches that are suitable to form an opening with a well-controlled size (e.g., diameter) and position through the mask layer.
  • the opening 206 may be formed by using an electron beam lithography (EBL), a nanoimprint lithography, an optical lithography and a reactive ion etching (RIE) and/or a wet chemical etching method.
  • EBL electron beam lithography
  • RIE reactive ion etching
  • opening 206 from a top view, has a curvilinear shape (e.g., a circle) as shown in FIG. 2B .
  • the opening 206 may have a diameter D 1 .
  • the diameter D 1 may range between about 15 nanometers to about 100 nanometers.
  • the opening 206 is described as a circle in the current embodiment, the opening 206 may have any of a variety of shapes, which may vary according to the approach of forming the opening 206 .
  • method 100 proceeds to operation 104 by forming a nanowire 208 from the substrate 202 that extends through the opening 206 in mask layer 204 .
  • the formed nanowire 208 protrudes from (or extends beyond) top surface 204 a of the mask layer 204 . That is, in some embodiments, nanowire 208 has a height h 1 that is greater than a thickness t 1 of the mask layer 204 . In other embodiments, the height of the nanowire 208 may be less than the thickness of the mask layer 204 .
  • the nanowire 208 may be formed of a material that is either the same as or different than the material of the substrate 202 .
  • the nanowire 208 may be formed of III/V or II-VI compound materials (e.g., InAs, GaAs, InP, GaN, etc.) while the material of the substrate is formed of silicon.
  • the nanowire 208 may be formed of silicon while the material of the substrate is also formed of silicon.
  • the nanowire 208 may be formed of silicon with a first crystalline orientation (e.g., ⁇ 111>) while the material of the substrate is also formed of silicon but with a second crystalline orientation (e.g., ⁇ 001>).
  • the nanowire 208 may include at least one of a variety of materials such as, for example, silicon, germanium, InAs, InP, GaAs, GaSb, InSb, GaP, InGaAs, InGaP, and/or a combination thereof.
  • the forming the nanowire 208 through the opening 206 may include a selective area growth metal organic chemical vapour deposition (SAG-MOCVD) or metal organic vapour phase epitaxy (SAG-MOVPE) growth.
  • forming the nanowire 208 may include any of a variety of deposition approaches (e.g., CVD, MOCVD) and remain within the scope of the present disclosure.
  • the nanowire 208 since the nanowire 208 is formed within opening 206 in the mask layer 204 , the nanowire 208 includes a curvilinear cross-section (i.e. circular shape) and such a curvilinear nanowire 208 may include a diameter that is approximately the same as the diameter D 1 .
  • the removing the mask layer 204 may include a wet and/or a dry etching process. After the mask layer 204 is removed, top surface 202 a of the substrate 202 is exposed. As such, surfaces 208 a, 208 b, and 208 c of the nanowire 208 , including lower portions of the sidewalls 208 a and 208 c that were covered by the mask layer 204 , are exposed as well.
  • chemicals including but not limited to hydrogen fluoride (e.g., 2%), may be used.
  • the oxidizing operation 209 may include one of a variety of oxidation processes such as, for example, placing the device 200 in an oxidizing chamber (e.g., a UV ozone chamber), placing the device 200 in an oxidizer (e.g., H 2 O 2 ), and/or applying an oxidizer (e.g., H 2 O 2 ) on the nanowire 208 to form the oxidized layer 210 .
  • an oxidizing chamber e.g., a UV ozone chamber
  • H 2 O 2 an oxidizer
  • an oxidizer e.g., H 2 O 2
  • the oxidized layer 210 may extend inward and outward the surfaces of the nanowire 208 (the dotted line shown in FIG. 2E ). That is, after the oxidizing operation 209 , a nanowire 208 ′ covered by the oxidized layer 210 may be formed. Moreover, such a newly formed nanowire 208 ′ may have a diameter D 2 and the diameter of the nanowire 208 ′ (D 2 ) is less than the diameter of the nanowire 208 (D 1 ). However, in some other embodiments, the diameter of the nanowire 208 ′ (D 2 ) may be the same or greater than the diameter of the nanowire 208 (D 1 ).
  • etching oxidized layer 210 may include applying an etching solution over the oxidized layer 210 .
  • etching solutions may be used, such as, for example, HCl, NH 4 OH, (NH 4 ) 2 S, etc.
  • blocks 108 and 110 may be successively performed as a cycle, and each cycle may be performed iteratively so as a desired diameter D 2 is reached. Such an iteration process may be referred to as a digital etching process.
  • any number of iterations may be performed.
  • the iteration process may be stopped and the process continues onto block 112 .
  • the iteration process may be continued, such as repeating the processes described in blocks 108 and 110 until the desired diameter of the nanowire 208 ′ has been achieved.
  • the mask layer 210 may be formed of a dielectric layer such as, for example, silicon nitride (SiN x ) and/or silicon oxide (SiO x ). Moreover, mask layer 210 may be formed of the same material or different material as mask layer 204 .
  • the mask layer 210 may be formed by using any of a variety of deposition processes such as, for example, a physical vapour deposition (PVD) process, a chemical vapour deposition (CVD) process, and/or an atomic layer deposition (ALD) process.
  • PVD physical vapour deposition
  • CVD chemical vapour deposition
  • ALD atomic layer deposition
  • method 100 proceeds to block 114 by removing the nanowire 208 ′.
  • One or more of a variety of processes may be used to remove the nanowire 208 ′, which includes a thermal process, a wet etching process, and/or a dry etching process.
  • a thermal process to remove the nanowires 208 ′ (e.g., InAs nanowire(s)) may include baking the substrate 202 to about 650° C. for about 5 minutes with a hydrogen flow.
  • a higher temperature may be needed such as about up to 800° C.
  • the wet etching process to remove the nanowire 208 ′ may include applying ammonia peroxide water mix (APM) onto the substrate 202 at about 80° C., whereby the APM may include NH 4 OH, H 2 O 2 , and H 2 O that are mixed in a ratio of 1:1:5.
  • APM ammonia peroxide water mix
  • an opening 212 having the diameter D 2 in the mask layer 210 is formed as illustrated in FIG. 2H . Opening 212 exposes a portion 202 b of the top surface of the substrate 202 .
  • the nanowire 214 may be formed of a material that is either the same or different than the material of the substrate 202 and the nanowire 208 .
  • the nanowire 214 may be formed of III/V or II-VI compound materials (e.g., InAs, GaAs, InP, GaN, etc.) while the material of the substrate is formed of silicon.
  • the nanowire 214 may be formed of silicon while the material of the substrate is also formed of silicon.
  • the nanowire 214 may be formed of silicon with a first crystalline orientation (e.g., ⁇ 111>) while the material of the substrate is also formed of silicon but with a second crystalline orientation (e.g., ⁇ 001>).
  • the nanowire 214 may include at least one of a variety of materials such as, for example, silicon, germanium, InAs, InP, GaAs, GaSb, InSb, GaP, InGaAs, InGaP, or a combination thereof.
  • the forming the nanowire 214 through the opening 212 may include a selective area growth metal organic chemical vapour deposition (SAG-MOCVD) or metal organic vapour phase epitaxy (SAG-MOVPE) growth while any of a variety of deposition approaches (e.g., CVD, MOCVD) may be used and remaining within the scope of the present disclosure.
  • SAG-MOCVD selective area growth metal organic chemical vapour deposition
  • SAG-MOVPE metal organic vapour phase epitaxy
  • CVD metal organic chemical vapour deposition
  • MOCVD metal organic vapour phase epitaxy
  • the nanowire 214 since the nanowire 214 is formed following the opening 212 in the mask layer 210 , the nanowire 214 has a curvilinear cross-section (i.e. circular shape) and such a curvilinear nanowire 214 includes a diameter that is approximately the same as the diameter D 2 .
  • method 100 may then proceed to block 118 that includes further fabrication steps.
  • a source feature 216 may be formed in the nanowire 214 .
  • gate dielectric layer 222 and gate contact 224 may be formed to wrap the channel region 218 .
  • Such fabrication steps may result in the device 200 to be formed as an element of a vertical FET.
  • a nanowire-based device 300 that includes a plurality of nanowires 310 formed on the substrate 202 is illustrated.
  • a plurality of nanowires 310 may form an array of nanowires.
  • Such a nanowire-based device 300 may be formed using the embodiment of the method 100 .
  • FIG. 4 is a flowchart of a method 400 of forming a nanowire-based device 500 with ultrathin diameter constructed according to various aspects of the present disclosure in one or more embodiments.
  • the method 400 is described with reference to FIG. 4 and in conjunction with FIGS. 5A, 5B, 5C, 5D, 5E, and 5F .
  • FIGS. 5A-5F are cross sectional views of forming the nanowire-based device 500 by the method 400 according to some embodiments.
  • the nanowire-based device 500 fabricated according to the disclosed method 400 may be an element of a field-effect-transistor (FET).
  • FET field-effect-transistor
  • the nanowire-based device may form a channel, a source feature, and/or a drain feature of a vertical FET. It is understood that additional steps can be provided before, during, and/or after the method 400 , and some of the steps described can be replaced, eliminated, and/or moved around for additional embodiments of the method 400 .
  • method 400 begins at operation 402 with providing a substrate 502 overlaid by a mask layer 504 with an opening 506 .
  • the substrate 502 is a semiconductor substrate and includes silicon.
  • the substrate includes germanium, silicon germanium and/or other proper semiconductor materials such as III/V materials.
  • the substrate 502 may include a buried dielectric material layer for isolation formed by a proper technology, such as a technology referred to as separation by implanted oxygen (SIMOX).
  • the substrate 502 may be a semiconductor on insulator, such as silicon on insulator (SOI).
  • the mask layer 504 may be formed of a dielectric layer such as, for example, silicon nitride (SiN x ) and/or silicon oxide (SiO x ).
  • the mask layer 504 may be formed by using any of a variety of deposition processes such as, for example, a physical vapour deposition (PVD) process, a chemical vapour deposition (CVD) process, and/or an atomic layer deposition (ALD) process.
  • the opening 506 extending through mask layer 504 may be formed by using one of a variety of approaches that are suitable to form an opening with a well-controlled size (e.g., diameter) and position through the mask layer.
  • the opening 506 may be formed by using an electron beam lithography (EBL), a nanoimprint lithography, an optical lithography and a reactive ion etching (RIE) and/or a wet chemical etching method.
  • EBL electron beam lithography
  • RIE reactive ion etching
  • the opening 506 from a top view, has a curvilinear shape (e.g., a circle). As such, the opening 506 may have a diameter D 3 . In some embodiments, the diameter D 3 may range between about 15 nanometers to about 100 nanometers. While the opening 506 is described as a circle in the current embodiment, the opening 506 may have any of a variety of shapes, which may vary according to the approach of forming the opening 506 .
  • method 400 proceeds to block 404 with forming a spacer layer 508 over the mask layer 504 and the opening 506 in the mask layer 504 .
  • the spacer layer 508 includes multiple segments: 508 a, 508 b, and 508 c and each of the segments overlays a top surface or extends along a sidewall of the mask layer 504 and the substrate 502 .
  • the segment 508 a overlays top surface 504 a of the mask layer 504 ;
  • the segment 508 b extends along sidewall 504 b of the mask layer 504 ;
  • the segment 508 c overlays a portion of top surface 502 a of the substrate 502 .
  • the spacer layer 508 is a conformal layer, which means that each of the segments of such layer 508 shares a thickness that is substantially similar or the same.
  • the segment 508 a has a thickness W 1 ; the segment 508 b has a thickness W 3 ; the segment 508 c has a thickness W 2 , and W 1 , W 2 , and W 3 are substantially similar or the same.
  • the conformal spacer layer 508 may be formed by using any of a variety of deposition processes such as, for example, a physical vapour deposition (PVD) process, a chemical vapour deposition (CVD) process, and an atomic layer deposition (ALD) process.
  • the conformal spacer layer 508 may be formed of a material that is similar to or different than the material of the mask layer 504 such as, for example, silicon nitride (SiN x ) and/or silicon oxide (SiO x ).
  • the conformal spacer layer 508 is formed of a material that may have a different etching selectivity than the material of the mask layer 504 has.
  • the etching process 509 may include an anisotropic etching process (e.g., a dry etching process).
  • an anisotropic etching process e.g., a dry etching process.
  • the segments 508 a and 508 c of the conformal layer 508 i.e., the horizontal segments
  • the segments 508 a and 508 c of the conformal layer 508 have been removed from the top surface 504 a of the mask layer 504 and the portion of the top surface 502 a of the substrate 502 while the segment 508 b along the sidewall 504 b of the mask layer 504 remains.
  • a new opening 510 with diameter D 4 is formed where D 4 is less than D 3 .
  • the anisotropic etching process 509 may include using a plasma (e.g., a CF 4 plasma) etching process at about 50° C. for about 15 seconds.
  • method 400 proceeds to block 408 by forming a spacer layer 512 over the mask layer 504 , the remaining conformal spacer layer 508 b, and the opening 510 .
  • spacer layer 512 includes multiple segments: 512 a, 512 b, and 512 c, and each of these segments overlays a top surface or extends along a sidewall of the mask layer 504 , the remaining conformal spacer layer 508 b, and the substrate 502 . As illustrated in FIG.
  • the segment 512 a overlays top surface 504 a of the mask layer 504 ; the segment 512 b extends along a sidewall of the remaining conformal layer 508 b; the segment 512 c overlays a portion of the top surface of the substrate 502 .
  • the layer 512 is a conformal layer, which means that each of the segments of such layer 512 shares a thickness that is substantially similar or the same.
  • the segment 512 - a has a thickness W 4 ; the segment 512 - b has a thickness W 5 ; the segment 512 - c has a thickness W 6 , and W 4 , W 5 , and W 6 are substantially similar or the same.
  • the conformal spacer layer 512 may be formed by using any of a variety of deposition processes such as, for example, a physical vapour deposition (PVD) process, a chemical vapour deposition (CVD) process, and an atomic layer deposition (ALD) process.
  • the conformal spacer layer 512 may be formed of a material that is similar to or different than the material of the mask layer 504 and the conformal layer 508 such as, for example, silicon oxide (SiO x ).
  • the conformal spacer layer 512 is formed of a material that may have a different etching selectivity than the material of the mask layer 504 has.
  • the etching process 513 may include an isotropic etching process (e.g., a dry etching process).
  • the segments 512 a and 512 c of the conformal spacer layer 512 i.e., the horizontal segments
  • the segments 512 a and 512 c of the conformal spacer layer 512 have been removed from the top surface 504 a of the mask layer 504 and the portion of the top surface 502 a of the substrate 502 while the segment 512 b along the sidewall of the remaining conformal layer 508 b remains.
  • a new opening 514 with diameter D 5 is formed where D 5 is less than D 4 .
  • the anisotropic etching process 513 may include using a plasma (e.g., a CF 4 plasma) etching process at about 50° C. for about 15 seconds.
  • the nanowire 516 may be formed of a material that is the same or different than the material of the substrate 502 .
  • the nanowire 516 may be formed of III/V or II-VI compound materials (e.g., InAs, GaAs, InP, GaN, etc.) while the material of the substrate is formed of silicon.
  • the nanowire 516 may be formed of silicon while the material of the substrate is also formed of silicon.
  • the nanowire 516 may be formed of silicon with a first crystalline orientation (e.g., ⁇ 111>) while the material of the substrate is also formed of silicon but with a second crystalline orientation (e.g., ⁇ 001>).
  • the nanowire 516 may include at least one of a variety of materials such as, for example, silicon, germanium, InAs, InP, GaAs, GaSb, InSb, GaP, InGaAs, InGaP, or a combination thereof.
  • the forming the nanowire 516 through the opening 514 may include a selective area growth metal organic chemical vapour deposition (SAG-MOCVD) or metal organic vapour phase epitaxy (SAG-MOVPE) growth while any of a variety of deposition approaches (e.g., CVD, MOCVD) may be used and remaining within the scope of the present disclosure.
  • SAG-MOCVD selective area growth metal organic chemical vapour deposition
  • SAG-MOVPE metal organic vapour phase epitaxy
  • any of a variety of deposition approaches e.g., CVD, MOCVD
  • the nanowire 516 since the nanowire 516 is formed following the opening 514 , the nanowire 516 may include a curvilinear cross-section and such a curvilinear nanowire 516 may has a diameter that is approximately the same as the diameter D 5 .
  • method 400 may then proceed to block 414 that includes further fabrication steps.
  • the device 500 is a vertical FET
  • a source feature 518 , a channel region 520 , and a drain feature 522 may be formed in the nanowire 516 .
  • gate dielectric layer 524 and gate contact 526 may be formed to wrap the channel region 520 .
  • Such fabrication steps may result in the device 500 to be formed as an element of a vertical FET.
  • the embodiments of the current disclosure provide various advantages to form a nanowire-based device.
  • nanowire(s) with a reduced diameter may be formed.
  • nanowire(s) with further reduced diameter may be formed.
  • a mask layer that includes pattern(s) with reduced size may be formed.
  • a nanowire-based device that includes nanowire(s) with ultrathin diameter may be formed. Advantages provided by such a method to fabricate a nanowire-based device include defect-free surface(s) of nanowires because of an absence of etching processes being applied to the surface(s) of the nanowire(s).
  • the method includes forming a first mask layer over a substrate; forming a first opening in the first mask layer; growing a first nanowire that protrudes through the first opening in the first mask layer, wherein the first nanowire has a first diameter; removing the first mask layer; oxidizing a sidewall of the first nanowire; etching the oxidized sidewall of the first nanowire; forming a second mask layer overlaying the substrate; removing the first nanowire thereby forming a second opening in the second mask layer; and growing a second nanowire that protrudes through the second opening in the second mask layer, wherein the second nanowire has a second diameter and the second diameter is different than the first diameter.
  • the method includes forming a first mask layer over a substrate; forming a first opening in the first mask layer thereby exposing a first portion of the substrate; forming a first spacer layer over the first mask layer and in the first opening; removing a portion of the first spacer layer formed in the first opening to thereby form a second opening extending through the first mask layer and a remaining portion of the first spacer layer; forming a second spacer layer over the first mask layer and in the second opening; removing a portion of the second spacer layer formed in the second opening thereby forming a third opening extending through the first mask layer, the remaining portion of the first spacer layer, and a remaining portion of the second spacer layer; and growing a nanowire through the third opening.
  • the method includes forming a first mask layer over a substrate; forming a first opening in the first mask layer; growing a first nanowire in the first opening, wherein the first nanowire has a first diameter; removing the first mask layer; oxidizing a sidewall of the first nanowire; etching the oxidized sidewall of the first nanowire; forming a second mask layer overlaying the substrate; removing the first nanowire thereby forming a second opening in the second mask layer; and growing a second nanowire through the second opening in the second mask layer, wherein the second nanowire has a second diameter and the second diameter that is less than the first diameter, wherein the second nanowire extends beyond the second mask layer.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

Provided is a method of forming a nanowire-based device. The method includes forming a first mask layer over a substrate; forming a first opening in the first mask layer; growing a first nanowire that protrudes through the first opening in the first mask layer, wherein the first nanowire has a first diameter; removing the first mask layer; oxidizing a sidewall of the first nanowire; etching the oxidized sidewall of the first nanowire; forming a second mask layer overlaying the substrate; removing the first nanowire thereby forming a second opening in the second mask layer; and growing a second nanowire that protrudes through the second opening in the second mask layer, wherein the second nanowire has a second diameter and the second diameter is different than the first diameter.

Description

    BACKGROUND
  • The semiconductor integrated circuit industry has experienced rapid growth in the past several decades. Technological advances in semiconductor materials and design have produced increasingly smaller and more complex circuits. These material and design advances have been made possible as the technologies related to processing and manufacturing have also undergone technical advances. In the course of semiconductor evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased. Despite advances in materials and fabrication, in order to further decrease the geometry size, conventional planar MOSFET devices have encountered challenges. As such, a variety of devices that are not planarity-based or include non-planar components have attracted attention such as, for example, a Fin FET device, a vertical FET, etc.
  • While a variety of techniques to fabricate a non-planar device (e.g., a vertical FET) have been generally adequate for their intended purposes, they have not been entirely satisfactory in every aspect.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read with the accompanying figures. It is emphasized that, in accordance with the standard practice in the industry, various features of the figures are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
  • FIG. 1 depicts a method of fabricating a nanowire-based device in accordance with various embodiments.
  • FIGS. 2A, 2C, 2D, 2E, 2F, 2G, 2H, 2I, and 2J depict cross-sectional views of a nanowire-based device fabricated by the method of FIG. 1 in accordance with various embodiments.
  • FIG. 2B depicts a top view of a nanowire-based device fabricated by the method of FIG. 1 in accordance with various embodiments.
  • FIG. 3 depicts a perspective view of an array of nanowires fabricated by the method of FIG. 1 in accordance with various embodiments.
  • FIG. 4 depicts a method of fabricating a nanowire-based device in accordance with various embodiments.
  • FIGS. 5A, 5B, 5C, 5D, 5E, 5F, and 5G depict cross-sectional views of a nanowire-based device fabricated by the method of FIG. 4 in accordance with various embodiments.
  • The various features disclosed in the drawings briefly described above will become more apparent to one of skill in the art upon reading the detailed description below. Where features depicted in the various figures are common between two or more figures, the same identifying numerals have been used for clarity of description.
  • DETAILED DESCRIPTION
  • It is to be understood that the following disclosure provides many different embodiments and examples for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. Moreover, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact. Various features in the figures may be arbitrarily drawn in different scales for the sake of simplicity and clarity.
  • FIG. 1 is a flowchart of a method 100 of forming a nanowire-based device 200 with an ultrathin diameter constructed according to various aspects of the present disclosure in one or more embodiments. The method 100 is described with reference to FIG. 1 and in conjunction with FIGS. 2A, 2B, 2C, 2D, 2E, 2F, 2G, and 2H. FIGS. 2A-2H are cross sectional views of forming the nanowire-based device 200 by the method 100 according to some embodiments. In some embodiments, the nanowire-based device 200 fabricated according to the disclosed method 100 may be an element of a field-effect-transistor (FET). For example, the nanowire-based device may form a channel, a source feature, and/or a drain feature of a vertical FET. It is understood that additional steps can be provided before, during, and/or after the method 100, and some of the steps described can be replaced, eliminated, and/or moved around for additional embodiments of the method 100.
  • As discussed above, method 100 discloses forming a nanowire-based device 200 with an ultrathin diameter. Generally, ultrathin diameter refers to diameter not greater than about 10 nanometers. Ultrathin nanowires are important because a nanowire-based device with such ultrathin nanowires may result in better performance of the device as a whole such as, for example, higher switching speed, lower leakage current, lower contact resistance, etc. This is especially true in non-planar devices.
  • Referring to FIGS. 1 and 2A, method 100 begins at operation 102 by providing a substrate 202 overlaid by a mask layer 204 with an opening 206. In an embodiment, the substrate 202 is a semiconductor substrate and includes silicon. Alternatively, the substrate includes germanium, silicon germanium and/or other semiconductor materials such as III/V materials (e.g., InAs, GaAs, InP, GaN, etc.). In another embodiment, the substrate 202 may include a buried dielectric material layer for isolation formed by a proper technology, such as a technology referred to as separation by implanted oxygen (SIMOX). In some embodiments, the substrate 202 may be a semiconductor on insulator, such as silicon on insulator (SOI).
  • Regarding the mask layer 204, in accordance with some embodiments, the mask layer 204 may be formed of a dielectric layer such as, for example, silicon nitride (SiNx) and/or silicon oxide (SiOx). The mask layer 204 may be formed by using any of a variety of deposition processes such as, for example, a physical vapour deposition (PVD) process, a chemical vapour deposition (CVD) process, and/or an atomic layer deposition (ALD) process. In the current embodiment, the opening 206 extending through mask layer 204 may be formed by using one of a variety of approaches that are suitable to form an opening with a well-controlled size (e.g., diameter) and position through the mask layer. For example, the opening 206 may be formed by using an electron beam lithography (EBL), a nanoimprint lithography, an optical lithography and a reactive ion etching (RIE) and/or a wet chemical etching method.
  • According to the current embodiment, opening 206, from a top view, has a curvilinear shape (e.g., a circle) as shown in FIG. 2B. As such, the opening 206 may have a diameter D1. In some embodiments, the diameter D1 may range between about 15 nanometers to about 100 nanometers. While the opening 206 is described as a circle in the current embodiment, the opening 206 may have any of a variety of shapes, which may vary according to the approach of forming the opening 206.
  • Referring to FIGS. 1 and 2C, method 100 proceeds to operation 104 by forming a nanowire 208 from the substrate 202 that extends through the opening 206 in mask layer 204. As shown in the illustrated embodiment of FIG. 2C, the formed nanowire 208 protrudes from (or extends beyond) top surface 204 a of the mask layer 204. That is, in some embodiments, nanowire 208 has a height h1 that is greater than a thickness t1 of the mask layer 204. In other embodiments, the height of the nanowire 208 may be less than the thickness of the mask layer 204. According to some embodiments, the nanowire 208 may be formed of a material that is either the same as or different than the material of the substrate 202. In an example, the nanowire 208 may be formed of III/V or II-VI compound materials (e.g., InAs, GaAs, InP, GaN, etc.) while the material of the substrate is formed of silicon. In another example, the nanowire 208 may be formed of silicon while the material of the substrate is also formed of silicon. Yet in another example, the nanowire 208 may be formed of silicon with a first crystalline orientation (e.g., <111>) while the material of the substrate is also formed of silicon but with a second crystalline orientation (e.g., <001>). As such, the nanowire 208 may include at least one of a variety of materials such as, for example, silicon, germanium, InAs, InP, GaAs, GaSb, InSb, GaP, InGaAs, InGaP, and/or a combination thereof. In a specific embodiment, the forming the nanowire 208 through the opening 206 may include a selective area growth metal organic chemical vapour deposition (SAG-MOCVD) or metal organic vapour phase epitaxy (SAG-MOVPE) growth. In other embodiments, forming the nanowire 208 may include any of a variety of deposition approaches (e.g., CVD, MOCVD) and remain within the scope of the present disclosure. In an embodiment, since the nanowire 208 is formed within opening 206 in the mask layer 204, the nanowire 208 includes a curvilinear cross-section (i.e. circular shape) and such a curvilinear nanowire 208 may include a diameter that is approximately the same as the diameter D1.
  • Referring now to FIGS. 1 and 2D, method 100 continues to block 106 with removing the mask layer 204. The removing the mask layer 204 may include a wet and/or a dry etching process. After the mask layer 204 is removed, top surface 202 a of the substrate 202 is exposed. As such, surfaces 208 a, 208 b, and 208 c of the nanowire 208, including lower portions of the sidewalls 208 a and 208 c that were covered by the mask layer 204, are exposed as well. In an example of using a wet etching process to remove the mask layer, chemicals, including but not limited to hydrogen fluoride (e.g., 2%), may be used.
  • Referring now to FIGS. 1 and 2E, method 100 then proceeds to block 108 with oxidizing (operation 209 as illustrated in FIG. 2E) surfaces 208 a, 208 b, and 208 c of the nanowire 208 thereby forming oxidized layer 210. In some embodiments, the oxidizing operation 209 may include one of a variety of oxidation processes such as, for example, placing the device 200 in an oxidizing chamber (e.g., a UV ozone chamber), placing the device 200 in an oxidizer (e.g., H2O2), and/or applying an oxidizer (e.g., H2O2) on the nanowire 208 to form the oxidized layer 210. In the illustrated embodiment of FIG. 2E, the oxidized layer 210 may extend inward and outward the surfaces of the nanowire 208 (the dotted line shown in FIG. 2E). That is, after the oxidizing operation 209, a nanowire 208′ covered by the oxidized layer 210 may be formed. Moreover, such a newly formed nanowire 208′ may have a diameter D2 and the diameter of the nanowire 208′ (D2) is less than the diameter of the nanowire 208 (D1). However, in some other embodiments, the diameter of the nanowire 208′ (D2) may be the same or greater than the diameter of the nanowire 208 (D1).
  • Referring now to FIGS. 1 and 2F, method 100 then proceeds to block 110 with etching the oxidized layer 210 such that, as illustrated in FIG. 2F, the nanowire 208′ is exposed. In some embodiments, etching oxidized layer 210 may include applying an etching solution over the oxidized layer 210. One or more of a variety of etching solutions may be used, such as, for example, HCl, NH4OH, (NH4)2S, etc. Generally, blocks 108 and 110 may be successively performed as a cycle, and each cycle may be performed iteratively so as a desired diameter D2 is reached. Such an iteration process may be referred to as a digital etching process. Any number of iterations may be performed. In an example, if after one cycle performing the steps described in blocks 108 and 110, the diameter D2 has reached a desired parameter the iteration process may be stopped and the process continues onto block 112. However, if the diameter D2 has not reached a desired parameter, the iteration process may be continued, such as repeating the processes described in blocks 108 and 110 until the desired diameter of the nanowire 208′ has been achieved.
  • Referring now to FIGS. 1 and 2G, method 100 continues to block 112 with forming another mask layer 210 over the substrate 202. The mask layer 210 may be formed of a dielectric layer such as, for example, silicon nitride (SiNx) and/or silicon oxide (SiOx). Moreover, mask layer 210 may be formed of the same material or different material as mask layer 204. The mask layer 210 may be formed by using any of a variety of deposition processes such as, for example, a physical vapour deposition (PVD) process, a chemical vapour deposition (CVD) process, and/or an atomic layer deposition (ALD) process.
  • Referring now to FIGS. 1 and 2H, method 100 proceeds to block 114 by removing the nanowire 208′. One or more of a variety of processes may be used to remove the nanowire 208′, which includes a thermal process, a wet etching process, and/or a dry etching process. In the example of using the thermal process to remove the nanowires 208′ (e.g., InAs nanowire(s)) may include baking the substrate 202 to about 650° C. for about 5 minutes with a hydrogen flow. In another example of using the thermal process to remove GaAs nanowire(s), a higher temperature may be needed such as about up to 800° C. In the example of using the wet etching process to remove the nanowire 208′ may include applying ammonia peroxide water mix (APM) onto the substrate 202 at about 80° C., whereby the APM may include NH4OH, H2O2, and H2O that are mixed in a ratio of 1:1:5. After the removing the nanowire 208′, an opening 212 having the diameter D2 in the mask layer 210 is formed as illustrated in FIG. 2H. Opening 212 exposes a portion 202 b of the top surface of the substrate 202.
  • Referring now to FIGS. 1 and 2I, method 100 proceeds to block 116 with forming nanowire 214 through the opening 212 in the mask layer 210. According to some embodiments, the nanowire 214 may be formed of a material that is either the same or different than the material of the substrate 202 and the nanowire 208. In an example, the nanowire 214 may be formed of III/V or II-VI compound materials (e.g., InAs, GaAs, InP, GaN, etc.) while the material of the substrate is formed of silicon. In another example, the nanowire 214 may be formed of silicon while the material of the substrate is also formed of silicon. Yet in another example, the nanowire 214 may be formed of silicon with a first crystalline orientation (e.g., <111>) while the material of the substrate is also formed of silicon but with a second crystalline orientation (e.g., <001>). As such, the nanowire 214 may include at least one of a variety of materials such as, for example, silicon, germanium, InAs, InP, GaAs, GaSb, InSb, GaP, InGaAs, InGaP, or a combination thereof. In a specific embodiment, the forming the nanowire 214 through the opening 212 may include a selective area growth metal organic chemical vapour deposition (SAG-MOCVD) or metal organic vapour phase epitaxy (SAG-MOVPE) growth while any of a variety of deposition approaches (e.g., CVD, MOCVD) may be used and remaining within the scope of the present disclosure. In an embodiment, since the nanowire 214 is formed following the opening 212 in the mask layer 210, the nanowire 214 has a curvilinear cross-section (i.e. circular shape) and such a curvilinear nanowire 214 includes a diameter that is approximately the same as the diameter D2.
  • Referring to FIGS. 1 and 2J, method 100 may then proceed to block 118 that includes further fabrication steps. In an example in which the device 200 is a vertical FET, a source feature 216, a channel region 218, and a drain feature 220 may be formed in the nanowire 214. Accordingly, gate dielectric layer 222 and gate contact 224 may be formed to wrap the channel region 218. Such fabrication steps may result in the device 200 to be formed as an element of a vertical FET.
  • Additionally, referring now to FIG. 3, a nanowire-based device 300 that includes a plurality of nanowires 310 formed on the substrate 202 is illustrated. In an embodiment, such a plurality of nanowires 310 may form an array of nanowires. Such a nanowire-based device 300 may be formed using the embodiment of the method 100.
  • FIG. 4 is a flowchart of a method 400 of forming a nanowire-based device 500 with ultrathin diameter constructed according to various aspects of the present disclosure in one or more embodiments. The method 400 is described with reference to FIG. 4 and in conjunction with FIGS. 5A, 5B, 5C, 5D, 5E, and 5F. FIGS. 5A-5F are cross sectional views of forming the nanowire-based device 500 by the method 400 according to some embodiments. In some embodiments, the nanowire-based device 500 fabricated according to the disclosed method 400 may be an element of a field-effect-transistor (FET). For example, the nanowire-based device may form a channel, a source feature, and/or a drain feature of a vertical FET. It is understood that additional steps can be provided before, during, and/or after the method 400, and some of the steps described can be replaced, eliminated, and/or moved around for additional embodiments of the method 400.
  • Referring to FIGS. 4 and 5A, method 400 begins at operation 402 with providing a substrate 502 overlaid by a mask layer 504 with an opening 506. In an embodiment, the substrate 502 is a semiconductor substrate and includes silicon. Alternatively, the substrate includes germanium, silicon germanium and/or other proper semiconductor materials such as III/V materials. In another embodiment, the substrate 502 may include a buried dielectric material layer for isolation formed by a proper technology, such as a technology referred to as separation by implanted oxygen (SIMOX). In some embodiments, the substrate 502 may be a semiconductor on insulator, such as silicon on insulator (SOI).
  • Regarding the mask layer 504, in accordance with some embodiments, the mask layer 504 may be formed of a dielectric layer such as, for example, silicon nitride (SiNx) and/or silicon oxide (SiOx). The mask layer 504 may be formed by using any of a variety of deposition processes such as, for example, a physical vapour deposition (PVD) process, a chemical vapour deposition (CVD) process, and/or an atomic layer deposition (ALD) process. In the current embodiment, the opening 506 extending through mask layer 504 may be formed by using one of a variety of approaches that are suitable to form an opening with a well-controlled size (e.g., diameter) and position through the mask layer. For example, the opening 506 may be formed by using an electron beam lithography (EBL), a nanoimprint lithography, an optical lithography and a reactive ion etching (RIE) and/or a wet chemical etching method.
  • According to the current embodiment, the opening 506, from a top view, has a curvilinear shape (e.g., a circle). As such, the opening 506 may have a diameter D3. In some embodiments, the diameter D3 may range between about 15 nanometers to about 100 nanometers. While the opening 506 is described as a circle in the current embodiment, the opening 506 may have any of a variety of shapes, which may vary according to the approach of forming the opening 506.
  • Referring to FIGS. 4 and 5B, method 400 proceeds to block 404 with forming a spacer layer 508 over the mask layer 504 and the opening 506 in the mask layer 504. More specifically, the spacer layer 508 includes multiple segments: 508 a, 508 b, and 508 c and each of the segments overlays a top surface or extends along a sidewall of the mask layer 504 and the substrate 502. For example, the segment 508 a overlays top surface 504 a of the mask layer 504; the segment 508 b extends along sidewall 504 b of the mask layer 504; the segment 508 c overlays a portion of top surface 502 a of the substrate 502. In a specific embodiment, the spacer layer 508 is a conformal layer, which means that each of the segments of such layer 508 shares a thickness that is substantially similar or the same. In the illustrated embodiment, the segment 508 a has a thickness W1; the segment 508 b has a thickness W3; the segment 508 c has a thickness W2, and W1, W2, and W3 are substantially similar or the same.
  • Still referring to FIG. 5B, the conformal spacer layer 508 may be formed by using any of a variety of deposition processes such as, for example, a physical vapour deposition (PVD) process, a chemical vapour deposition (CVD) process, and an atomic layer deposition (ALD) process. The conformal spacer layer 508 may be formed of a material that is similar to or different than the material of the mask layer 504 such as, for example, silicon nitride (SiNx) and/or silicon oxide (SiOx). In some alternative embodiments, the conformal spacer layer 508 is formed of a material that may have a different etching selectivity than the material of the mask layer 504 has.
  • Referring back to FIG. 4, method 400 proceeds to block 406 with removing horizontal segments of the conformal spacer layer 508 by an etching process 509. In some embodiments, the etching process 509 may include an anisotropic etching process (e.g., a dry etching process). As illustrated in FIG. 5C, after applying such an anisotropic etching process 509, the segments 508 a and 508 c of the conformal layer 508 (i.e., the horizontal segments) have been removed from the top surface 504 a of the mask layer 504 and the portion of the top surface 502 a of the substrate 502 while the segment 508 b along the sidewall 504 b of the mask layer 504 remains. As such, a new opening 510 with diameter D4 is formed where D4 is less than D3. Moreover, the diameter D4 of the opening 510 may be determined by the thickness of the remaining conformal layer 508 (e.g., W3) and the diameter of the opening 506 (D3), that is, D4=D3−2×W3. In some embodiments, the anisotropic etching process 509 may include using a plasma (e.g., a CF4 plasma) etching process at about 50° C. for about 15 seconds.
  • Referring now to FIGS. 4 and 5D, method 400 proceeds to block 408 by forming a spacer layer 512 over the mask layer 504, the remaining conformal spacer layer 508 b, and the opening 510. Similar to the conformal spacer layer 508 formed at block 404, spacer layer 512 includes multiple segments: 512 a, 512 b, and 512 c, and each of these segments overlays a top surface or extends along a sidewall of the mask layer 504, the remaining conformal spacer layer 508 b, and the substrate 502. As illustrated in FIG. 5D, the segment 512 a overlays top surface 504 a of the mask layer 504; the segment 512 b extends along a sidewall of the remaining conformal layer 508 b; the segment 512 c overlays a portion of the top surface of the substrate 502. In a specific embodiment, the layer 512 is a conformal layer, which means that each of the segments of such layer 512 shares a thickness that is substantially similar or the same. In the illustrated embodiment, the segment 512-a has a thickness W4; the segment 512-b has a thickness W5; the segment 512-c has a thickness W6, and W4, W5, and W6 are substantially similar or the same.
  • Still referring to FIG. 5D, the conformal spacer layer 512 may be formed by using any of a variety of deposition processes such as, for example, a physical vapour deposition (PVD) process, a chemical vapour deposition (CVD) process, and an atomic layer deposition (ALD) process. The conformal spacer layer 512 may be formed of a material that is similar to or different than the material of the mask layer 504 and the conformal layer 508 such as, for example, silicon oxide (SiOx). In some alternative embodiments, the conformal spacer layer 512 is formed of a material that may have a different etching selectivity than the material of the mask layer 504 has.
  • Referring back to FIG. 4, method 400 proceeds to block 410 with removing horizontal segments of the conformal spacer layer 512 by an etching process 513. In some embodiments, the etching process 513 may include an isotropic etching process (e.g., a dry etching process). As illustrated in FIG. 5E, after applying such an anisotropic etching process 513, the segments 512 a and 512 c of the conformal spacer layer 512 (i.e., the horizontal segments) have been removed from the top surface 504 a of the mask layer 504 and the portion of the top surface 502 a of the substrate 502 while the segment 512 b along the sidewall of the remaining conformal layer 508 b remains. As such, a new opening 514 with diameter D5 is formed where D5 is less than D4. Moreover, the diameter D5 of the opening 514 may be determined by the thickness of the remaining conformal layer 508 (W3), the thickness of the remaining conformal layer 512-b (W5), and the diameter of the opening 506 (D3), that is, D5=D3−2×W3−2×W5. In some embodiments, the anisotropic etching process 513 may include using a plasma (e.g., a CF4 plasma) etching process at about 50° C. for about 15 seconds.
  • Referring now to FIGS. 4 and 5F, method 400 proceeds to block 412 with forming a nanowire 516 through the opening 514. In some embodiments, the nanowire 516 may be formed of a material that is the same or different than the material of the substrate 502. In an example, the nanowire 516 may be formed of III/V or II-VI compound materials (e.g., InAs, GaAs, InP, GaN, etc.) while the material of the substrate is formed of silicon. In another example, the nanowire 516 may be formed of silicon while the material of the substrate is also formed of silicon. Yet in another example, the nanowire 516 may be formed of silicon with a first crystalline orientation (e.g., <111>) while the material of the substrate is also formed of silicon but with a second crystalline orientation (e.g., <001>). As such, the nanowire 516 may include at least one of a variety of materials such as, for example, silicon, germanium, InAs, InP, GaAs, GaSb, InSb, GaP, InGaAs, InGaP, or a combination thereof. In a specific embodiment, the forming the nanowire 516 through the opening 514 may include a selective area growth metal organic chemical vapour deposition (SAG-MOCVD) or metal organic vapour phase epitaxy (SAG-MOVPE) growth while any of a variety of deposition approaches (e.g., CVD, MOCVD) may be used and remaining within the scope of the present disclosure. In an embodiment, since the nanowire 516 is formed following the opening 514, the nanowire 516 may include a curvilinear cross-section and such a curvilinear nanowire 516 may has a diameter that is approximately the same as the diameter D5.
  • Referring to FIGS. 4 and 5G, method 400 may then proceed to block 414 that includes further fabrication steps. In an example in which the device 500 is a vertical FET, a source feature 518, a channel region 520, and a drain feature 522 may be formed in the nanowire 516. Accordingly, gate dielectric layer 524 and gate contact 526 may be formed to wrap the channel region 520. Such fabrication steps may result in the device 500 to be formed as an element of a vertical FET.
  • The embodiments of the current disclosure provide various advantages to form a nanowire-based device. In an example, by combing the SAG-MOCVD approach to grow nanowire(s) through a patterned mask layer and the digital etching process to trim size of the grown nanowire(s) (e.g., diameter), nanowire(s) with a reduced diameter may be formed. Subsequently, using a pattern based on the nanowire(s) with the reduced diameter, nanowire(s) with further reduced diameter may be formed. By forming ultrathin nanowire(s) with such an approach, damages, caused by etching processes (e.g., the digital etching process), on surface(s) of the nanowire(s) may be advantageously avoided. In another example, by sequentially forming one or more conformal layers over a patterned mask layer followed by an anisotropic etching process, a mask layer that includes pattern(s) with reduced size may be formed. Further, by using the SAG-MOCVD approach to grow nanowire(s) through the pattern(s) with reduced size, a nanowire-based device that includes nanowire(s) with ultrathin diameter may be formed. Advantages provided by such a method to fabricate a nanowire-based device include defect-free surface(s) of nanowires because of an absence of etching processes being applied to the surface(s) of the nanowire(s).
  • Various embodiments of a method of fabricating a nanowire-based device are disclosed. In an embodiment, the method includes forming a first mask layer over a substrate; forming a first opening in the first mask layer; growing a first nanowire that protrudes through the first opening in the first mask layer, wherein the first nanowire has a first diameter; removing the first mask layer; oxidizing a sidewall of the first nanowire; etching the oxidized sidewall of the first nanowire; forming a second mask layer overlaying the substrate; removing the first nanowire thereby forming a second opening in the second mask layer; and growing a second nanowire that protrudes through the second opening in the second mask layer, wherein the second nanowire has a second diameter and the second diameter is different than the first diameter.
  • In another embodiment, the method includes forming a first mask layer over a substrate; forming a first opening in the first mask layer thereby exposing a first portion of the substrate; forming a first spacer layer over the first mask layer and in the first opening; removing a portion of the first spacer layer formed in the first opening to thereby form a second opening extending through the first mask layer and a remaining portion of the first spacer layer; forming a second spacer layer over the first mask layer and in the second opening; removing a portion of the second spacer layer formed in the second opening thereby forming a third opening extending through the first mask layer, the remaining portion of the first spacer layer, and a remaining portion of the second spacer layer; and growing a nanowire through the third opening.
  • Yet in another embodiment, the method includes forming a first mask layer over a substrate; forming a first opening in the first mask layer; growing a first nanowire in the first opening, wherein the first nanowire has a first diameter; removing the first mask layer; oxidizing a sidewall of the first nanowire; etching the oxidized sidewall of the first nanowire; forming a second mask layer overlaying the substrate; removing the first nanowire thereby forming a second opening in the second mask layer; and growing a second nanowire through the second opening in the second mask layer, wherein the second nanowire has a second diameter and the second diameter that is less than the first diameter, wherein the second nanowire extends beyond the second mask layer.
  • The foregoing has outlined features of several embodiments so that those skilled in the art may better understand the detailed description. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

1. A method comprising:
forming a first mask layer over a substrate;
forming a first opening in the first mask layer;
growing a first nanowire that protrudes through the first opening in the first mask layer, wherein the first nanowire has a first diameter;
removing the first mask layer;
oxidizing a sidewall of the first nanowire;
etching the oxidized sidewall of the first nanowire;
forming a second mask layer overlaying the substrate;
removing the first nanowire thereby forming a second opening in the second mask layer; and
growing a second nanowire that protrudes through the second opening in the second mask layer, wherein the second nanowire has a second diameter and the second diameter is different than the first diameter.
2. The method of claim 1, wherein the first mask layer includes a material selected from the group consisting of an oxide material and a nitride material.
3. The method of claim 1, wherein the second diameter is less than about 10 nanometers.
4. The method of claim 1, wherein growing the first nanowire includes utilizing a selective growth-metal organic chemical vapor deposition (SG-MOCVD).
5. The method of claim 1, wherein the substrate includes a material selected from the group consisting of: silicon, germanium, and III/V compound materials.
6. The method of claim 1, wherein the first and second nanowires are formed of a material selected from the group consisting of silicon, indium arsenide (InAs), indium phosphide (InP), gallium arsenide (GaAs), gallium antimony (GaSb), indium antimony (InSb), gallium phosphide (GaP), and/or a combination thereof.
7. The method of claim 1, wherein the first nanowire is formed of a different material than the second nanowire.
8. The method of claim 1, wherein removing the first nanowire includes performing one of a wet etching process and a thermally evaporating process.
9-16. (canceled)
17. A method comprising:
forming a first mask layer over a substrate;
forming a first opening in the first mask layer;
growing a first nanowire in the first opening, wherein the first nanowire has a first diameter;
removing the first mask layer;
oxidizing a sidewall of the first nanowire;
etching the oxidized sidewall of the first nanowire;
forming a second mask layer overlaying the substrate;
removing the first nanowire thereby forming a second opening in the second mask layer; and
growing a second nanowire through the second opening in the second mask layer, wherein the second nanowire has a second diameter that is less than the first diameter, wherein the second nanowire extends beyond the second mask layer.
18. The method of claim 17, wherein the second diameter is less than about 10 nanometers.
19. The method of claim 17, wherein the substrate includes a material selected from the group consisting of silicon, germanium, and III/V compound materials.
20. The method of claim 17, wherein the first nanowire is formed of a different material than the second nanowire.
21. A method comprising:
forming a first mask layer over a substrate;
forming a first opening in the first mask layer;
forming a first nanowire in the first opening, wherein the first nanowire has a first width;
removing the first mask layer;
reducing the width of the first nanowire to form a modified first nanowire having a second width that is less than the first width;
forming a second mask layer around the modified first nanowire;
removing the modified first nanowire thereby forming a second opening in the second mask layer; and
forming a second nanowire through the second opening in the second mask layer.
22. The method of claim 21, wherein the second opening has the second width, and wherein the second nanowire has the second width.
23. The method of claim 21, wherein the second nanowire extends beyond the second mask layer.
24. The method of claim 21, wherein reducing the width of the first nanowire to form the modified first nanowire includes:
oxidizing a portion the first nanowire, and
removing the oxidized portion of the first nanowire.
25. The method of claim 21, wherein removing the modified first nanowire thereby forming the second opening in the second mask layer includes performing a thermal treatment process to remove the modified first nanowire.
26. The method of claim 21, wherein reducing the width of the first nanowire to form the modified first nanowire having the second width that is less than the first width occurs after removing the first mask layer.
27. The method of claim 21, wherein the first nanowire is formed of a different material than the second nanowire.
US14/941,909 2015-11-16 2015-11-16 Method of forming ultra-thin nanowires Active US9653288B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US14/941,909 US9653288B1 (en) 2015-11-16 2015-11-16 Method of forming ultra-thin nanowires
TW105136011A TWI701707B (en) 2015-11-16 2016-11-04 Method of forming nanowire-based device
CN201610994270.8A CN106711329A (en) 2015-11-16 2016-11-11 Method of forming a nanowire-based device
US15/595,253 US9978834B2 (en) 2015-11-16 2017-05-15 Method of forming ultra-thin nanowires

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/941,909 US9653288B1 (en) 2015-11-16 2015-11-16 Method of forming ultra-thin nanowires

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/595,253 Division US9978834B2 (en) 2015-11-16 2017-05-15 Method of forming ultra-thin nanowires

Publications (2)

Publication Number Publication Date
US9653288B1 US9653288B1 (en) 2017-05-16
US20170140932A1 true US20170140932A1 (en) 2017-05-18

Family

ID=58670594

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/941,909 Active US9653288B1 (en) 2015-11-16 2015-11-16 Method of forming ultra-thin nanowires
US15/595,253 Active US9978834B2 (en) 2015-11-16 2017-05-15 Method of forming ultra-thin nanowires

Family Applications After (1)

Application Number Title Priority Date Filing Date
US15/595,253 Active US9978834B2 (en) 2015-11-16 2017-05-15 Method of forming ultra-thin nanowires

Country Status (3)

Country Link
US (2) US9653288B1 (en)
CN (1) CN106711329A (en)
TW (1) TWI701707B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220359734A1 (en) * 2020-06-11 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10319553B2 (en) * 2016-03-16 2019-06-11 Lightlab Sweden Ab Method for controllably growing ZnO Nanowires
US10396208B2 (en) * 2017-01-13 2019-08-27 International Business Machines Corporation Vertical transistors with improved top source/drain junctions
US10236363B2 (en) * 2017-03-14 2019-03-19 Globalfoundries Inc. Vertical field-effect transistors with controlled dimensions
CN108695382B (en) 2017-04-07 2021-07-06 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method for manufacturing the same
CN109841675B (en) * 2019-04-04 2022-05-17 中国科学院微电子研究所 Vertical nanowire transistor and method of forming the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150145042A1 (en) * 2013-11-25 2015-05-28 International Business Machines Corporation Transistors having multiple lateral channel dimensions
US20160172246A1 (en) * 2014-12-11 2016-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Nanowire cmos structure and formation methods

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007022359A2 (en) * 2005-08-16 2007-02-22 The Regents Of The University Of California Vertical integrated silicon nanowire field effect transistors and methods of fabrication
US8237151B2 (en) * 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US7892956B2 (en) * 2007-09-24 2011-02-22 International Business Machines Corporation Methods of manufacture of vertical nanowire FET devices
US9275857B1 (en) * 2008-12-19 2016-03-01 Stc.Unm Nanowires, nanowire networks and methods for their formation and use
TW201246599A (en) * 2011-05-06 2012-11-16 Nanocrystal Asia Inc Taiwan Semiconductor substrate and fabricating method thereof
US8394682B2 (en) * 2011-07-26 2013-03-12 Micron Technology, Inc. Methods of forming graphene-containing switches
FR2981794B1 (en) * 2011-10-21 2013-11-01 Commissariat Energie Atomique METHOD FOR PRODUCING AN ORGANIC NETWORK OF SEMICONDUCTOR NANOWIRES, IN PARTICULAR IN ZNO
US9054215B2 (en) * 2012-12-18 2015-06-09 Intel Corporation Patterning of vertical nanowire transistor channel and gate with directed self assembly
US8835255B2 (en) * 2013-01-23 2014-09-16 Globalfoundries Inc. Method of forming a semiconductor structure including a vertical nanowire

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150145042A1 (en) * 2013-11-25 2015-05-28 International Business Machines Corporation Transistors having multiple lateral channel dimensions
US20160172246A1 (en) * 2014-12-11 2016-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Nanowire cmos structure and formation methods

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220359734A1 (en) * 2020-06-11 2022-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device and Method

Also Published As

Publication number Publication date
CN106711329A (en) 2017-05-24
US9978834B2 (en) 2018-05-22
US9653288B1 (en) 2017-05-16
US20170250249A1 (en) 2017-08-31
TW201729240A (en) 2017-08-16
TWI701707B (en) 2020-08-11

Similar Documents

Publication Publication Date Title
US9978834B2 (en) Method of forming ultra-thin nanowires
US11145553B2 (en) Nonplanar device and strain-generating channel dielectric
US10103264B2 (en) Channel strain control for nonplanar compound semiconductor devices
TWI582989B (en) Fin field effect transistor (finfet) device and method for forming the same
US9373546B2 (en) Self aligned replacement Fin formation
US8017463B2 (en) Expitaxial fabrication of fins for FinFET devices
US10825682B2 (en) Method for producing a pillar structure in a semiconductor layer
US11164940B2 (en) Method of forming III-V on insulator structure on semiconductor substrate
KR101853531B1 (en) Solvent-based oxidation on germanium and iii-v compound semiconductor materials
US20170170313A1 (en) Method of Producing a Pre-Patterned Structure for Growing Vertical Nanostructures
CN107039514A (en) III V races nanowire tunneling FET method and structure
US9406749B2 (en) Method of manufacturing a horizontal gate-all-around transistor having a fin
TWI598289B (en) Method for manufacturing high density nanowire array
US9368604B1 (en) Method of removing threading dislocation defect from a fin feature of III-V group semiconductor material
US9698238B2 (en) Method of forming ultra-thin nanowires
KR102282768B1 (en) Semiconductor device and method of manufacture
CN106876460B (en) The forming method of transistor with dissymmetrical structure
US20240063294A1 (en) Semiconductor device structure and method for forming the same
US10403546B2 (en) Method to form hybrid SiGe fin
TW202029280A (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:HOLLAND, MARTIN CHRISTOPHER;DURIEZ, BLANDINE;REEL/FRAME:037773/0386

Effective date: 20151127

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4