US20170053827A1 - Method of Forming Butted Contact - Google Patents

Method of Forming Butted Contact Download PDF

Info

Publication number
US20170053827A1
US20170053827A1 US14/830,217 US201514830217A US2017053827A1 US 20170053827 A1 US20170053827 A1 US 20170053827A1 US 201514830217 A US201514830217 A US 201514830217A US 2017053827 A1 US2017053827 A1 US 2017053827A1
Authority
US
United States
Prior art keywords
trench
forming
gate
gate structure
sidewall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/830,217
Other versions
US9564363B1 (en
Inventor
Chih-Hao Wang
Chun-Hsiung Lin
Chia-Hao Chang
Jia-Chuan You
Wei-Hao Wu
Yi-Hsiung Lin
Zhi-Chang Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/830,217 priority Critical patent/US9564363B1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WANG, CHIH-HAO, CHANG, CHIA-HAO, LIN, CHUN-HSIUNG, LIN, YI-HSIUNG, LIN, Zhi-chang, WU, Wei-hao, YOU, Jia-chuan
Priority to CN201510859677.5A priority patent/CN106469684B/en
Priority to TW104140482A priority patent/TWI582904B/en
Application granted granted Critical
Publication of US9564363B1 publication Critical patent/US9564363B1/en
Publication of US20170053827A1 publication Critical patent/US20170053827A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41766Source or drain electrodes for field effect devices with at least part of the source or drain electrode having contact below the semiconductor surface, e.g. the source or drain electrode formed at least partially in a groove or with inclusions of conductor inside the semiconductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate

Definitions

  • FIG. 1 is a flowchart of an example method for fabricating a semiconductor device constructed in accordance with some embodiments.
  • FIGS. 2, 3, 4, 5, 6, 7, 8, 9A, 9B, 10A, 10B, 10C, 11A and 11B are cross-sectional views of an example semiconductor device in accordance with some embodiments.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • FIG. 1 is a flowchart of a method 100 of fabricating one or more semiconductor devices in accordance with some embodiments. The method 100 is discussed in detail below, with reference to an initial structure 205 of a semiconductor device 200 showed in FIG. 2 and the semiconductor device 200 shown in FIGS. 3 through 11B .
  • the method 100 starts at step 102 by receiving the initial structure 205 of the semiconductor device 200 .
  • the initial structure 205 includes a substrate 210 .
  • the substrate 210 may be a bulk silicon substrate.
  • the substrate 210 may comprise an elementary semiconductor, such as silicon or germanium in a crystalline structure; a compound semiconductor, such as silicon germanium, silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; or combinations thereof.
  • Possible substrates 210 also include a silicon-on-insulator (SOI) substrate. SOI substrates are fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods.
  • SIMOX separation by implantation of oxygen
  • Some exemplary substrates 210 also include an insulator layer.
  • the insulator layer comprises any suitable material, including silicon oxide, sapphire, and/or combinations thereof.
  • An exemplary insulator layer may be a buried oxide layer (BOX).
  • the insulator is formed by any suitable process, such as implantation (e.g., SIMOX), oxidation, deposition, and/or other suitable process.
  • the insulator layer is a component (e.g., layer) of a silicon-on-insulator substrate.
  • the substrate 210 may also include various doped regions.
  • the doped regions may be doped with p-type dopants, such as boron or BF 2 ; n-type dopants, such as phosphorus or arsenic; or combinations thereof.
  • the doped regions may be formed directly on the substrate 210 , in a P-well structure, in an N-well structure, in a dual-well structure, or using a raised structure.
  • the substrate 210 may further include various active regions, such as regions configured for an N-type metal-oxide-semiconductor transistor device and regions configured for a P-type metal-oxide-semiconductor transistor device.
  • the substrate 210 may also include various isolation features 220 .
  • the isolation features 220 separate various device regions in the substrate 210 .
  • the isolation features 220 include different structures formed by using different processing technologies.
  • the isolation features 220 may include shallow trench isolation (STI) features.
  • the formation of a STI may include etching a trench in the substrate 210 and filling in the trench with insulator materials such as silicon oxide, silicon nitride, or silicon oxynitride.
  • the filled trench may have a multi-layer structure such as a thermal oxide liner layer with silicon nitride filling the trench.
  • a chemical mechanical polishing (CMP) may be performed to polish back excessive insulator materials and planarize the top surface of the isolation features 220 .
  • CMP chemical mechanical polishing
  • the initial structure 205 also includes a plurality of first conductive features 230 over the substrate 210 .
  • the first conductive feature 230 may be gate structures including high-k/metal gate stacks (HK/MGs).
  • the first conductive feature 230 may also include a portion of the interconnect structure, such as a contact, a metal via, and/or metal line.
  • the first conductive features 230 include electrodes, capacitors, resistors and/or a portion of a resistor.
  • the first conductive feature 230 is referred to as the HK/MG 230 .
  • the HK/MGs 230 may include gate dielectric layer and MG electrode layer.
  • the gate dielectric layer of HK/MGs 230 may include LaO, AlO, ZrO, TiO, Ta 2 O 5 , Y 2 O 3 , SrTiO 3 (STO), BaTiO 3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr)TiO 3 (BST), Al 2 O 3 , Si 3 N 4 , oxynitrides (SiON), or other suitable materials.
  • the gate dielectric layers may be deposited by a suitable method, such as chemical vapor deposition (CVD), atomic layer deposition (ALD), thermal oxidation or ozone oxidation, other suitable technique, or a combination thereof.
  • the MG electrode layer may include a single layer or multi layers, such as a metal layer, a liner layer, a wetting layer, and an adhesion layer.
  • the MG may include Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, Al, WN, Cu, W, or any suitable materials.
  • the MG may be formed by ALD, physical vapor deposition (PVD), CVD, or other suitable process.
  • dummy gate stacks are formed first and then are replaced later by HK/MGs 230 after high thermal temperature processes are performed, such as thermal processes during sources/drains formation.
  • the dummy gate stack may include a dummy gate dielectric layer and a polysilicon layer and may be formed by deposition, patterning and etching processes.
  • a first hard mask (HM) 235 is formed on top of each of the HK/MGs 230 .
  • the first HM 235 may include titanium (Ti), titanium oxide, TiN, TiSiN, tantalum (Ta), tantalum oxide, TaN, TaSiN, silicon nitride, silicon oxide, silicon carbide, silicon carbide nitride, manganese (Mn), cobalt (Co), ruthenium (Ru), WN, aluminum nitride, aluminum oxide, and/or other suitable material.
  • the first HM 235 may be formed by deposition, lithography patterning and etch processes.
  • sidewall spacers 240 may be formed along the sidewalls of the HK/MGs 230 .
  • the sidewall spacers 240 may include a dielectric material such as silicon nitride.
  • the sidewall spacers 240 may include silicon carbide, silicon oxynitride, and/or other suitable material.
  • the sidewall spacers 240 may be formed by depositing a gate sidewall spacer layer and then anisotropic dry etching the gate sidewall spacer layer.
  • the initial structure 205 also may include second conductive features 250 over the substrate 210 .
  • a top surface of the second conductive feature 250 may not be at same horizontal level as the first conductive feature 230 .
  • the top surface of the second conductive feature 250 is substantial below the top surface of the first conductive feature 230 .
  • the second conductive feature 250 may be formed by processes such as deposition, photolithography and etch.
  • the second conductive features 250 are source/drain (S/D) features, beside and separated by the HK/MG 230 .
  • the second conductive feature 250 may also include a portion of the interconnect structure, such as a contact, metal via, or metal line.
  • the first conductive features 250 include electrodes, capacitors, resistors or a portion of a resistor.
  • the second conductive feature 230 is referred to as the S/D features 230 hereinafter.
  • one of the S/D features 250 is a source feature and another of the S/D features 250 is a drain feature.
  • the S/D features 250 are separated by the HK/MG 230 .
  • a portion of the substrate 210 , beside the HK/MG 230 is recessed to form S/D recesses and then the S/D features 250 are formed over the S/D recesses by epitaxial growing processes, such as CVD, VPE and/or UHV-CVD, molecular beam epitaxy, and/or other suitable processes.
  • the S/D features 250 may include germanium (Ge), silicon (Si), gallium arsenide (GaAs), aluminum gallium arsenide (AlGaAs), silicon germanium (SiGe), gallium arsenide phosphide (GaAsP), gallium antimony (GaSb), indium antimony (InSb), indium gallium arsenide (InGaAs), indium arsenide (InAs), or other suitable materials.
  • germanium germanium
  • Si silicon
  • GaAs gallium arsenide
  • AlGaAs aluminum gallium arsenide
  • SiGe silicon germanium
  • GaAsP gallium arsenide phosphide
  • GaSb gallium antimony
  • InSb indium antimony
  • InGaAs indium arsenide
  • InAs indium arsenide
  • the S/D features 250 may be formed by epitaxial growing processes, such as CVD deposition techniques (e.g., vapor-phase epitaxy (VPE) and/or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, and/or other suitable processes. After the S/D recesses are filled with the S/D feature 250 , further epitaxial growth of a top layer of the S/D features 250 expands horizontally and facets may start to form, such as a diamond shape facets.
  • the S/D features 250 may be in-situ doped during the epi processes.
  • the S/D feature 250 includes an epitaxially grown SiGe layer that is doped with boron.
  • the S/D feature 250 includes an epitaxially grown Si epi layer that is doped with carbon. In yet another embodiment, the S/D feature 250 includes an epitaxially grown Si epi layer that is doped with phosphorous. In one embodiment, the S/D feature 250 is not in-situ doped, an implantation process (i.e., a junction implant process) is performed to dope the S/D feature 250 . One or more annealing processes may be performed to activate dopants. The annealing processes comprise rapid thermal annealing (RTA) and/or laser annealing processes.
  • RTA rapid thermal annealing
  • the initial structure 205 includes a first dielectric layer 260 deposited over the substrate 210 .
  • first dielectric layer 260 is formed such that it fully fills in spaces between HK/MGs 230 and such that the S/D features 250 are embedded in the first dielectric layer 260 .
  • the first dielectric layer 260 may include silicon oxide, a dielectric material having a dielectric constant (k) lower than thermal silicon oxide (therefore referred to as low-k dielectric material layer), and/or other suitable dielectric material layer.
  • the first dielectric layer 260 may include a single layer or multiple layers.
  • the first dielectric layer 260 may be deposited by CVD, ALD or spin-on coating.
  • the initial structure 205 also may include a second dielectric layer 270 over the first dielectric layer 260 .
  • the second dielectric layer 270 may include silicon oxide, a low-k dielectric material, and/or other suitable dielectric material layer.
  • the second dielectric layer 270 may include a single layer or multiple layers.
  • the second dielectric layer 270 is formed similarly in many respects to the first dielectric layer 260 discussed above.
  • both the first and second dielectric layers, 260 and 270 are different from the sidewall spacer 240 and the first hard mask 235 to achieve etching selectivity during a subsequent etch as described in more detail below.
  • both the first and second dielectric layers, 260 and 270 include silicon oxide while both of the sidewall spacer 240 and the first hard mask 235 include silicon nitride.
  • the method of 100 proceeds to step 104 by forming a second HM 310 having a first opening 320 over the second dielectric layer 270 .
  • a subset 330 of HK/MGs 230 and a subset 340 of the S/D features 250 are within first opening 320 .
  • first opening 320 has a larger width such that it extends to the subset 330 of HK/MGs 230 and the subset 340 of the S/D feature 250 to obtain advantages, such as relaxing lithography process resolution constrains.
  • the second HM 310 is a patterned photoresist layer.
  • the second HM 310 is formed by depositing a sub-HM layer over the second dielectric layer 270 , depositing photoresist layer over the sub-HM layer, patterning the photoresist layer, then etching the sub-HM layer through patterned photoresist layer to pattern the sub-HM layer and then etching the second HM 310 through the patterned sub-HM layer to form the first opening 320 in the second HM 310 .
  • the method 100 proceeds to step 106 by etching the second and first dielectric layers, 270 and 260 , through the opening 320 to form a first trench 410 .
  • the second and first dielectric layers, 270 and 260 between etch of the HK/MGs 230 of the subset 330 are removed and the subset 340 of the S/D features 250 is exposed in the first trench 410 . Therefore, the first trench 410 is sometimes referred as to a S/D contact trench.
  • the trench etch process is properly chosen to selectively remove the second and the first dielectric layers, 270 and 260 , but does not substantially etch the first HM 235 and the sidewall spacer 240 .
  • the subset 330 of the HK/MG 230 is protected by the first HM 235 and the sidewall spacers 240 during the etching process.
  • the first trench 410 is formed with a self-alignment nature, which relaxes process constrains.
  • the trench etch may include a selective wet etch, a selective dry etch, and/or a combination thereof.
  • the trench etch includes a plasma dry etching process using a fluorine-based chemistry, such as CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6 .
  • the respective etch process may be tuned with various etching parameters, such as etchant used, etching temperature, etching solution concentration, etching pressure, etchant flow rate, and/or other suitable parameters.
  • the second HM 310 is removed by an etch process.
  • the second HM 310 is removed by wet stripping and/or plasma ashing.
  • the method 100 proceeds to step 108 by depositing a first conductive layer 420 in the first trench 410 .
  • first conductive layer 420 extends within first trench 410 toward S/D features 250 of subset 340 .
  • a first barrier layer 415 is deposited in the first trench 410 to prevent out-diffusion and/or provide material adhesion.
  • the first barrier layer 415 extends within first trench 410 toward S/D features 250 of subset 340 .
  • the first barrier layer 415 may include titanium nitride (TiN), tantalum nitride (TaN), tungsten nitride (WN), titanium silicon nitride (TiSiN) or tantalum silicon nitride (TaSiN).
  • the first conductive layer 420 may include metal layer, such as copper (Cu), aluminum (Al), tungsten (W), copper, copper magnesium (CuMn), copper aluminum (CuAl) or copper silicon (CuSi), or other suitable conductive material.
  • the first barrier layer 415 and the first conductive layer 420 may be deposited by PVD, CVD, metal-organic chemical vapor deposition (MOCVD), or plating.
  • the method 100 proceeds to step 110 by recessing the first conductive layer 420 , as well as the second dielectric layer 270 , to provide a planar topography for subsequent processes, such as a lithography process.
  • a CMP process is performed to remove excessive first conductive layer 420 and the second dielectric layer 270 .
  • the remaining first conductive layer 420 in the first trench 410 forms a first conductive feature 425 , which has the first barrier layer 415 along its sidewalls.
  • the first conductive feature is separated from the adjacent HK/MGs 230 by the sidewall spacer 240 and first barrier layer 415 .
  • the method 100 proceeds to step 112 by recessing the first conductive feature 425 to form a sub-trench 430 .
  • the first conductive feature 425 is recessed by a selective etch, which etches the first conductive feature 425 and first barrier layer 415 without substantially etching the sidewall spacers 240 , the first HM 235 and the first dielectric layer 260 .
  • the method 100 proceeds to step 114 by forming a third HM 440 over the remaining first conductive feature 425 within the sub-trench 430 .
  • the third HM 440 may include Ti, titanium oxide, TiN, TiSiN, Ta, tantalum oxide, TaN, TaSiN, silicon nitride, silicon oxide, silicon carbide, silicon carbide nitride, and/or other suitable material.
  • a material of the third HM 440 is different from the sidewall spacer 240 and the first hard mask 235 to achieve etching selectivity during a subsequent etch as described in more detail below.
  • the third HM 440 may be formed by filling in the sub-trench 430 with a HM layer and applying a CMP process to remove excessive the HM layer.
  • step 116 the method 100 proceeds to step 116 by forming a fourth HM 510 over the first dielectric layer 260 , the HK/MGs 230 and the first conductive feature 425 .
  • fourth HM 510 defines a second opening 515 that exposes the sidewall spacer 240 (referred to as 240 A) a first portion of the first HM 235 of one of the HK/MG 230 of the subset 330 (referred to as 235 A and 230 A, respectively), while a second portion of the first HM 235 of the HK/MGs 230 A is covered by the fourth HM 510 , referred to as 235 B.
  • the rest of HK/MGs 230 of the subset 330 are covered by the fourth HM 510 as well.
  • a first portion of the first HM 235 is exposed within the second opening 515 as well.
  • the fourth HM 510 may be formed similarly in many respects to the second HM 310 discussed above association with FIG. 3 .
  • the fourth HM 510 is a patterned photoresist layer formed by lithography process.
  • the fourth HM 510 defines a second opening that is wider than the one shown in FIG. 9A .
  • a portion of the first conductive feature 425 , as well as the respective third HM 440 , adjacent to the HK/MG 230 A, referred to as first conductive feature 425 A is exposed within the second opening (referred to as 516 as shown in FIG. 9B ) defined by the fourth HM 510 .
  • the second opening 516 has a larger width such that it extends to the adjacent first conductive feature 425 A to obtain advantages, such as relaxing lithography process resolution constrains.
  • method 100 proceeds to step 118 by removing the first portion of first HM 235 A and the exposed sidewall spacer 240 A through the second opening 515 to form a second trench 610 .
  • the etch process is chosen to selectively remove the sidewall spacer 240 A and the first portion 235 A of the first HM 235 without substantially etching the HK/MG 230 A.
  • the second trench 610 is formed such that the second portion 235 B, a portion of the HK/MG 230 A and a portion 250 A of the adjacent S/D feature 250 are exposed in the second trench 610 . Therefore, the second trench 610 is sometimes referred to as a butted contact trench.
  • the etch process may include wet etch, dry etch, or a combination thereof.
  • the portion of the first conductive feature 425 A, as well as respective the third HM 440 is exposed within the second opening (referred to as 516 as shown in FIG. 9B ).
  • the etch process is chosen to etch the first HM 235 and the sidewall spacer 240 A without substantially etching the HK/MG 230 A, the third HM 440 and the first conductive feature 425 . As shown in FIG.
  • the exposed portion of the third HM 440 and the first conductive feature 425 A within the second opening 516 serves as a sub-etch-mask during etching process to protect adjacent HK/MG 230 B, as well as the sidewall spacer 240 along the adjacent HK/MG 230 B.
  • the second trench 610 is formed with a self-alignment nature, which relaxes process constrains.
  • FIG. 9A and the embodiment described in FIG. 9B proceed to remove the fourth HM 510 by other proper etching processes, as shown in FIG. 10C .
  • the method 100 proceeds to step 120 by depositing a second conductive layer 710 in the second trench 610 .
  • the second conductive layer 710 may include metal layer, such as Cu, Al, W, CuMn, CuAl or CuSi, or other suitable conductive material.
  • a second barrier layer 705 is deposited in the second trench 610 to prevent out-diffusion and/or provide material adhesion.
  • the second barrier layer 705 may include TiN, TaN, WN, TiSiN or TaSiN.
  • the second barrier layer 705 is disposed directly along a sidewall of the exposed HK/MG 230 A, along sidewall of the third HM 440 , over the first barrier layer 415 along the sidewall of the first conductive feature 425 and a sidewall of the portion 250 A of the S/D feature 250 .
  • the second barrier 705 and the conductive layer 710 are formed similarly in many respects to the first conductive layer 410 discussed above association with FIG. 5 .
  • a CMP process is performed to remove excessive second conductive layer 710 and the remaining second conductive layer 710 in the second trench 610 forms a second conductive feature 715 , as shown in FIG. 11B .
  • the first conductive 425 combines with the second conductive feature 715 together to provide a butted contact 730 such that a conductive connection exists between the HK/MG 230 A and the respective S/D feature 250 .
  • the first barrier 415 as well as the second barrier 705 , is located between the first conductive feature 425 and the second conductive feature 715 .
  • Additional steps can be provided before, during, and after the method 100 , and some of the steps described can be replaced, eliminated, or moved around for additional embodiments of the method 100 .
  • the semiconductor devices, 200 may include additional features, which may be formed by subsequent processing.
  • various vias/lines and multilayers interconnect features e.g., metal layers and interlayer dielectrics
  • a multilayer interconnection includes vertical interconnects, such as conventional vias or contacts, and horizontal interconnects, such as metal lines.
  • the various interconnection features may implement various conductive materials including copper, tungsten, and/or silicide.
  • a damascene and/or dual damascene process is used to form a copper related multilayer interconnection structure.
  • the present disclosure provide methods of forming a butted contact feature
  • the method employs forming a first portion of the butted contact feature first and uses it as a sub-etch-mask to protect sidewall spacers of adjacent HK/MGs during forming a second portion of the butted contact feature.
  • the method demonstrates suppressing MG leakage issue caused by losses of the MG and sidewall spacer during butted contact trench formation.
  • the method also employs planarization scheme of incoming surface to improve process window.
  • the method provides a robust butted contact formation process with self-alignment nature to relax process constrains.
  • a method for fabricating a semiconductor device includes forming a first gate structure over a substrate.
  • the first gate structure includes a gate dielectric and a gate electrode.
  • the method also includes forming a source/drain feature in the substrate adjacent the first gate structure, forming a dielectric layer over the first gate structure and the source/drain feature, removing a portion of the dielectric layer to form a first trench exposing the first gate structure and the source/drain feature, forming a first conductive feature in the first trench, removing a first portion of the first gate structure to form a second trench.
  • a second portion of the gate structure is exposed by the second trench while the first portion faces forward to the first conductive feature.
  • the method also includes forming a second conductive feature in the second trench.
  • a method in yet another embodiment, includes providing an initial structure.
  • the initial structure includes forming a gate stack over a substrate.
  • the gate stack includes a gate electrode, a hard mask disposed over the gate electrode and sidewall spacers disposed along sidewalls of the gate electrode.
  • the method also includes forming a source/drain feature in the substrate adjacent the gate stack, forming a dielectric layer over the gate stack and the source/drain feature, removing a portion of the dielectric layer to form a first trench that exposes the source/drain feature while the gate electrode is protected by the first hard mask and sidewall spacers.
  • the method also includes forming a first conductive feature in the first trench and removing a portion of the hard mask, the sidewall spacer and the gate electrode to form a second trench. A remaining portion of the gate electrode is exposed within the second trench and a portion of a sidewall of the source and drain feature is exposed within the second trench.
  • the method also includes forming a second conductive feature in the second trench.
  • a semiconductor device in yet another embodiment, includes a first gate structure disposed over a substrate.
  • the first gate structure includes a first gate electrode and a hard mask disposed over the gate electrode.
  • the device also includes a source/drain feature disposed in the substrate proximate the first gate structure, a first sidewall spacer disposed along a first sidewall of the first gate electrode, a first barrier layer disposed along a second sidewall of the first gate electrode.
  • the second sidewall of the first gate electrode opposes the first sidewall of the first gate electrode and the second sidewall of the hard mask opposes the first sidewall of the hard mask.
  • the barrier layer defines a first recess.
  • the device also includes a first conductive feature disposed with the first recess, a second barrier layer disposed directly on the source/drain feature and defining a second recess. A portion of the second barrier physically contacts the first barrier layer.
  • the device also includes a second conductive feature disposed with the second recess.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

A method of fabricating a semiconductor device is disclosed. The method includes forming a first gate structure over a substrate, forming a source/drain feature in the substrate adjacent the first gate structure, forming a dielectric layer over the first gate structure and the source/drain feature, removing a portion of the dielectric layer to form a first trench exposing the first gate structure and the source/drain feature, forming a first conductive feature in the first trench, removing a first portion of the first gate structure to form a second trench and forming a second conductive feature in the second trench.

Description

    BACKGROUND
  • The semiconductor integrated circuit (IC) industry has experienced rapid growth. Technological advances in IC design and material have produced generations of ICs where each generation has smaller and more complex circuits than previous generations. In the course of IC evolution, functional density (i.e., the number of interconnected devices per chip area) has generally increased while geometry size (i.e., the smallest component (or line) that can be created using a fabrication process) has decreased.
  • This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs. Such scaling down has also increased the complexity of IC processing and manufacturing. For these advances to be realized, similar developments in IC processing and manufacturing are needed. One area is the wiring, or interconnects, between the transistors and other devices. Although existing methods of fabricating IC devices have been generally adequate for their intended purposes, they have not been entirely satisfactory in all respects. For example, challenges rise to develop robust process for forming butted contacts, namely the contacts that connect a gate electrode to a source/drain feature.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • Aspects of the present disclosure are best understood from the following detailed description when read in association with the accompanying figures. It is noted that, in accordance with the standard practice in the industry, various features in drawings are not drawn to scale. In fact, the dimensions of illustrated features may be arbitrarily increased or decreased for clarity of discussion.
  • FIG. 1 is a flowchart of an example method for fabricating a semiconductor device constructed in accordance with some embodiments.
  • FIGS. 2, 3, 4, 5, 6, 7, 8, 9A, 9B, 10A, 10B, 10C, 11A and 11B are cross-sectional views of an example semiconductor device in accordance with some embodiments.
  • DETAILED DESCRIPTION
  • The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. These are, of course, merely examples and are not intended to be limiting. For example, the formation of a first feature over or on a second feature in the description that follows may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features, such that the first and second features may not be in direct contact. In addition, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • Further, spatially relative terms, such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. The spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • FIG. 1 is a flowchart of a method 100 of fabricating one or more semiconductor devices in accordance with some embodiments. The method 100 is discussed in detail below, with reference to an initial structure 205 of a semiconductor device 200 showed in FIG. 2 and the semiconductor device 200 shown in FIGS. 3 through 11B.
  • Referring to FIGS. 1 and 2, the method 100 starts at step 102 by receiving the initial structure 205 of the semiconductor device 200. The initial structure 205 includes a substrate 210. The substrate 210 may be a bulk silicon substrate. Alternatively, the substrate 210 may comprise an elementary semiconductor, such as silicon or germanium in a crystalline structure; a compound semiconductor, such as silicon germanium, silicon carbide, gallium arsenic, gallium phosphide, indium phosphide, indium arsenide, and/or indium antimonide; or combinations thereof. Possible substrates 210 also include a silicon-on-insulator (SOI) substrate. SOI substrates are fabricated using separation by implantation of oxygen (SIMOX), wafer bonding, and/or other suitable methods.
  • Some exemplary substrates 210 also include an insulator layer. The insulator layer comprises any suitable material, including silicon oxide, sapphire, and/or combinations thereof. An exemplary insulator layer may be a buried oxide layer (BOX). The insulator is formed by any suitable process, such as implantation (e.g., SIMOX), oxidation, deposition, and/or other suitable process. In some exemplary initial structure 205, the insulator layer is a component (e.g., layer) of a silicon-on-insulator substrate.
  • The substrate 210 may also include various doped regions. The doped regions may be doped with p-type dopants, such as boron or BF2; n-type dopants, such as phosphorus or arsenic; or combinations thereof. The doped regions may be formed directly on the substrate 210, in a P-well structure, in an N-well structure, in a dual-well structure, or using a raised structure. The substrate 210 may further include various active regions, such as regions configured for an N-type metal-oxide-semiconductor transistor device and regions configured for a P-type metal-oxide-semiconductor transistor device.
  • The substrate 210 may also include various isolation features 220. The isolation features 220 separate various device regions in the substrate 210. The isolation features 220 include different structures formed by using different processing technologies. For example, the isolation features 220 may include shallow trench isolation (STI) features. The formation of a STI may include etching a trench in the substrate 210 and filling in the trench with insulator materials such as silicon oxide, silicon nitride, or silicon oxynitride. The filled trench may have a multi-layer structure such as a thermal oxide liner layer with silicon nitride filling the trench. A chemical mechanical polishing (CMP) may be performed to polish back excessive insulator materials and planarize the top surface of the isolation features 220.
  • The initial structure 205 also includes a plurality of first conductive features 230 over the substrate 210. In some embodiments, the first conductive feature 230 may be gate structures including high-k/metal gate stacks (HK/MGs). Alternatively, in some embodiment, the first conductive feature 230 may also include a portion of the interconnect structure, such as a contact, a metal via, and/or metal line. In one embodiment, the first conductive features 230 include electrodes, capacitors, resistors and/or a portion of a resistor. For the purpose of simplicity and clarity, the first conductive feature 230 is referred to as the HK/MG 230.
  • The HK/MGs 230 may include gate dielectric layer and MG electrode layer. The gate dielectric layer of HK/MGs 230 may include LaO, AlO, ZrO, TiO, Ta2O5, Y2O3, SrTiO3 (STO), BaTiO3 (BTO), BaZrO, HfZrO, HfLaO, HfSiO, LaSiO, AlSiO, HfTaO, HfTiO, (Ba,Sr)TiO3 (BST), Al2O3, Si3N4, oxynitrides (SiON), or other suitable materials. The gate dielectric layers may be deposited by a suitable method, such as chemical vapor deposition (CVD), atomic layer deposition (ALD), thermal oxidation or ozone oxidation, other suitable technique, or a combination thereof.
  • The MG electrode layer may include a single layer or multi layers, such as a metal layer, a liner layer, a wetting layer, and an adhesion layer. The MG may include Ti, Ag, Al, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, TaN, Ru, Mo, Al, WN, Cu, W, or any suitable materials. The MG may be formed by ALD, physical vapor deposition (PVD), CVD, or other suitable process.
  • In some embodiment, dummy gate stacks are formed first and then are replaced later by HK/MGs 230 after high thermal temperature processes are performed, such as thermal processes during sources/drains formation. The dummy gate stack may include a dummy gate dielectric layer and a polysilicon layer and may be formed by deposition, patterning and etching processes.
  • In the present embodiment, a first hard mask (HM) 235 is formed on top of each of the HK/MGs 230. The first HM 235 may include titanium (Ti), titanium oxide, TiN, TiSiN, tantalum (Ta), tantalum oxide, TaN, TaSiN, silicon nitride, silicon oxide, silicon carbide, silicon carbide nitride, manganese (Mn), cobalt (Co), ruthenium (Ru), WN, aluminum nitride, aluminum oxide, and/or other suitable material. The first HM 235 may be formed by deposition, lithography patterning and etch processes.
  • In some embodiment, sidewall spacers 240 may be formed along the sidewalls of the HK/MGs 230. The sidewall spacers 240 may include a dielectric material such as silicon nitride. Alternatively, the sidewall spacers 240 may include silicon carbide, silicon oxynitride, and/or other suitable material. The sidewall spacers 240 may be formed by depositing a gate sidewall spacer layer and then anisotropic dry etching the gate sidewall spacer layer.
  • The initial structure 205 also may include second conductive features 250 over the substrate 210. A top surface of the second conductive feature 250 may not be at same horizontal level as the first conductive feature 230. For example, the top surface of the second conductive feature 250 is substantial below the top surface of the first conductive feature 230. The second conductive feature 250 may be formed by processes such as deposition, photolithography and etch.
  • In some embodiments, the second conductive features 250 are source/drain (S/D) features, beside and separated by the HK/MG 230. Alternatively, in some embodiment, the second conductive feature 250 may also include a portion of the interconnect structure, such as a contact, metal via, or metal line. In one embodiment, the first conductive features 250 include electrodes, capacitors, resistors or a portion of a resistor. For the purpose of simplicity and clarity, the second conductive feature 230 is referred to as the S/D features 230 hereinafter.
  • Here, one of the S/D features 250 is a source feature and another of the S/D features 250 is a drain feature. As shown, the S/D features 250 are separated by the HK/MG 230. In one embodiment, a portion of the substrate 210, beside the HK/MG 230 is recessed to form S/D recesses and then the S/D features 250 are formed over the S/D recesses by epitaxial growing processes, such as CVD, VPE and/or UHV-CVD, molecular beam epitaxy, and/or other suitable processes.
  • The S/D features 250 may include germanium (Ge), silicon (Si), gallium arsenide (GaAs), aluminum gallium arsenide (AlGaAs), silicon germanium (SiGe), gallium arsenide phosphide (GaAsP), gallium antimony (GaSb), indium antimony (InSb), indium gallium arsenide (InGaAs), indium arsenide (InAs), or other suitable materials.
  • The S/D features 250 may be formed by epitaxial growing processes, such as CVD deposition techniques (e.g., vapor-phase epitaxy (VPE) and/or ultra-high vacuum CVD (UHV-CVD)), molecular beam epitaxy, and/or other suitable processes. After the S/D recesses are filled with the S/D feature 250, further epitaxial growth of a top layer of the S/D features 250 expands horizontally and facets may start to form, such as a diamond shape facets. The S/D features 250 may be in-situ doped during the epi processes. For example, in one embodiment, the S/D feature 250 includes an epitaxially grown SiGe layer that is doped with boron. In another embodiment, the S/D feature 250 includes an epitaxially grown Si epi layer that is doped with carbon. In yet another embodiment, the S/D feature 250 includes an epitaxially grown Si epi layer that is doped with phosphorous. In one embodiment, the S/D feature 250 is not in-situ doped, an implantation process (i.e., a junction implant process) is performed to dope the S/D feature 250. One or more annealing processes may be performed to activate dopants. The annealing processes comprise rapid thermal annealing (RTA) and/or laser annealing processes.
  • In the present embodiment, the initial structure 205 includes a first dielectric layer 260 deposited over the substrate 210. As shown, first dielectric layer 260 is formed such that it fully fills in spaces between HK/MGs 230 and such that the S/D features 250 are embedded in the first dielectric layer 260. The first dielectric layer 260 may include silicon oxide, a dielectric material having a dielectric constant (k) lower than thermal silicon oxide (therefore referred to as low-k dielectric material layer), and/or other suitable dielectric material layer. The first dielectric layer 260 may include a single layer or multiple layers. The first dielectric layer 260 may be deposited by CVD, ALD or spin-on coating.
  • The initial structure 205 also may include a second dielectric layer 270 over the first dielectric layer 260. The second dielectric layer 270 may include silicon oxide, a low-k dielectric material, and/or other suitable dielectric material layer. The second dielectric layer 270 may include a single layer or multiple layers. The second dielectric layer 270 is formed similarly in many respects to the first dielectric layer 260 discussed above.
  • In the present embodiment, both the first and second dielectric layers, 260 and 270, are different from the sidewall spacer 240 and the first hard mask 235 to achieve etching selectivity during a subsequent etch as described in more detail below. For example, in one embodiment, both the first and second dielectric layers, 260 and 270, include silicon oxide while both of the sidewall spacer 240 and the first hard mask 235 include silicon nitride.
  • Referring to FIGS. 1 and 3, once the initial structure 205 is received, the method of 100 proceeds to step 104 by forming a second HM 310 having a first opening 320 over the second dielectric layer 270. In some embodiments, a subset 330 of HK/MGs 230 and a subset 340 of the S/D features 250 are within first opening 320. As shown, first opening 320 has a larger width such that it extends to the subset 330 of HK/MGs 230 and the subset 340 of the S/D feature 250 to obtain advantages, such as relaxing lithography process resolution constrains.
  • In one embodiment, the second HM 310 is a patterned photoresist layer. In another embodiment, the second HM 310 is formed by depositing a sub-HM layer over the second dielectric layer 270, depositing photoresist layer over the sub-HM layer, patterning the photoresist layer, then etching the sub-HM layer through patterned photoresist layer to pattern the sub-HM layer and then etching the second HM 310 through the patterned sub-HM layer to form the first opening 320 in the second HM 310.
  • Referring to FIGS. 1 and 4 the method 100 proceeds to step 106 by etching the second and first dielectric layers, 270 and 260, through the opening 320 to form a first trench 410. In some embodiments, the second and first dielectric layers, 270 and 260, between etch of the HK/MGs 230 of the subset 330 are removed and the subset 340 of the S/D features 250 is exposed in the first trench 410. Therefore, the first trench 410 is sometimes referred as to a S/D contact trench. As has been mentioned previously, the trench etch process is properly chosen to selectively remove the second and the first dielectric layers, 270 and 260, but does not substantially etch the first HM 235 and the sidewall spacer 240. Accordingly, the subset 330 of the HK/MG 230 is protected by the first HM 235 and the sidewall spacers 240 during the etching process. With the adequate etch selectivity, the first trench 410 is formed with a self-alignment nature, which relaxes process constrains.
  • The trench etch may include a selective wet etch, a selective dry etch, and/or a combination thereof. As an example, the trench etch includes a plasma dry etching process using a fluorine-based chemistry, such as CF4, SF6, CH2F2, CHF3, and/or C2F6. The respective etch process may be tuned with various etching parameters, such as etchant used, etching temperature, etching solution concentration, etching pressure, etchant flow rate, and/or other suitable parameters.
  • After forming the first trench 410, the second HM 310 is removed by an etch process. In one example where the second HM 310 is a photoresist pattern, the second HM 310 is removed by wet stripping and/or plasma ashing.
  • Referring to FIGS. 1 and 5, the method 100 proceeds to step 108 by depositing a first conductive layer 420 in the first trench 410. As shown, first conductive layer 420 extends within first trench 410 toward S/D features 250 of subset 340. Prior to depositing the first conductive layer 420, a first barrier layer 415 is deposited in the first trench 410 to prevent out-diffusion and/or provide material adhesion. The first barrier layer 415 extends within first trench 410 toward S/D features 250 of subset 340. The first barrier layer 415 may include titanium nitride (TiN), tantalum nitride (TaN), tungsten nitride (WN), titanium silicon nitride (TiSiN) or tantalum silicon nitride (TaSiN). The first conductive layer 420 may include metal layer, such as copper (Cu), aluminum (Al), tungsten (W), copper, copper magnesium (CuMn), copper aluminum (CuAl) or copper silicon (CuSi), or other suitable conductive material. The first barrier layer 415 and the first conductive layer 420 may be deposited by PVD, CVD, metal-organic chemical vapor deposition (MOCVD), or plating.
  • Referring to FIGS. 1 and 6, the method 100 proceeds to step 110 by recessing the first conductive layer 420, as well as the second dielectric layer 270, to provide a planar topography for subsequent processes, such as a lithography process. In some embodiments, a CMP process is performed to remove excessive first conductive layer 420 and the second dielectric layer 270. The remaining first conductive layer 420 in the first trench 410 forms a first conductive feature 425, which has the first barrier layer 415 along its sidewalls. The first conductive feature is separated from the adjacent HK/MGs 230 by the sidewall spacer 240 and first barrier layer 415.
  • Referring to FIGS. 1 and 7, the method 100 proceeds to step 112 by recessing the first conductive feature 425 to form a sub-trench 430. In some embodiments, the first conductive feature 425 is recessed by a selective etch, which etches the first conductive feature 425 and first barrier layer 415 without substantially etching the sidewall spacers 240, the first HM 235 and the first dielectric layer 260.
  • Referring to FIGS. 1 and 8, the method 100 proceeds to step 114 by forming a third HM 440 over the remaining first conductive feature 425 within the sub-trench 430. The third HM 440 may include Ti, titanium oxide, TiN, TiSiN, Ta, tantalum oxide, TaN, TaSiN, silicon nitride, silicon oxide, silicon carbide, silicon carbide nitride, and/or other suitable material. In some embodiments, a material of the third HM 440 is different from the sidewall spacer 240 and the first hard mask 235 to achieve etching selectivity during a subsequent etch as described in more detail below. The third HM 440 may be formed by filling in the sub-trench 430 with a HM layer and applying a CMP process to remove excessive the HM layer.
  • Referring to FIGS. 1 and 9A, the method 100 proceeds to step 116 by forming a fourth HM 510 over the first dielectric layer 260, the HK/MGs 230 and the first conductive feature 425. As shown, fourth HM 510 defines a second opening 515 that exposes the sidewall spacer 240 (referred to as 240A) a first portion of the first HM 235 of one of the HK/MG 230 of the subset 330 (referred to as 235A and 230A, respectively), while a second portion of the first HM 235 of the HK/MGs 230A is covered by the fourth HM 510, referred to as 235B. The rest of HK/MGs 230 of the subset 330, referred to as HK/MGs 230B, are covered by the fourth HM 510 as well. A first portion of the first HM 235, referred to as 235A is exposed within the second opening 515 as well. The fourth HM 510 may be formed similarly in many respects to the second HM 310 discussed above association with FIG. 3. In one embodiment, the fourth HM 510 is a patterned photoresist layer formed by lithography process.
  • Alternatively, in some embodiments, the fourth HM 510 defines a second opening that is wider than the one shown in FIG. 9A. For example, referring to FIG. 9B, a portion of the first conductive feature 425, as well as the respective third HM 440, adjacent to the HK/MG 230A, referred to as first conductive feature 425A, is exposed within the second opening (referred to as 516 as shown in FIG. 9B) defined by the fourth HM 510. In still other embodiments, the second opening 516 has a larger width such that it extends to the adjacent first conductive feature 425A to obtain advantages, such as relaxing lithography process resolution constrains.
  • Referring to FIGS. 1 and 10A (in conjunction with the process described with respect to FIG. 9A), method 100 proceeds to step 118 by removing the first portion of first HM 235A and the exposed sidewall spacer 240A through the second opening 515 to form a second trench 610. The etch process is chosen to selectively remove the sidewall spacer 240A and the first portion 235A of the first HM 235 without substantially etching the HK/MG 230A. As shown, the second trench 610 is formed such that the second portion 235B, a portion of the HK/MG 230A and a portion 250A of the adjacent S/D feature 250 are exposed in the second trench 610. Therefore, the second trench 610 is sometimes referred to as a butted contact trench. The etch process may include wet etch, dry etch, or a combination thereof.
  • As discussed above in reference to FIG. 9B, in some embodiments, the portion of the first conductive feature 425A, as well as respective the third HM 440, is exposed within the second opening (referred to as 516 as shown in FIG. 9B). In such a scenario, the etch process is chosen to etch the first HM 235 and the sidewall spacer 240A without substantially etching the HK/MG 230A, the third HM 440 and the first conductive feature 425. As shown in FIG. 10B, in such an embodiment the exposed portion of the third HM 440 and the first conductive feature 425A within the second opening 516 serves as a sub-etch-mask during etching process to protect adjacent HK/MG 230B, as well as the sidewall spacer 240 along the adjacent HK/MG 230B. With the adequate etch selectivity, the second trench 610 is formed with a self-alignment nature, which relaxes process constrains.
  • Thereafter, the embodiment described in FIG. 9A and the embodiment described in FIG. 9B proceed to remove the fourth HM 510 by other proper etching processes, as shown in FIG. 10C.
  • Referring to FIGS. 1 and 11A, the method 100 proceeds to step 120 by depositing a second conductive layer 710 in the second trench 610. The second conductive layer 710 may include metal layer, such as Cu, Al, W, CuMn, CuAl or CuSi, or other suitable conductive material. In some embodiments, prior to depositing the second conductive layer 710, a second barrier layer 705 is deposited in the second trench 610 to prevent out-diffusion and/or provide material adhesion. The second barrier layer 705 may include TiN, TaN, WN, TiSiN or TaSiN. The second barrier layer 705 is disposed directly along a sidewall of the exposed HK/MG 230A, along sidewall of the third HM 440, over the first barrier layer 415 along the sidewall of the first conductive feature 425 and a sidewall of the portion 250A of the S/D feature 250. In the present embodiment, the second barrier 705 and the conductive layer 710 are formed similarly in many respects to the first conductive layer 410 discussed above association with FIG. 5.
  • In some embodiments, a CMP process is performed to remove excessive second conductive layer 710 and the remaining second conductive layer 710 in the second trench 610 forms a second conductive feature 715, as shown in FIG. 11B. Thus, the first conductive 425 combines with the second conductive feature 715 together to provide a butted contact 730 such that a conductive connection exists between the HK/MG 230A and the respective S/D feature 250. The first barrier 415, as well as the second barrier 705, is located between the first conductive feature 425 and the second conductive feature 715.
  • Additional steps can be provided before, during, and after the method 100, and some of the steps described can be replaced, eliminated, or moved around for additional embodiments of the method 100.
  • The semiconductor devices, 200, may include additional features, which may be formed by subsequent processing. For example, various vias/lines and multilayers interconnect features (e.g., metal layers and interlayer dielectrics) are formed over the substrate 210. For example, a multilayer interconnection includes vertical interconnects, such as conventional vias or contacts, and horizontal interconnects, such as metal lines. The various interconnection features may implement various conductive materials including copper, tungsten, and/or silicide. In one example, a damascene and/or dual damascene process is used to form a copper related multilayer interconnection structure.
  • Based on the above, it can be seen that the present disclosure provide methods of forming a butted contact feature The method employs forming a first portion of the butted contact feature first and uses it as a sub-etch-mask to protect sidewall spacers of adjacent HK/MGs during forming a second portion of the butted contact feature. The method demonstrates suppressing MG leakage issue caused by losses of the MG and sidewall spacer during butted contact trench formation. The method also employs planarization scheme of incoming surface to improve process window. The method provides a robust butted contact formation process with self-alignment nature to relax process constrains.
  • The present disclosure provides many different embodiments of fabricating a semiconductor device that provide one or more improvements over existing approaches. In one embodiment, a method for fabricating a semiconductor device includes forming a first gate structure over a substrate. The first gate structure includes a gate dielectric and a gate electrode. The method also includes forming a source/drain feature in the substrate adjacent the first gate structure, forming a dielectric layer over the first gate structure and the source/drain feature, removing a portion of the dielectric layer to form a first trench exposing the first gate structure and the source/drain feature, forming a first conductive feature in the first trench, removing a first portion of the first gate structure to form a second trench. A second portion of the gate structure is exposed by the second trench while the first portion faces forward to the first conductive feature. The method also includes forming a second conductive feature in the second trench.
  • In yet another embodiment, a method includes providing an initial structure. The initial structure includes forming a gate stack over a substrate. The gate stack includes a gate electrode, a hard mask disposed over the gate electrode and sidewall spacers disposed along sidewalls of the gate electrode. The method also includes forming a source/drain feature in the substrate adjacent the gate stack, forming a dielectric layer over the gate stack and the source/drain feature, removing a portion of the dielectric layer to form a first trench that exposes the source/drain feature while the gate electrode is protected by the first hard mask and sidewall spacers. The method also includes forming a first conductive feature in the first trench and removing a portion of the hard mask, the sidewall spacer and the gate electrode to form a second trench. A remaining portion of the gate electrode is exposed within the second trench and a portion of a sidewall of the source and drain feature is exposed within the second trench. The method also includes forming a second conductive feature in the second trench.
  • In yet another embodiment, a semiconductor device includes a first gate structure disposed over a substrate. The first gate structure includes a first gate electrode and a hard mask disposed over the gate electrode. The device also includes a source/drain feature disposed in the substrate proximate the first gate structure, a first sidewall spacer disposed along a first sidewall of the first gate electrode, a first barrier layer disposed along a second sidewall of the first gate electrode. The second sidewall of the first gate electrode opposes the first sidewall of the first gate electrode and the second sidewall of the hard mask opposes the first sidewall of the hard mask. The barrier layer defines a first recess. The device also includes a first conductive feature disposed with the first recess, a second barrier layer disposed directly on the source/drain feature and defining a second recess. A portion of the second barrier physically contacts the first barrier layer. The device also includes a second conductive feature disposed with the second recess.
  • The foregoing outlines features of several embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (20)

What is claimed is:
1. A method comprising:
forming a first gate structure over a substrate, wherein the first gate structure includes a gate dielectric and a gate electrode;
forming a source/drain feature in the substrate adjacent the first gate structure;
forming a dielectric layer over the first gate structure and the source/drain feature;
removing a portion of the dielectric layer to form a first trench exposing the first gate structure and the source/drain feature;
forming a first conductive feature in the first trench;
removing a first portion of the first gate structure to form a second trench, wherein a second portion of the gate structure is exposed by the second trench, wherein the first portion faces forward to the first conductive feature; and
forming a second conductive feature in the second trench.
2. The method of claim 1, wherein the second portion of the first gate structure includes a portion of the gate dielectric and a portion of the gate electrode.
3. The method of claim 1, further comprising forming a first barrier layer in the first trench and a second barrier layer in the second trench.
4. The method of claim 3, wherein the first barrier layer physically contacts the second barrier layer.
5. The method of claim 1, further comprising forming a sidewall spacer along a sidewall of the first gate structure, and
wherein removing the first portion of the first gate structure to form the second trench includes removing sidewall spacer formed along the sidewall of the first gate structure.
6. The method of claim 1, further comprising forming a sidewall spacer along a sidewall of the first gate structure, and
wherein forming the first conductive feature in the first trench includes forming the first conductive feature directly on the sidewall spacer formed along the sidewall of the first gate structure.
7. The method of claim 1, further comprising forming a second gate structure over the substrate, wherein the second structure includes a gate dielectric and a gate electrode,
wherein the source/drain feature is disposed between the first and second gate structures;
wherein forming the dielectric layer over the first gate structure and the source/drain feature includes forming the first dielectric layer over the second gate structure;
wherein removing the portion of the dielectric layer to form the first trench exposing the first gate structure and the source/drain feature further includes the first trench exposing the second gate structure.
8. The method of claim 7, further comprising:
forming a patterned hard mask layer over the first conductive feature, wherein the patterned hard mask layer defines an opening that exposes a portion of the first gate structure, and
wherein the second gate structure is covered by the patterned hard mask layer during the removing of the first portion of the first gate structure to form the second trench.
9. The method of claim 1, further comprising:
after forming the first conductive feature in the first trench, applying a chemical mechanical polishing to planarize top surfaces of the dielectric layer, the first gate structure and the first conductive feature.
10. A method comprising:
forming a gate stack over a substrate, wherein the gate stack includes a gate electrode, a hard mask disposed over the gate electrode and sidewall spacers disposed along sidewalls of the gate electrode;
forming a source/drain feature in the substrate adjacent the gate stack;
forming a dielectric layer over the gate stack and the source/drain feature;
removing a portion of the dielectric layer to form a first trench that exposes the source/drain feature while the gate electrode is protected by the first hard mask and sidewall spacers;
forming a first conductive feature in the first trench;
removing a portion of the hard mask, the sidewall spacer and the gate electrode to form a second trench, wherein a remaining portion of the gate electrode is exposed within the second trench, wherein a portion of a sidewall of the source and drain feature is exposed within the second trench; and
forming a second conductive feature in the second trench.
11. The method of claim 10, further comprising forming a first barrier layer in the first trench and a second barrier layer in the second trench.
12. The method of claim 11, wherein the first barrier layer physically contacts the second barrier layer.
13. The method of claim 10, wherein forming the first conductive feature in the first trench includes forming the first conductive feature directly on the sidewall spacer formed along the sidewall of the first gate structure.
14. The method of claim 10, further comprising forming a second gate structure over the substrate, wherein the second structure includes a gate dielectric and a gate electrode,
wherein the source/drain feature is disposed between the first and second gate structures;
wherein forming the first dielectric layer over the first gate structure and the source/drain feature includes forming the first dielectric layer over the second gate structure;
wherein removing the portion of the dielectric layer to form the first trench that exposes the source/drain feature while the gate electrode is protected by the first hard mask and sidewall spacers includes the first trench exposing the second gate structure;
15. The method of claim 14, further comprising forming a patterned hard mask layer over the first conductive feature, wherein the patterned hard mask layer defines an opening that exposes a portion of the first gate structure, and
wherein the second gate structure is covered by the patterned hard mask layer during the removing of the first portion of the first gate structure to form the second trench.
16. The method of claim 10, further comprising:
after forming the first conductive feature in the first trench, applying a chemical mechanical polishing to planarize top surfaces of the dielectric layer, the first gate structure and the first conductive feature.
17. The method of claim 10, wherein the second portion of the first gate structure includes a portion of the gate dielectric and a portion of the gate electrode.
18. A device comprising:
a first gate structure disposed over a substrate, the first gate structure including a first gate electrode and a hard mask disposed over the gate electrode;
a source/drain feature disposed in the substrate proximate the first gate structure;
a first sidewall spacer disposed along a first sidewall of the first gate electrode;
a first barrier layer disposed along a second sidewall of the first gate electrode, wherein the second sidewall of the first gate electrode opposes the first sidewall of the first gate electrode and the second sidewall of the hard mask opposes the first sidewall of the hard mask, wherein the barrier layer defines a first recess;
a first conductive feature having a second hard mask over it, disposed within the first recess;
a second barrier layer disposed directly on the source/drain feature and defining a second recess, wherein a portion of the second barrier physically contacts the first barrier layer; and
a second conductive feature disposed with the second recess.
19. The device of claim 18, further comprising:
a second gate structure disposed over the substrate, the second structure including a second gate electrode and a second hard mask disposed over the second gate electrode, wherein the source/drain feature is disposed between the first and second gate structures; and
a second sidewall spacer disposed along a third sidewall of the second gate electrode.
20. The device of claim 19, wherein a portion of the second barrier physically contacts the second sidewall spacer.
US14/830,217 2015-08-19 2015-08-19 Method of forming butted contact Active US9564363B1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US14/830,217 US9564363B1 (en) 2015-08-19 2015-08-19 Method of forming butted contact
CN201510859677.5A CN106469684B (en) 2015-08-19 2015-11-30 Semiconductor device and forming method thereof
TW104140482A TWI582904B (en) 2015-08-19 2015-12-03 Semiconductor device and method for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/830,217 US9564363B1 (en) 2015-08-19 2015-08-19 Method of forming butted contact

Publications (2)

Publication Number Publication Date
US9564363B1 US9564363B1 (en) 2017-02-07
US20170053827A1 true US20170053827A1 (en) 2017-02-23

Family

ID=57908788

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/830,217 Active US9564363B1 (en) 2015-08-19 2015-08-19 Method of forming butted contact

Country Status (3)

Country Link
US (1) US9564363B1 (en)
CN (1) CN106469684B (en)
TW (1) TWI582904B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10204861B2 (en) * 2017-01-05 2019-02-12 Globalfoundries Inc. Structure with local contact for shorting a gate electrode to a source/drain region

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170141228A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and manufacturing method thereof
US10510851B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance contact method and structure
US10658237B2 (en) * 2018-07-31 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices
US11437284B2 (en) * 2018-08-31 2022-09-06 Applied Materials, Inc. Contact over active gate structure
US11139212B2 (en) * 2018-09-28 2021-10-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method for making
US11721728B2 (en) * 2020-01-30 2023-08-08 Globalfoundries U.S. Inc. Self-aligned contact
US11417744B2 (en) 2020-09-24 2022-08-16 Nanya Technology Corporation Semiconductor structure having buried gate electrode with protruding member and method of manufacturing the same

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5866449A (en) * 1997-10-27 1999-02-02 Taiwan Semiconductor Manufacturing Company Ltd. Method of making polysilicon-via structure for four transistor, triple polysilicon layer SRAM cell including two polysilicon layer load resistor
US5998249A (en) * 1998-05-29 1999-12-07 Taiwan Semiconductor Manufacturing Company Ltd. Static random access memory design and fabrication process featuring dual self-aligned contact structures
US7279379B2 (en) * 2004-04-26 2007-10-09 Micron Technology, Inc. Methods of forming memory arrays; and methods of forming contacts to bitlines
US7323392B2 (en) * 2006-03-28 2008-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. High performance transistor with a highly stressed channel
JP4762060B2 (en) * 2006-06-13 2011-08-31 株式会社東芝 Semiconductor memory device and manufacturing method thereof
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8952547B2 (en) * 2007-07-09 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with contact structure with first/second contacts formed in first/second dielectric layers and method of forming same
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US7960781B2 (en) * 2008-09-08 2011-06-14 Semiconductor Components Industries, Llc Semiconductor device having vertical charge-compensated structure and sub-surface connecting layer and method
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
KR101168338B1 (en) * 2011-02-28 2012-07-31 에스케이하이닉스 주식회사 Semiconductor memory device and method of manufacturing the same
TW201243958A (en) * 2011-04-21 2012-11-01 Anpec Electronics Corp Method for fabricating a semiconductor power device
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
DE112011105702T5 (en) * 2011-10-01 2014-07-17 Intel Corporation Source / drain contacts for non-planar transistors
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
CN103165671B (en) * 2011-12-12 2015-10-14 上海华虹宏力半导体制造有限公司 MOS device and preparation method thereof
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8796760B2 (en) * 2012-03-14 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor and method of manufacturing the same
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8850369B2 (en) * 2012-04-20 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Metal cut process flow
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9337083B2 (en) * 2013-03-10 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer metal contacts
TW201443999A (en) * 2013-05-14 2014-11-16 Anpec Electronics Corp Method for fabricating trench type semiconductor power device
US9252047B2 (en) * 2014-01-23 2016-02-02 Taiwan Semiconductor Manufacturing Co., Ltd Interconnect arrangement with stress-reducing structure and method of fabricating the same
US9412700B2 (en) * 2014-10-15 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10204861B2 (en) * 2017-01-05 2019-02-12 Globalfoundries Inc. Structure with local contact for shorting a gate electrode to a source/drain region

Also Published As

Publication number Publication date
CN106469684B (en) 2019-08-30
US9564363B1 (en) 2017-02-07
TWI582904B (en) 2017-05-11
CN106469684A (en) 2017-03-01
TW201709415A (en) 2017-03-01

Similar Documents

Publication Publication Date Title
US11855087B2 (en) Semiconductor device and fabricating the same
US11670717B2 (en) Structure of S/D contact and method of making same
US10522413B2 (en) Method of forming source/drain contact
US9564363B1 (en) Method of forming butted contact
US9269777B2 (en) Source/drain structures and methods of forming same
US11145719B2 (en) Semiconductor device having a contact
US9847329B2 (en) Structure of fin feature and method of making same
US10269792B2 (en) Structure and method for FINFET device
US10163719B2 (en) Method of forming self-alignment contact
US20230386918A1 (en) Method of forming contact metal
US9496385B2 (en) Structure and method of forming semiconductor device
US8836018B2 (en) Semiconductor integrated device with channel region
US9728461B2 (en) Method of forming semiconductor device with different threshold voltages

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WANG, CHIH-HAO;LIN, CHUN-HSIUNG;CHANG, CHIA-HAO;AND OTHERS;SIGNING DATES FROM 20150901 TO 20150902;REEL/FRAME:036603/0284

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4