US20160181425A1 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
US20160181425A1
US20160181425A1 US14/959,457 US201514959457A US2016181425A1 US 20160181425 A1 US20160181425 A1 US 20160181425A1 US 201514959457 A US201514959457 A US 201514959457A US 2016181425 A1 US2016181425 A1 US 2016181425A1
Authority
US
United States
Prior art keywords
layer
sacrificial
pattern
forming
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/959,457
Other versions
US9741854B2 (en
Inventor
Keun Hee BAI
Kyoung Hwan YEO
Seung Seok HA
Seung Ju PARK
Do Hyoung KIM
Myeong Cheol Kim
Jae Hyoung Koo
Ki Byung PARK
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Assigned to SAMSUNG ELECTRONICS CO., LTD reassignment SAMSUNG ELECTRONICS CO., LTD ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PARK, KI BYUNG, BAI, KEUN HEE, KIM, DO HYOUNG, KIM, MYEONG CHEOL, HA, SEUNG SEOK, KOO, JAE HYOUNG, PARK, SEUNG JU, YEO, KYOUNG HWAN
Publication of US20160181425A1 publication Critical patent/US20160181425A1/en
Application granted granted Critical
Publication of US9741854B2 publication Critical patent/US9741854B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Definitions

  • the present disclosure relates to a semiconductor device, a method for forming a pattern of a semiconductor device, and a method for manufacturing a semiconductor device.
  • FinFET fin field effect transistor
  • Certain aspects of the present inventive concept may provide a semiconductor device having an enhanced degree of integration, a method for forming a pattern of a semiconductor device, and a method for manufacturing a semiconductor device.
  • a semiconductor device may include a substrate including a plurality of active regions, a plurality of gate electrodes extending in a first direction to intersect a portion of the plurality of active regions, and including first and second gate electrodes disposed adjacent to each other to form a row in the first direction, and a gate isolation portion disposed between the first and second gate electrodes, wherein the gate isolation portion includes a first layer and a second layer stacked with each other in a second direction perpendicular to the first direction.
  • Both side surfaces of the gate isolation portion in the second direction may be coplanar with side surfaces of the first and second gate electrodes.
  • a width of the second layer in the second direction may be smaller than that of the first layer.
  • the first layer and the second layer may be formed of materials each having a different etch selectivity with respect to a predetermined etchant.
  • the first layer may include a silicon nitride
  • the second layer may include a silicon oxide
  • the gate isolation portion may have a length equal to or smaller than 50 nm in the first direction.
  • the plurality of active regions may be provided as active pins each having an upper surface and opposite side surfaces, and the plurality of gate electrodes may cover the upper surfaces and opposite side surfaces of the active fins and intersecting the active fins.
  • the plurality of active regions may include recessed regions on both sides of the plurality of gate electrodes, and source and drain regions may be disposed in the recessed regions.
  • the source and drain regions may be formed of a silicon germanium (SiGe) epitaxial layer.
  • Both ends of the gate isolation portion may have different lengths in the second direction.
  • a method for manufacturing a semiconductor device may include forming a structure including a sacrificial pattern layer on a substrate, the sacrificial pattern layer having a line shape extending in a first direction and including first and second parts, forming a mask layer exposing the first part of the sacrificial pattern layer, removing the first part of the sacrificial pattern layer exposed by the mask layer to form an opening in the structure, forming a first layer of a pattern isolation portion covering at least a portion of an inner wall of the opening including a side surface of the second part exposed through the opening, forming a second layer of the pattern isolation portion filling the opening, removing the second part of the sacrificial pattern layer, removing at least a portion of the first layer exposed by removing the second part of the sacrificial pattern layer, and forming a conductive pattern layer in a region formed by removing the second part of the sacrificial pattern layer and the portion of the first layer.
  • the first and second parts of the sacrificial pattern layer may be alternately disposed in the first direction, and the sacrificial pattern layer may be cut by removing the first part of the sacrificial pattern layer.
  • the conductive pattern layer may extend in the first direction and include patterns disposed to be spaced apart from one another in the first direction.
  • the first layer may be formed on the entirety of an inner sidewall of the opening.
  • the first layer may be formed by atomic layer deposition (ALD).
  • ALD atomic layer deposition
  • the first layer may be formed only on side surfaces of the second part of the sacrificial pattern layer exposed by the opening.
  • the first layer may be formed by oxidizing a portion of the second part of the sacrificial pattern layer.
  • the first layer may be selectively removed with respect to the second layer.
  • a region of the first layer formed on a side surface of the second layer in a second direction perpendicular to the first direction may not be removed, but remained.
  • the second layer may include an upper layer and a lower layer formed of different materials.
  • the structure may include a plurality of sacrificial pattern layers spaced apart from one another by a predetermined distance and insulating layers filling spaces between the sacrificial pattern layers.
  • At least one open region exposing a plurality of first regions in a direction perpendicular to the first direction may be formed in the mask layer.
  • a method for manufacturing a semiconductor device may include forming a linear sacrificial pattern layer extending in a first direction on a substrate, removing a first part of the sacrificial pattern layer to form an opening, filling the opening to form a pattern isolation portion, removing a second part of the sacrificial pattern layer that includes the remaining sacrificial pattern layer, and forming conductive pattern layers extending in the first direction on both sides of the pattern isolation portion.
  • the pattern isolation portion may include two layers formed of different respective materials.
  • the two layers may be stacked vertically on the substrate.
  • the forming of the pattern isolation portion may include: forming a first layer on side surfaces of the sacrificial layer exposed by the opening, and forming a second layer filling the opening.
  • the method may further include removing at least a portion of the first layer exposed by removing the second part of the sacrificial pattern layer, before the forming of the conductive pattern layers.
  • a method for manufacturing a semiconductor device may include forming an isolation layer defining a plurality of active regions on a substrate, forming a plurality of linear sacrificial pattern layers intersecting the plurality of active regions and extending in a first direction, forming a mask layer having an open region exposing a portion of the plurality of sacrificial pattern layers and extending in a second direction different from the first direction on the plurality of sacrificial pattern layers, removing a portion of the plurality of sacrificial pattern layers exposed through the open region to form an opening, forming a first layer of a gate isolation portion on side surfaces of the plurality of sacrificial pattern layers exposed through the opening, forming a second layer of the gate isolation portion to fill the opening, removing the plurality of remained sacrificial pattern layers, removing at least a portion of the first layer exposed by removing the plurality of sacrificial pattern layers, and forming gate electrodes on both sides of the second
  • the open region may have a rectangular shape or an elongated shape, and the plurality of sacrificial pattern layers may be exposed through the single opening region.
  • the gate electrodes may be electrically and physically separated from the second layer.
  • a method for manufacturing a semiconductor device may include forming a sacrificial layer extending in a first direction on a first insulating layer, removing a first part of the sacrificial layer to form an opening region, forming a first layer to cover at least entire sidewall surfaces of the sacrificial layer in the opening region to fill a first portion of the opening region, forming a second layer filling a second portion of the opening region to form an isolation portion, removing a second part of the sacrificial layer that includes the remaining sacrificial layer, after removing the second part of the sacrificial layer, removing at least a first portion of the first layer, and forming a third layer on the removed portion of the sacrificial layer and the removed first portion of the first layer, the third layer extending in the first direction on both sides of the isolation portion.
  • FIG. 1 is a plan view illustrating a semiconductor device that may be realized by applying a method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept;
  • FIGS. 2A through 9A and 2B through 9B are plan views and cross-sectional views illustrating sequential processes of a method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept;
  • FIGS. 10A, 10B, and 11 are plan views and a perspective view illustrating a semiconductor device that may be realized by applying a method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept;
  • FIGS. 12 through 29 are views illustrating sequential processes of a method for manufacturing a semiconductor device according to example embodiments of the present inventive concept
  • FIGS. 30 and 31 are a plan view and a perspective view illustrating a semiconductor device that can be realized by applying the method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept;
  • FIGS. 32 through 36 are views illustrating sequential processes of a method for manufacturing a semiconductor device according to example embodiments of the present inventive concept
  • FIGS. 37, 38A, 38B, and 38C are a plan view and cross-sectional views illustrating a semiconductor device that can be realized by applying the method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept;
  • FIG. 39 is a circuit diagram of a CMOS inverter including a semiconductor device according to example embodiments of the present inventive concept
  • FIG. 40 is a circuit diagram of a SRAM cell including a semiconductor device according to example embodiments of the present inventive concept
  • FIG. 41 is a block diagram illustrating a storage device including a semiconductor device according to example embodiments of the present inventive concept
  • FIG. 42 is a block diagram illustrating an electronic device including a semiconductor device according to example embodiments of the present inventive concept.
  • FIG. 43 is a schematic view illustrating a system including a semiconductor device according to example embodiments of the present inventive concept.
  • orientation, layout, location, shapes, sizes, amounts, or other measures do not necessarily mean an exactly identical orientation, layout, location, shape, size, amount, or other measure, but are intended to encompass nearly identical orientation, layout, location, shapes, sizes, amounts, or other measures within acceptable variations that may occur, for example, due to manufacturing processes.
  • the term “substantially” may be used herein to reflect this meaning.
  • first and second may be used to describe various members, components, regions, layers, and/or portions in various embodiments of the present invention
  • the members, components, regions, layers, and/or portions are not limited to these terms. Unless indicated otherwise, these terms are used only to differentiate one member, component, region, layer, or portion from others thereof. Therefore, a member, a component, a region, a layer, or a portion referred to as a first member, a first component, a first region, a first layer, or a first portion in an embodiment may be referred to as a second member, a second component, a second region, a second layer, or a second portion without departing from the scope of the present invention. Claims may use the terms “first,” “second,” etc., to describe elements in the claims whether or not those elements are described using these terms in the specification.
  • exemplary embodiments are described herein with reference to cross-sectional illustrations and/or plane illustrations that are idealized exemplary illustrations. Accordingly, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, exemplary embodiments should not be construed as limited to the shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an etching region illustrated as a rectangle will, typically, have rounded or curved features. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of example embodiments.
  • devices and methods of forming devices according to various embodiments described herein may be embodied in microelectronic devices such as integrated circuits, wherein a plurality of devices according to various embodiments described herein are integrated in the same microelectronic device. Accordingly, the cross-sectional view(s) illustrated herein may be replicated in two different directions, which need not be orthogonal, in the microelectronic device.
  • a plan view of the microelectronic device that embodies devices according to various embodiments described herein may include a plurality of the devices in an array and/or in a two-dimensional pattern that is based on the functionality of the microelectronic device.
  • the cross-sectional view(s) illustrated herein provide support for a plurality of devices according to various embodiments described herein that extend along two different directions in a plan view and/or in three different directions in a perspective view.
  • the device/structure may include a plurality of active regions and transistor structures (or memory cell structures, gate structures, etc., as appropriate to the case) thereon, as would be illustrated by a plan view of the device/structure.
  • FIG. 1 is a plan view illustrating a semiconductor device that may be realized by applying a method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept.
  • a semiconductor device 10 may include pattern layers 50 , pattern isolation portions 40 isolating the pattern layers 50 by a predetermined length, and insulating layers 22 .
  • the pattern layers 50 may have a shape such as a rectangular shape, an elongated shape, an oval shape, or the like, extending in an x direction of FIG. 1 .
  • the pattern layers 50 may be separated from other pattern layers 50 adjacent thereto in the x direction by the pattern isolation portions 40 .
  • the side surfaces of the pattern isolation portions 40 may be coplanar with the pattern layers 50 in the y direction. Accordingly, the pattern isolation portions 40 and the pattern layers 50 may form a line extending in the x direction.
  • the insulating layers 22 may be disposed between the pattern isolation layers 40 and the pattern layers 50 in the y direction of FIG. 1 .
  • the pattern isolation layers 40 have a first length L 1 in the x direction and have a first width W 1 in the y direction.
  • the first length L 1 may be less than about 50 nm, for example, may range from 10 nm to 40 nm.
  • the first width W 1 may be substantially equal to a second width W 2 of the pattern layers 50 .
  • the pattern layers 50 may form gate electrode layers of a transistor of the semiconductor device 10 .
  • the pattern layers 50 may be formed of a conductive material such as polysilicon or metal.
  • the pattern isolation portions 40 and the insulating layer 22 may be formed of an insulating material such as a silicon oxide or a silicon nitride.
  • purposes and materials of the pattern layers 50 , the pattern isolation portions 40 , and the insulating layers 22 may be vary according to semiconductor devices to which they are applied.
  • the semiconductor device 10 may form part of a memory device or a logic device.
  • the memory device may be a volatile memory device such as a dynamic random access memory (DRAM) or static random access memory (SRAM) or a non-volatile memory device such as a flash memory, or the like.
  • the logic device may be a microprocessor, and may be, for example, a central processing unit (CPU), a controller, or an application specific integrated circuit (ASIC).
  • CPU central processing unit
  • ASIC application specific integrated circuit
  • a semiconductor device may refer to various items such as a memory device, one or more logic devices or memory cells formed in or on a semiconductor substrate, a semiconductor chip, a memory chip, a memory die, a logic chip, a package, or combinations thereof.
  • a semiconductor device such as a semiconductor chip, a memory chip, or a logic chip may be formed from a wafer.
  • a semiconductor device may comprise a package which may include one or more chips stacked on a package substrate, or a package-on-package device including a plurality of packages.
  • a semiconductor device may include one or more transistors such as metal oxide semiconductor field effect transistors (MOSFETs) or fin field effect transistors (FinFETs), or an array of memory cells.
  • MOSFETs metal oxide semiconductor field effect transistors
  • FinFETs fin field effect transistors
  • An electronic device may refer to one of these devices and may also include products that include these devices, such as a memory card, a memory module, a hard drive including additional components, a mobile phone, laptop, tablet, desktop, camera, server, or other consumer electronic device.
  • FIGS. 2A through 9B are plan views and cross-sectional views illustrating sequential processes of a method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept.
  • FIG. 2A is a plan view illustrating a region corresponding to FIG. 1
  • FIG. 2B includes cross-sectional views taken along lines I-I′ and II-II′.
  • FIGS. 3A through 9B are illustrated in the same manner.
  • linear sacrificial layers 21 e.g., sacrificial pattern layers 21
  • insulating layers 22 extending in the x direction may be alternately formed on a substrate 11 in the y direction.
  • the substrate 11 may be a semiconductor substrate (e.g., a silicon wafer), or may be a semiconductor wafer on which a part of a semiconductor device is formed.
  • the substrate 11 may include an insulating layer on the semiconductor substrate.
  • the insulating layer may be one of layers formed during a semiconductor device manufacturing process (e.g., a gate insulating layer 152 of FIG. 11 ), an oxide, a nitride, and an oxynitride.
  • the sacrificial pattern layers 21 may be first patterned on the substrate 11 , and the insulating layers 22 may be formed to fill spaces between the sacrificial pattern layers 21 .
  • the sacrificial pattern layers 21 are layers for forming the pattern layers 50 of FIG. 1 through a follow-up process, which may be removed during a follow-up process.
  • the sacrificial pattern layers 21 and the insulating layers 22 may be formed through a process such as atomic layer deposition (ALD) or chemical vapor deposition (CVD). Also, after a material of the insulating layers 22 is deposited, a chemical mechanical polishing (CMP) process may be performed for planarization.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • CMP chemical mechanical polishing
  • the structure of the sacrificial pattern layers 21 and the insulating layers 22 having a flat upper surface may be formed. Accordingly, a follow-up process, for example, a photolithography process, may be easily performed. However, in an example embodiment, the process of forming the insulating layers 22 may be omitted.
  • the sacrificial pattern layers 21 and the insulating layers 22 may be formed of materials each having a different etch selectivity. Such an etch selectivity may be quantitatively expressed through a ratio of an etch rate of other layer to an etch rate of one layer under particular etching conditions.
  • the sacrificial pattern layers 21 and the insulating layers 22 may be formed of at least one among a silicon-contained material such as a silicon dioxide (SiO 2 ), a silicon oxynitride (SiON), a silicon nitride (Si 3 N 4 ), and a polysilicon, or a metal.
  • a mask layer 30 exposing partial regions of the sacrificial pattern layers 21 and the insulating layers 22 may be formed on the structure of the sacrificial pattern layers 21 and the insulating layers 22 .
  • the mask layer 30 may have an exposed region E, and partial regions of the sacrificial pattern layers 21 and the insulating layers 22 may be exposed.
  • the exposed partial regions may be regions including the sacrificial pattern layers 21 in a region having a greater length in the x direction, compared with a region in which the pattern isolation portions 40 of FIG. 1 are formed, and including portions of the insulating layers 22 on the sides of the sacrificial pattern layers 21 in the y direction.
  • a length L 2 of the exposed region E in the x direction may be greater than the first length L 1 of the pattern isolation portion 40 of FIG. 1 .
  • Two sacrificial pattern layers 21 may be exposed through a single exposed region E, but the present inventive concept is not limited thereto.
  • Each of the exposed regions E is illustrated as having a rectangular shape, but it may also have a shape such as a rounded rectangular shape or an oval shape.
  • the exposed regions E may be disposed to be shifted each other in adjacent columns, or may be disposed to form columns and rows in the x direction and y direction.
  • the size, shape, and disposition of the exposed regions E may be varied.
  • the sacrificial pattern layers 21 exposed through the exposed region E of the mask layer 30 may be removed.
  • the sacrificial pattern layers 21 may be selectively removed.
  • the sacrificial pattern layers 21 may be selectively removed through wet etching or dry etching to expose the substrate 11 therebelow. For example, a first part of each of the sacrificial pattern layers may be removed. Accordingly, the sacrificial pattern layers 21 may be cut into units having a predetermined length, and first openings OP 1 each having a hole shape may be formed in regions from which the sacrificial pattern layers 21 were removed.
  • a first layer 42 of the pattern isolation portion 40 (refer to FIG. 1 ) covering sidewalls of the sacrificial pattern layers 21 and the insulating layers 22 exposed through the first openings OP 1 may be formed.
  • a first thickness T 1 a thickness of the first layer 42 , may be, for example, equal to or less than 100 ⁇ , and the first layer 42 may be formed by ALD.
  • the first thickness T 1 may be determined in consideration of the first length L 1 (refer to FIG. 1 ), a size of the pattern isolation portion 40 to be formed eventually.
  • the first thickness T 1 may correspond to a half of the difference between the second length L 2 of the exposed region E of the mask layer 30 and the first length L 1 .
  • the first layer 42 may be formed by oxidizing a portion of the sacrificial pattern layer 21 exposed through the first opening OP 1 .
  • the insulating layers 22 may not be oxidized and the first layer 42 may be formed only on the sidewalls of the first opening OP 1 in the x direction.
  • a second layer 44 of the pattern isolation portion 40 filling the first opening OP 1 , may be formed.
  • a process of removing the first layer 42 from an upper surface of the substrate 11 exposed by the first opening OP 1 may be performed. However, such a process may be optional and may be omitted according to example embodiments, and the first layer 42 may be remained on the substrate 11 within the first opening OP 1 .
  • the mask layer 30 may be removed and a planarization process may be performed.
  • the second layer 44 may be formed as a single layer or may be formed as multiple layers including a plurality of stacked layers.
  • the stacked layers of the second layer 44 may include different materials.
  • the retained sacrificial pattern layers 21 may be removed.
  • the sacrificial pattern layers 21 remained on both sides of the first layer 42 are selectively removed with respect to the first and second layers 42 and 44 and the insulating layer 22 such that the substrate 11 therebelow is exposed, and accordingly, a second opening OP 2 may be formed.
  • a second part of the sacrificial pattern layers 21 which includes the remaining sacrificial pattern layer 21 , may be removed.
  • the process of removing the sacrificial pattern layers 21 may be performed using at least one of a dry etching process or a wet etching process.
  • the sacrificial pattern layer 21 may be removed by performing the dry etching process first and subsequently performing the wet etching process.
  • a portion of the first layer 42 exposed through the second opening OP 2 may be removed.
  • the first layer 42 formed on both sidewalls of the second layer 44 in the x direction may be removed.
  • a wet etching process may be used.
  • the first layer 42 may be selectively etched with respect to the second layer 44 , and a process of etching only the first layer 42 disposed on the sidewall surfaces of the second layer 44 may be performed by adjusting an etch time with the etch selectivity process.
  • the first layer 42 may only remain on sidewalls of the second layer 44 in the y direction, and the remaining first layer 42 may form the pattern isolation portion 40 together with the second layer 44 .
  • the first layer 42 disposed on the sidewalls of the second layer 44 in the y direction may not be removed by a mask layer.
  • the first layer 42 in the x direction may be removed such that the pattern isolation portion 40 may have the first length L 1 of FIG. 1 in the x direction.
  • a length of the second opening OP 2 may extend in the x direction by this removing process.
  • a portion of the second layer 44 may also be consumed, and accordingly, a height of the second layer 44 may be reduced.
  • a process of forming the pattern layers 50 in the second opening OP 2 may be performed.
  • the pattern layers 50 may be formed by depositing a material for forming the pattern layers 50 within the second opening OP 2 and performing a planarization process thereon, if necessary.
  • the pattern layers 50 may be disposed to be spaced apart from one another by a predetermined length by the pattern isolation portions 40 in the x direction.
  • the pattern layers 50 may be formed of conductive material.
  • the pattern isolation portions 40 including the first and second layers 42 and 44 may be formed.
  • the example embodiments for the pattern isolation portions 40 of FIG. 1 , and the configuration of layers forming the pattern isolation portions 40 may be variously modified.
  • the pattern isolation portions 40 may be formed as a monolayer.
  • the process of forming the first layer 42 described above with reference to FIGS. 5A and 5B may be omitted and the process of removing a portion of the second layer 44 , instead of the process of removing a portion of the first layer 42 , described above with reference to FIGS. 8A and 8B , may be performed to form the pattern isolation portions 40 .
  • the pattern layers 50 may be formed with high density. Also, since the pattern isolation portions 40 include the first and second layers 42 and 44 and a portion of the first layer 42 is removed, the first length L 1 (refer to FIG. 1 ) may be more reduced comparing with simply patterning through photolithography.
  • FIGS. 10A through 11 are plan views and a perspective view illustrating a semiconductor device that may be realized by applying a method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept.
  • FIG. 11 illustrates a portion corresponding to region ‘A’ of FIG. 10A .
  • a semiconductor device 100 may include a substrate 101 , active regions 105 extending in a second direction, for example, in the y direction, on the substrate 101 , a gate structure 150 including gate electrodes 154 extending in a first direction, for example, in the x direction, on the active regions 105 , a gate isolation portion 140 disposed between the gate electrodes 154 in the x direction. Also, the semiconductor device 100 may further include isolation layers 130 defining the active regions 105 and epitaxial layers 110 positioned on the active regions 105 on the sides of the gate electrodes 154 . In FIGS. 10A, 10B , and 11 , some components, for example, an interlayer insulating layer 160 (refer to FIG. 29 ), is omitted to help understand the present inventive concept.
  • the semiconductor device 100 may be a transistor (FinFET) having a fin structure.
  • the substrate 101 may have an upper surface extending in the x direction and the y direction.
  • the substrate 101 may include a semiconductor material, for example, a Group IV semiconductor, a Group III-V compound semiconductor, or a Group II-VI oxide semiconductor.
  • the Group IV semiconductor may include silicon, germanium, or silicon-germanium.
  • the substrate 101 may be provided as a bulk wafer, an epitaxial layer, a silicon-on-insulator (SOI) layer, or a semiconductor-on-insulator (SeOI) layer.
  • the isolation layers 130 may be formed of an insulating material.
  • the isolation layers 130 may be formed by, for example, a shallow trench isolation (STI) process.
  • the isolation layers 130 may be formed of, for example, an oxide, a nitride, or a combination thereof.
  • the active regions 105 may be defined by the isolation layers 130 within the substrate 101 , and may have a structure of active fins protruding from the substrate 101 in a z direction. In one embodiment, on the sides of the gate electrodes 154 , the active regions 105 may be recessed and the epitaxial layers 110 may be disposed therein.
  • the epitaxial layers 110 may be provided as source and drain regions of transistors.
  • the epitaxial layers 110 may have elevated source and drain forms such that upper surfaces thereof are positioned to be higher than lower surfaces of the gate electrodes 154 .
  • the epitaxial layers 110 may be formed of, for example, silicon germanium (SiGe).
  • the gate structure 150 may include the gate electrodes 154 , a gate insulating layer 152 disposed between the gate electrodes 154 and the active regions 105 , and spacers 156 disposed on the side surfaces of the gate electrodes 154 .
  • the gate insulating layer 152 may be formed of an oxide, a nitride, or an oxynitride.
  • the gate insulating layer 152 may include, for example, a silicon oxide layer, or a high-k insulating material.
  • the gate electrodes 154 may be disposed to intersect the active regions 105 above the active regions 105 , and a single gate electrode 154 may form a single transistor. Channel regions of a transistor may be formed in the active regions 105 intersecting the gate electrodes 154 .
  • the gate electrodes 154 may include, for example, a metal, a metal nitride, or doped polysilicon.
  • the gate electrodes 154 may include, for example, tungsten (W), molybdenum (Mo), TiN, or TaN.
  • the spacers 156 may be formed on both side surfaces of the gate electrodes 154 , and insulate the gate electrodes 154 from the epitaxial layers 110 .
  • the spacers 156 may be formed of, for example, an oxide, a nitride, and an oxynitride, and may be formed as a multi-layer.
  • the gate isolation portion 140 may be disposed on the substrate 101 such that the gate electrodes 154 extending in the x direction are cut to a predetermined length.
  • the gate isolation portion 140 may have substantially the same width as that of the gate electrodes 154 in the y direction. Also, both side surfaces of the gate isolation portion 140 in the y direction may be coplanar with the gate electrodes 154 .
  • the gate isolation portion 140 may include first and second layers 142 and 144 .
  • the first layer 142 may be disposed on both side surfaces of the second layer 144 in the y direction.
  • the first and second layers 142 and 144 may be formed of materials having a different etch selectivity with respect to each other, but the present inventive concept is not limited thereto.
  • the first and second layers 142 and 144 may be formed of at least one among a silicon-contained material such as a silicon dioxide (SiO 2 ), a silicon oxynitride (SiON), a silicon nitride (Si 3 N 4 ), and polysilicon, or a metal.
  • the gate isolation portion 140 may have a third length L 3 in the x direction.
  • the third length L 3 may be equal to or less than 50 nm, and may be, for example, within a range from 10 nm to 40 nm.
  • the third length L 3 may be minimized.
  • a width W 3 of the first layer 142 in the y direction may be smaller than a width W 4 of the second layer 144 in the y direction, but the present inventive concept is not limited thereto.
  • a semiconductor device 100 a may include a substrate 101 , active regions 105 , a gate structure 150 including gate electrodes 154 , and a gate isolation portion 140 a disposed between the gate electrodes 154 in the x direction.
  • lengths of both ends of the gate isolation portion 140 a in the y direction may be different.
  • a length L 4 of one end of the gate isolation portion 140 a may be shorter than a length L 5 of the other end thereof.
  • the gate isolation portion 140 may have such a shape when an oval mask pattern is used to form the gate isolation portion 140 a , as described hereinafter with reference to FIG. 18 .
  • FIGS. 12 through 29 are views illustrating sequential processes of a method for manufacturing a semiconductor device according to example embodiments of the present inventive concept.
  • a substrate 101 may be patterned to form a trench ST defining active regions 105 .
  • a pad oxide pattern 122 and a mask pattern 124 may be formed on the substrate 101 .
  • the pad oxide pattern 122 may be a layer for protecting an upper surface of the active region 105 and may be omitted according to example embodiments.
  • the mask pattern 124 a mask layer for patterning the substrate 101 , may include a silicon nitride, a carbon inclusion, and the like. Also, the mask pattern 124 may have a multilayer structure.
  • the trench ST may be formed by anisotropically etching the substrate 101 using the pad oxide pattern 122 and the mask pattern 124 .
  • the trench ST has a high aspect ratio, having a width reduced downwardly.
  • the active regions 105 may have a shape narrowed upwardly.
  • an isolation layer 130 may be formed to fill the trench ST.
  • a planarization process may be performed. During the planarization process, at least portions of the pad oxide pattern 122 and the mask pattern 124 may be removed. In an example embodiment, a relatively thin liner layer may be first formed within the trench ST, and the trench ST may be subsequently filled.
  • a portion of the insulating material filling the trench ST may be removed to allow the active regions 105 to protrude.
  • This process may be a wet etching process using at least a portion of the pad oxide pattern 122 as an etch mask. Accordingly, the active regions 105 may protrude upwardly so as to be provided as active fins. In example embodiments, the height to which the active region 105 protrudes may be varied.
  • the pad oxide pattern 122 may also be removed together.
  • a gate insulating layer 152 and a sacrificial layer 120 covering the active regions 105 may be formed.
  • the gate insulating layer 152 may be formed on upper surfaces and side surfaces of the active regions 105 .
  • the gate insulating layer 152 may be, for example, a silicon oxide layer.
  • the sacrificial layer 120 may serve to form the gate electrodes 154 (refer to FIGS. 10A and 11 ), and may be removed during a follow-up process.
  • the sacrificial layer 120 may be, for example, a polysilicon layer.
  • a capping layer may be further formed on the sacrificial layer 120 in order to protect the sacrificial layer 120 during a follow-up process.
  • the gate insulating layer 152 and the sacrificial layer 120 may be patterned and spacers 156 may be formed on both side surfaces of the gate insulating layer 152 and the sacrificial layer 120 .
  • the gate insulating layer 152 and the sacrificial layer 120 may be patterned to traverse the active regions 105 .
  • the gate insulating layer 152 and the sacrificial layer 120 may be sequentially patterned using a mask.
  • the gate insulating layer 152 may be used as an etch stop layer.
  • the spacers 156 may be formed by forming a layer having a uniform thickness on the sacrificial layer 120 and anisotropically etching the same. During this process, spacers 156 F may also be formed on both side surfaces of the active regions 105 protruding from the substrate 101 .
  • epitaxial layers 110 may be formed on the active regions 105 on both sides of the sacrificial layer 120 .
  • a process of implanting an impurity to the active regions 105 on both sides of the sacrificial layer 120 may be performed.
  • the implantation process may be performed using the sacrificial layer 120 and the spacers 156 as masks. However, the implantation process may also be performed in a later step.
  • the active regions 105 on both sides of the sacrificial layer 120 may be selectively etched to form recesses to a predetermined depth, and thereafter, the epitaxial layer 110 may be formed.
  • the recesses may be formed by etching portions of the active regions 105 using a separately formed mask layer or using the sacrificial layer 120 as a mask.
  • a capping layer may be formed on the sacrificial layer 120 during the process described above with reference to FIG. 14 to protect the sacrificial layer 120 .
  • the recesses may be formed by sequentially applying a dry etching process and a wet etching process. After the recesses are selectively formed, a process of curing surfaces of the recessed active regions 105 may be performed.
  • a process of curing surfaces of the recessed active regions 105 may be performed.
  • upper surfaces of the recessed active regions 105 are coplanar with the upper surface of the isolation layer 130 , but the present inventive concept is not limited thereto.
  • upper surfaces of the recessed active regions 105 may be higher or lower than the upper surface of the isolation layer 130 .
  • the epitaxial layers 110 may be formed on the recesses by performing a selective epitaxial growth (SEG) process.
  • a size of the epitaxial layers 110 may not be limited to those illustrated.
  • the epitaxial layer 110 may be, for example, silicon germanium (SiGe) layers.
  • SiGe silicon germanium
  • compressive stress may occur in a channel region of a transistor. Such compressive stress may increase as a concentration of germanium (Ge) increases.
  • the concentration of germanium (Ge) may vary in the epitaxial layers 110 according to heights of the epitaxial layers 110 .
  • the spacers 156 F (refer to FIG. 15 ) formed on the side surfaces of the active regions 105 are removed together, but the present inventive concept is not limited thereto and at least a portion of the spacers 156 F may be remained on the side surfaces of the epitaxial layers 110 .
  • the epitaxial layers 110 may be epitaxial layers which have been doped with an impurity.
  • the doping of the epitaxial layers 110 may be performed in-situ during growth thereof, or may be performed by ion implantation after growth thereof.
  • the grown epitaxial layers 110 may be provided as source and drain regions of a transistor.
  • an interlayer insulating layer 160 may be formed on the epitaxial layers 110 , and first and second mask layers 172 and 174 may be formed on the interlayer insulating layer 160 .
  • the interlayer insulating layer 160 may be formed by forming a layer covering the sacrificial layer 120 , the spacers 156 , and the epitaxial layers 110 with an insulating material and subsequently performing a planarization process thereon such that an upper surface of the sacrificial layer 120 is exposed.
  • the first and second mask layers 172 and 174 are masks serving to form the gate isolation portion 140 (refer to FIGS. 10A and 11 ) and may be formed of materials each having a different etch selectivity, and materials each having an etch selectivity may be selected in consideration of a material of the sacrificial layer 120 .
  • the first and second mask layers 172 and 174 may be formed of at least one among a silicon-contained material such as a silicon dioxide (SiO 2 ), a silicon oxynitride (SiON), a silicon nitride (Si 3 N 4 ), and polysilicon, a carbon-contained material including a hydrocarbon compound such as ACL or SOH or derivatives thereof, and a metal or an organic substance.
  • the first mask layer 172 may be formed as a multi-layer including a silicon dioxide (SiO 2 ) and a silicon nitride (SiN), while the second mask layer 174 may be formed of SOH.
  • the first and second mask layers 172 and 174 may be formed through a process such as ALD, CVD, or spin coating, and a baking process or a curing process may be additionally performed according to materials.
  • an anti-reflective layer may be further formed on the second mask layer 174 , and the anti-reflective layer may serve to prevent reflection when a follow-up photolithography process is performed.
  • the anti-reflective layer may include an organic substance or an inorganic substance. According to example embodiments, at least a portion of the second mask layer 174 may serve as an anti-reflective layer.
  • a third mask layer 176 exposing a portion of the mask layer 174 may be formed on the second mask layer 174 .
  • the third mask layer 176 may expose the second mask layer 174 through an open region E.
  • the third mask layer 176 may be a photoresist layer, but the present inventive concept is not limited thereto.
  • the open region E may include a region in which the gate isolation portion 140 is to be formed, and may be a region extending to be perpendicular to the sacrificial layer 120 .
  • a single region E may expose regions in which a plurality of gate isolation portions 140 , for example, two gate isolation portions 140 , adjacent to each other in the y direction illustrated in FIG. 10A are formed, together in a manner similar to that of FIG. 3A .
  • the gate isolation portions 140 a in which lengths of both ends in the y direction are different may be formed as illustrated in FIG. 10B .
  • a mask spacer layer 178 covering an exposed upper surface of the second mask layer 174 and the third mask layer 176 may be formed.
  • the mask spacer layer 178 may be formed of a material having an etch selectivity with respect to the second mask layer 174 .
  • the mask spacer layer 178 may be formed of an oxide layer.
  • materials of the sacrificial layer 120 , the first to third mask layers 172 , 174 , and 176 , and the mask spacer layer 178 are not limited to those mentioned above, and materials each having a mutually different etch selectivity with respect to predetermined etch conditions in the layers adjacent to each other may be selected.
  • the mask spacer layer 178 may be etched to form mask spacers 178 s on sidewalls of the third mask layer 176 .
  • the mask spacers 178 S may be formed by etching the mask spacer layer 178 until the second mask layer 174 is exposed in the open region E.
  • the mask spacers 178 S may be used to reduce the size of the open region E to form a pattern smaller than a pattern defined by the third mask layer 176 .
  • a thickness of the mask spacer 178 S on one sidewall of the third mask layer 176 may be determined in consideration of a size of the gate isolation portion 140 desired to be formed.
  • a width of the second mask layer 174 exposed between the mask spacers 178 S may be determined in consideration of the third length L 3 (refer to FIG. 10A ) of the gate isolation portion 140 .
  • the exposed second mask layer 174 may be etched using the third mask layer 176 and the mask spacers 178 S to form second mask pattern layers 174 P.
  • an upper surface of the first mask layer 172 may be exposed in the open region E. While the second mask layer 174 is being etched, portions of the third mask layer 176 and the mask spacers 178 S may also be removed so the third mask layer 176 and the mask spacers 178 S may be reduced in height.
  • the exposed first mask layer 172 may be etched using the second mask pattern layer 174 P to form first mask pattern layers 172 P.
  • upper surfaces of the interlayer insulating layer 160 and the sacrificial layer 120 may be exposed to the open region E. While the first mask layer 172 is being etched, the third mask layer 176 and the mask spacers 178 S may also be removed. The second mask pattern layer 174 P may be removed while the first mask layer 172 is etched, or may be removed through a separate process. Alternatively, at least a portion of the second mask pattern layer 174 P may be remained on the first mask pattern layer 172 P.
  • the pattering processes of the mask layers described above with reference to FIGS. 17 through 22 may be variously modified according to example embodiments.
  • the number, materials, and sequential patterning schemes of the mask layers may be variously modified.
  • the exposed sacrificial layer 120 may be etched using the first mask pattern layer 172 P to form a first opening OP 1 .
  • a cross-section taken along line A-A′ is also illustrated, and hereinafter, cross-sections are illustrated together in FIGS. 24 through 29 in the same manner. Also, in FIGS. 23 through 25 , to help understand, it is illustrated that the first mask pattern layer 172 P on the left of the first opening OP 1 is partially cut away.
  • the first opening OP 1 having a hole shape may be formed by selectively etching only a material of the sacrificial layer 120 in the region exposed through the open region E. For example, a first part of the sacrificial layer 120 may be removed. The sacrificial layer 120 may be selectively removed by wet etching or dry etching. Thus, the interlayer insulating layer 160 near the first opening OP 1 may be remained.
  • the gate insulating layer 152 may be exposed from a lower portion of the first opening OP 1 , and the sacrificial layer 120 and the spacers 156 may be exposed from sidewalls of the first opening OP 1 . In an example embodiment, the gate insulating layer 152 may also be removed together from the lower portion of the first opening OP 1 to expose an upper surface of the isolation layer 130 .
  • the process of cutting the sacrificial layer 120 is performed, and thus, even though the sacrificial layer 120 is formed of silicon, defect generation as nodules are formed due to silicon grown from the sacrificial layer 120 within the first opening OP 1 can be prevented.
  • a first layer 142 of the gate isolation portion 140 covering the sacrificial layer 120 , the sidewalls of the spacers 156 , and the upper surface of the gate insulating layer 152 exposed through the first opening OP 1 may be formed.
  • a second thickness T 2 , a thickness of the first layer 142 may be equal to or less than 100 ⁇ , and the first layer 142 may be formed using ALD.
  • the second thickness T 2 may be determined in consideration of a third length L 3 (refer to FIG. 10A ), a size of the gate isolation portion 140 intended to be finally formed.
  • the second thickness T 2 may be determined such that the length of the first opening OP 1 after the formation of the first layer 142 corresponds to the third length L 3 , the length of the gate isolation portion 140 in the x direction.
  • the first layer 142 may be formed by oxidizing a portion of the sacrificial layer 120 exposed through the first opening OP 1 .
  • the first layer 142 may be formed only on the sidewalls of the first opening OP 1 .
  • a lower layer 144 A of a second layer 144 of the gate isolation portion 140 filling a portion of the first opening OP 1 may be formed.
  • the lower layer 144 A may be formed by adjusting materials and/or process conditions such that a material forming the lower layer 144 A may be deposited from the lower portion of the first opening OP 1 .
  • the lower layer 144 A may be formed of a silicon nitride (SiN), but the material of the lower layer 144 A is not limited thereto.
  • a height of the lower layer 144 A may be, for example, equal to or greater than a half of a height of the sacrificial layer 120 .
  • an upper layer 144 B of the second layer 144 may be formed to fill the first opening OP 1 . Accordingly, the second layer 144 including the upper layer 144 B and the lower layer 144 A may be formed.
  • the upper layer 144 B may be formed of a material different from that of the lower layer 144 A.
  • the upper layer 144 B may be formed of a silicon dioxide (SiO 2 ), but the material of the upper layer 144 B is not limited thereto.
  • a concave region, a void, or a seam may be formed in a central portion of the lower layer 144 A.
  • the upper layer 144 B may be formed on the lower layer 144 A such that the upper layer 144 B fills the concave region, the void, or the seam.
  • a horizontal interface between the upper layer 144 B and the lower layer 144 A are merely illustrative and may be variously modified according to example embodiments.
  • this process may be omitted and the second layer 144 may be formed as a monolayer.
  • the sacrificial layer 120 on both sides of the second layer 144 may be removed.
  • the sacrificial layer 120 may be selectively removed with respect to the first layer 142 , the spacers 156 , and the gate insulating layer 152 to expose the gate insulating layer 152 therebelow, and accordingly, a second opening OP 2 may be formed.
  • a second part of the sacrificial pattern layers 120 which includes the remaining sacrificial pattern layer 120 , may be removed.
  • the process of removing the sacrificial layer 120 at least one of a dry etching process and a wet etching process may be used.
  • the sacrificial layer 120 may be removed by performing a dry etching process first, and subsequently performing a wet etching process.
  • a distance between one end of the active region 105 exposed within the second opening OP 2 and the first layer 142 may have a sixth length L 6 .
  • a portion of the first layer 142 may exposed through the second opening OP 2 may be removed.
  • the first layer 142 not in contact with the spacers 156 and exposed through the second opening OP 2 may be removed.
  • a wet etching process may be used.
  • the first layer 142 may be selectively etched with respect to the second layer 144 , and a process may be performed such that only the first layer 142 may be etched, for example, by adjusting an etch time.
  • the first layer 142 may only be remained on the sidewalls between the second layer 144 and the spacers 156 , and the remained first layer 142 may form the gate isolation portion 140 , together with the second layer 144 .
  • the second opening OP 2 may extend toward the second layer 144 . Accordingly, the sixth length L 6 of FIG. 27 may increase to correspond to the thickness of the first layer 142 .
  • a region of the first layer 142 in contact with the spacers 156 , which is adjacent to the second opening OP 2 may also be partially removed.
  • the gate isolation portion 140 may be formed to have even smaller length.
  • a distance between the active regions 105 having a fin structure and the gate isolation portion 140 may increase to obtain an advantage in terms of process such that a gap fill between the active regions 105 and the gate isolation portion 140 is enhanced when the gate electrodes 154 are formed in a follow-up process.
  • a distance between the gate electrodes 154 adjacent in one direction may be reduced to enhance integration of the semiconductor device.
  • the gate isolation portion 140 may be formed to be narrower even though the open region E of the third mask layer 176 (refer to FIG.
  • the semiconductor device may be manufactured without a problem in terms of process due to a reduction in size of the opening region E itself, for example, a difficulty in forming the first opening OP 1 described above with reference to FIG. 23 , or the like.
  • a process of forming the gate electrodes 154 in the second opening OP 2 may be performed. Accordingly, the gate structure 150 including the gate insulating layer 152 , the gate electrodes 154 , and the spacers 156 may be formed.
  • the gate electrodes 154 may be formed by depositing a material for forming the gate electrodes 154 within the second opening OP 2 and planarizing the same. As illustrated, adjacent gate electrodes 154 which are arranged in a row in a direction crossing the active region 105 may be separated by the gate isolation portion 140 .
  • the gate electrodes 154 may be made of, for example, a polysilicon or a metal.
  • a process of forming contact plugs electrically connected to the gate electrodes 154 and the epitaxial layer 110 and wirings connected to the contact plugs may be performed.
  • FIGS. 30 and 31 are a plan view and a perspective view illustrating a semiconductor device that can be realized by applying the method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept.
  • FIG. 31 illustrates a portion corresponding to region ‘A” of FIG. 30 .
  • a semiconductor device 100 b may include a substrate 101 , active regions 105 extending on the substrate 101 in the y direction, a gate structure 150 including gate electrodes 154 extending in the x direction on the active regions 105 , and a gate isolation portion 140 b disposed between the gate electrodes 154 in the x direction.
  • the gate isolation portion 140 b may be formed as a monolayer.
  • the gate isolation portion 140 b may be disposed on the substrate 101 such that the gate electrodes 154 cut to a predetermined length.
  • the gate isolation portion 140 b may have substantially the same width as that of the gate electrodes 154 in the y direction.
  • both side surfaces of the gate isolation portion 140 b in the y direction may be coplanar with the gate electrodes 154 .
  • FIGS. 32 through 36 are views illustrating sequential processes of a method for manufacturing a semiconductor device according to example embodiments of the present inventive concept. Specifically, FIGS. 32 through 36 are cross-sectional views taken along line X-X′ of FIG. 31 .
  • a process of forming the active regions 105 , the sacrificial layer 120 , the epitaxial layer 110 , and the first mask pattern layer 172 P may be performed.
  • the first layer 142 b may be formed by oxidizing the sacrificial layer 120 exposed through the first opening OP 1 to a predetermined thickness.
  • the sacrificial layer 120 is formed of polysilicon and the spacers 156 is formed of a silicon oxide or a silicon nitride
  • the spacers 156 is formed of a silicon oxide or a silicon nitride
  • a thickness of the first layer 142 b may be adjusted by adjusting a process time.
  • the first layer 142 b may also be formed on the sidewalls of the spaces 156 exposed through the first opening OP 1 .
  • the second layer 144 of the gate isolation portion 140 filling the first opening OP 1 may be formed.
  • the second layer 144 may include the lower layer 144 A and the upper layer 144 B.
  • the lower layer 144 A may be formed by adjusting a material and/or process conditions such that a material may be deposited from a lower portion of the first opening OP 1 .
  • the lower layer 144 A may be formed of a silicon nitride SiN, but the present inventive concept is not limited thereto.
  • a height of the lower layer 144 A may be equal to or greater than a half of a height of the sacrificial layer 120 .
  • the upper layer 144 B may be formed of a material different from that of the lower layer 144 A.
  • the upper layer 144 B may be formed of a silicon dioxide (SiO 2 ), but a material of the upper layer 144 B is not limited thereto.
  • the second layer 144 may be formed as a monolayer.
  • the sacrificial layer 120 remained on both side surfaces of the second layer 144 may be removed.
  • the sacrificial layer 120 may be selectively removed with respect to the first layer 142 b , the spacers 156 , and the gate insulating layer 152 to expose the gate insulating layer 152 therebelow, and accordingly, the second opening OP 2 may be formed.
  • the process of removing the sacrificial layer 120 at least one of a dry etching process and a wet etching process may be used.
  • the sacrificial layer 120 may be removed by performing a dry etching process first, and subsequently performing a wet etching process.
  • the first layer 142 b may be exposed through the second opening OP 2 may be removed.
  • a wet etching process may be used, for example.
  • the first layer 142 b may be selectively etched with respect to the second layer 144 , and the gate isolation portion 140 b may be formed only with the second layer 144 .
  • the second opening OP 2 may extend toward the second layer 144 .
  • a portion of the first layer 142 b may be remained to form a portion of the gate isolation portion 140 b.
  • a process of forming the gate electrodes 154 in the second opening OP 2 may be performed. Accordingly, the gate structure 150 including the gate insulating layer 152 , the gate electrodes 154 , and the spacers 156 may be formed.
  • the gate electrodes 154 may be formed by depositing a material forming the gate electrodes 154 within the second opening OP 2 and performing a planarization process. As illustrated, the adjacent gate electrodes 154 which are arranged in a row in a direction crossing the active region 105 may be separated by the gate isolation portion 140 b . In one embodiment, the gate electrodes 154 may be made of, for example, a polysilicon or a metal.
  • FIGS. 37 through 38C are a plan view and cross-sectional views illustrating a semiconductor device that can be realized by applying the method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept.
  • FIGS. 38A through 38C are cross-sectional views taken along lines I-I′, II-II′, and III-III′ of FIG. 37 .
  • a semiconductor device 200 may include a substrate 201 , active regions 205 extending in a first direction, for example, in the y direction, in the substrate 201 , and a gate structure 250 including gate electrodes 254 extending in a second direction, for example, in the x direction, on the active regions 205 , and a gate isolation portion 240 disposed between the gate electrodes 254 arranged in a row in the x direction. Also, the semiconductor device 200 may further include isolation layers 230 defining the active regions 205 and epitaxial layers 210 positioned on the active regions 205 on the sides of the gate electrodes 254 .
  • the semiconductor device 200 may be a planar transistor in which the active regions 205 do not protrude toward the gate electrodes 254 and have a flat upper surface.
  • the substrate 201 may have an upper surface extending in the x direction and the y direction.
  • the substrate 201 may include a semiconductor material, for example, Group IV semiconductor, Group III-V compound semiconductor, or Group II-VI oxide semiconductor.
  • the isolation layers 230 may be formed of an insulating material.
  • the isolation layers 230 may be an oxide, a nitride, or a combination thereof, for example.
  • the active regions 205 may be defined by the isolation layers 230 within the substrate 201 .
  • the active regions 205 may be recessed on the sides of the gate electrodes 254 , and epitaxial layers 210 may be disposed therein.
  • the epitaxial layers 210 may be provided as source and drain regions of transistors.
  • the semiconductor device 200 may not include the epitaxial layer 210 and the source and drain electrodes may be formed as impurity regions within the active regions 205 .
  • the gate structure 250 may include a gate insulating layer 252 disposed between the gate electrode 254 and the active regions 205 , the gate electrode 254 , and spacers 256 disposed on the side surfaces of the gate electrodes 254 .
  • the gate insulating layer 252 may be formed of an oxide, a nitride, or an oxynitride.
  • the gate electrode 254 may be disposed to intersect the active regions 205 above the active regions 205 , and a single gate electrode 254 may form a single transistor. Channel regions of a transistor may be formed in the active regions 205 intersecting the gate electrode 254 .
  • the gate electrode 254 may include a metal, a metal nitride, or doped polysilicon.
  • the spacers 256 may be formed on both side surfaces of the gate electrodes 254 .
  • the spacers 256 may be formed of an oxide, a nitride, and an oxynitride, and may be formed as a multi-layer.
  • the gate isolation portion 240 may be disposed on the substrate 201 such that the gate electrodes 254 extending in the x direction are cut to a predetermined length.
  • the gate isolation portion 240 may include first and second layers 242 and 244 .
  • the first layer 242 may be disposed on both side surfaces of the second layer 244 in the y direction.
  • the first and second layers 242 and 244 may be formed of materials each having an etch selectivity with respect to each other, but the present inventive concept is not limited thereto.
  • the first and second layers 242 and 244 may be formed of at least one among a silicon-contained material such as a silicon dioxide (SiO 2 ), a silicon oxynitride (SiON), a silicon nitride (Si 3 N 4 ), and polysilicon, or a metal.
  • FIG. 39 is a circuit diagram of a CMOS inverter including a semiconductor device according to an example embodiment of the present inventive concept.
  • the CMOS inverter may include a PMOS transistor P 1 and an NMOS transistor N 1 . At least one of the PMOS transistor P 1 and the NMOS transistor N 1 may include at least one of the semiconductor devices according to the various example embodiments of the present inventive concept described above with reference to FIGS. 1, 10A through 11, 30, 31, 37, and 38A through 38C .
  • the PMOS and NMOS transistors may be connected in series between a source voltage line Vdd and a ground voltage line Vss, and an input signal IN may be commonly input to gates of the PMOS and NMOS transistors. Also, an output signal OUT may be commonly output from drains of the PMOS and NMOS transistors. A power supply voltage may be applied to a source of the PMOS transistor, and a ground voltage may be applied to a source of the NMOS transistor.
  • the CMOS inverter may invert the input signal IN and output the inverted signal as an output signal OUT.
  • a logic level “0” when a logic level “1” is input as an input signal of the inverter, a logic level “0” may be output as an output signal, and when a logic level “0” is input as an input signal of the inverter, a logic level “1” may be output as an output signal.
  • FIG. 40 is a circuit diagram of an SRAM cell including a semiconductor device according to an example embodiment of the present inventive concept.
  • one cell may include first and second driving transistors TN 1 and TN 2 , first and second load transistors TP 1 and TP 2 , and first and second access transistors TN 3 and TN 4 .
  • sources of the first and second driving transistors TN 1 and TN 2 may be connected to a ground voltage line Vss
  • sources of the first and second load transistors TP 1 and TP 2 may be connected to a source voltage line Vdd.
  • the first driving transistor TN 1 configured as an NMOS transistor and the first load transistor TP 1 configured as a PMOS transistor may form a first inverter
  • the second driving transistor TN 2 configured as an NMOS transistor and the second load transistor TP 2 configured as a PMOS transistor may form a second inverter.
  • At least one of the first and second driving transistors TN 1 and TN 2 , the first and second load transistors TP 1 and TP 2 , and the first and second access transistors TN 3 and TN 4 may include the semiconductor devices according to various example embodiments of the present inventive concept described above with reference to FIGS. 1, 10A through 11, 30, 31, 37, and 38A through 38C .
  • Output terminals of the first and second inverters may be connected to sources of the first access transistor TN 3 and the second access transistor TN 4 . Also, in order to configure a single latch circuit, input terminals and output terminals of the first and second inverters may be connected in a crossing manner. Drains of the first and second access transistors TN 3 and TN 4 may be connected to first and second bit lines BL and /BL, respectively.
  • FIG. 41 is a block diagram illustrating a storage device including semiconductor devices according to example embodiments of the present inventive concept.
  • a storage device 1000 may include a controller 1010 communicating with a host HOST and memories 1020 - 1 , 1020 - 2 , and 1020 - 3 storing data. At least one of the memories 1020 - 1 , 1020 - 2 , and 1020 - 3 may include at least one of the semiconductor devices according to various example embodiments of the present inventive concept described above with reference to FIGS. 1, 10A through 11, 30, 31, 37, and 38A through 38C .
  • the host HOST communicating with the controller 1010 may be various electronic devices in which the storage device 1000 is installed.
  • the host HOST may be a smartphone, a digital camera, a desktop computer, a laptop computer, a media player, and the like.
  • the controller 1010 may receive a data write or read request delivered from the host HOST and store data in the memories 1020 - 1 , 1020 - 2 , and 1020 - 3 , or may generate a command CMD for retrieving data from the memories 1020 - 1 , 1020 - 2 , and 1020 - 3 .
  • one or more memories 1020 - 1 , 1020 - 2 , and 1020 - 3 may be connected to the controller 1010 in parallel within the storage device 1000 .
  • the storage device 1000 having high capacity such as a solid state drive (SSD) may be realized by connecting the plurality of memories 1020 - 1 , 1020 - 2 , and 1020 - 3 to the controller 1010 in parallel.
  • SSD solid state drive
  • FIG. 42 is a block diagram illustrating an electronic device including at least one of the semiconductor devices according to example embodiments of the present inventive concept.
  • an electronic device 2000 may include a communications unit 2010 , an input unit 2020 , an output unit 2030 , a memory 2040 , and a processor 2050 .
  • the communications unit 2010 may include a wired/wireless communications module, and may include a wireless Internet module, a short-range communications module, a global positioning system (GPS) module, a mobile communications module, and the like.
  • the wired/wireless communications module included in the communications unit 2010 may be connected to an external communications network according to various standards to transmit and receive data.
  • the input unit 2020 may include a mechanical switch, a touch screen, a voice recognition module, and the like. Also, the input unit 2020 may include a track ball, a mouse operating in a laser pointer manner, or a finger mouse device, and may further include various sensor modules allowing a user to input data.
  • the output unit 2030 may output information processed in the electronic device 2000 in the form of voice or an image, and the memory 2040 may store a program for processing and controlling the processor 2050 , or data.
  • the processor 2050 may transmit a command to the memory 2040 according to a required operation to store or retrieve data.
  • the memory 2040 may communicate with the processor 2050 through an interface installed in the electronic device 2000 or a separate interface.
  • the processor 2050 may store data in or retrieve data from the memory 2040 through various interface standards such as a secure digital (SD), a secure digital high-capacity (SDHC), a secure digital extended capacity (SDXC), micro-SC, universal serial bus (USB), and the like.
  • SD secure digital
  • SDHC secure digital high-capacity
  • SDXC secure digital extended capacity
  • micro-SC micro-SC
  • USB universal serial bus
  • the processor 2050 controls operations of each component included in the electronic device 2000 .
  • the processor 2050 may perform controlling and processing related to a voice, call, a video call, data communications, and the like, or may perform controlling and processing to play and manage multimedia.
  • the processor 2050 may process an input transmitted from the user through the input unit 2020 and output a corresponding result through the output unit 2030 .
  • the processor 2050 may store data required for controlling an operation of the electronic device 2000 in the memory 2040 or may retrieve such data from the memory 2040 .
  • At least one of the processor 2050 and the memory 2040 may include at least one of the semiconductor devices according to various example embodiments described above with reference to FIGS. 1, 10A through 11, 30, 31, 37, and 38A to 38C .
  • FIG. 43 is a schematic view illustrating a system including at least one of the semiconductor devices according to example embodiments of the present inventive concept.
  • a system 3000 may include a controller 3100 , an input/output device 3200 , a memory 3300 , and an interface 3400 .
  • the system 3000 may be a mobile system or a system transmitting or receiving information.
  • the mobile system may be a personal digital assistant (PDA), a portable computer, a Web tablet, a wireless phone, a mobile phone, a digital music player, or a memory card.
  • PDA personal digital assistant
  • the controller 3100 may serve to execute a program or control the system 3000 .
  • the controller 3100 may be a microprocessor, a digital signal processor (DSP), a microcontroller, or a device similar thereto.
  • DSP digital signal processor
  • the input/output device 3200 may be used to input or output data of the system 3000 .
  • the system 3000 may be connected to an external device, for example, a personal computer or a network, using an input/output device 3200 and exchange data with the external device.
  • the input/output device 3200 may be, for example, a keypad, a keyboard, or a display.
  • the memory 3300 may store a code and/or data for operation of the controller 3100 and/or store data processed in the controller 3100 .
  • the memory 3300 may include at least one of the semiconductor devices according to any one of the example embodiments of the present inventive concept.
  • the interface 3400 may be a data transmission passage between the system 3000 and other external device.
  • the controller 3100 , the input/output device 3200 , the memory 3300 , and the interface 3400 may communicate with each other through a bus 3500 .
  • the controller 3100 or the memory 3300 may include the semiconductor devices according to various example embodiments described above with reference to FIGS. 1, 10A through 11, 30, 31, 37, and 38A to 38C .
  • the semiconductor device having enhanced integration through the use of the pattern isolation portion, the method for forming a pattern of the semiconductor device, and the method for manufacturing the semiconductor device may be provided.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)

Abstract

There is provided a method for manufacturing a semiconductor device including a substrate including a plurality of active regions, a plurality of gate electrodes extending in a first direction to intersect a portion of the plurality of active regions, and including first and second gate electrodes disposed to be adjacent to each other in the first direction, a gate isolation portion disposed between the first and second gate electrodes. The gate isolation portion includes a first layer and second layers disposed on both ends of the first layer in a second direction perpendicular to the first direction.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application claims priority under 35 U.S.C. §119 to Korean Patent Application No. 10-2014-0183490 filed on Dec. 18, 2014, with the Korean Intellectual Property Office, the disclosure of which is incorporated herein by reference in its entirety.
  • BACKGROUND
  • The present disclosure relates to a semiconductor device, a method for forming a pattern of a semiconductor device, and a method for manufacturing a semiconductor device.
  • With demand for semiconductor devices supporting high performance, high speeds, and/or multiple functions increasing, degrees of integration of semiconductor devices have increased. In manufacturing semiconductor devices having fine patterns to meet the need for high integration in semiconductor devices, it is useful to form patterns with fine widths and fine spaces therebetween. Also, efforts to develop semiconductor devices including a fin field effect transistor (FinFET) having a channel having a three-dimensional structure have been made to help overcome limitations in device characteristics of planar metal oxide semiconductors (MOSFETs).
  • SUMMARY
  • Certain aspects of the present inventive concept may provide a semiconductor device having an enhanced degree of integration, a method for forming a pattern of a semiconductor device, and a method for manufacturing a semiconductor device.
  • According to certain aspects of the present inventive concept, a semiconductor device may include a substrate including a plurality of active regions, a plurality of gate electrodes extending in a first direction to intersect a portion of the plurality of active regions, and including first and second gate electrodes disposed adjacent to each other to form a row in the first direction, and a gate isolation portion disposed between the first and second gate electrodes, wherein the gate isolation portion includes a first layer and a second layer stacked with each other in a second direction perpendicular to the first direction.
  • Both side surfaces of the gate isolation portion in the second direction may be coplanar with side surfaces of the first and second gate electrodes.
  • A width of the second layer in the second direction may be smaller than that of the first layer.
  • The first layer and the second layer may be formed of materials each having a different etch selectivity with respect to a predetermined etchant.
  • The first layer may include a silicon nitride, and the second layer may include a silicon oxide.
  • The gate isolation portion may have a length equal to or smaller than 50 nm in the first direction.
  • The plurality of active regions may be provided as active pins each having an upper surface and opposite side surfaces, and the plurality of gate electrodes may cover the upper surfaces and opposite side surfaces of the active fins and intersecting the active fins.
  • The plurality of active regions may include recessed regions on both sides of the plurality of gate electrodes, and source and drain regions may be disposed in the recessed regions.
  • The source and drain regions may be formed of a silicon germanium (SiGe) epitaxial layer.
  • Both ends of the gate isolation portion may have different lengths in the second direction.
  • According to certain aspects of the present inventive concept, a method for manufacturing a semiconductor device may include forming a structure including a sacrificial pattern layer on a substrate, the sacrificial pattern layer having a line shape extending in a first direction and including first and second parts, forming a mask layer exposing the first part of the sacrificial pattern layer, removing the first part of the sacrificial pattern layer exposed by the mask layer to form an opening in the structure, forming a first layer of a pattern isolation portion covering at least a portion of an inner wall of the opening including a side surface of the second part exposed through the opening, forming a second layer of the pattern isolation portion filling the opening, removing the second part of the sacrificial pattern layer, removing at least a portion of the first layer exposed by removing the second part of the sacrificial pattern layer, and forming a conductive pattern layer in a region formed by removing the second part of the sacrificial pattern layer and the portion of the first layer.
  • The first and second parts of the sacrificial pattern layer may be alternately disposed in the first direction, and the sacrificial pattern layer may be cut by removing the first part of the sacrificial pattern layer.
  • The conductive pattern layer may extend in the first direction and include patterns disposed to be spaced apart from one another in the first direction.
  • In the forming of the first layer, the first layer may be formed on the entirety of an inner sidewall of the opening.
  • The first layer may be formed by atomic layer deposition (ALD).
  • In the forming the first layer, the first layer may be formed only on side surfaces of the second part of the sacrificial pattern layer exposed by the opening.
  • The first layer may be formed by oxidizing a portion of the second part of the sacrificial pattern layer.
  • In the removing of at least the portion of the first layer, the first layer may be selectively removed with respect to the second layer.
  • In the removing of at least a portion of the first layer, a region of the first layer formed on a side surface of the second layer in a second direction perpendicular to the first direction may not be removed, but remained.
  • The second layer may include an upper layer and a lower layer formed of different materials.
  • The structure may include a plurality of sacrificial pattern layers spaced apart from one another by a predetermined distance and insulating layers filling spaces between the sacrificial pattern layers.
  • At least one open region exposing a plurality of first regions in a direction perpendicular to the first direction may be formed in the mask layer.
  • According to certain aspects of the present inventive concept, a method for manufacturing a semiconductor device may include forming a linear sacrificial pattern layer extending in a first direction on a substrate, removing a first part of the sacrificial pattern layer to form an opening, filling the opening to form a pattern isolation portion, removing a second part of the sacrificial pattern layer that includes the remaining sacrificial pattern layer, and forming conductive pattern layers extending in the first direction on both sides of the pattern isolation portion.
  • The pattern isolation portion may include two layers formed of different respective materials.
  • The two layers may be stacked vertically on the substrate.
  • The forming of the pattern isolation portion may include: forming a first layer on side surfaces of the sacrificial layer exposed by the opening, and forming a second layer filling the opening.
  • The method may further include removing at least a portion of the first layer exposed by removing the second part of the sacrificial pattern layer, before the forming of the conductive pattern layers.
  • According to certain aspects of the present inventive concept, a method for manufacturing a semiconductor device may include forming an isolation layer defining a plurality of active regions on a substrate, forming a plurality of linear sacrificial pattern layers intersecting the plurality of active regions and extending in a first direction, forming a mask layer having an open region exposing a portion of the plurality of sacrificial pattern layers and extending in a second direction different from the first direction on the plurality of sacrificial pattern layers, removing a portion of the plurality of sacrificial pattern layers exposed through the open region to form an opening, forming a first layer of a gate isolation portion on side surfaces of the plurality of sacrificial pattern layers exposed through the opening, forming a second layer of the gate isolation portion to fill the opening, removing the plurality of remained sacrificial pattern layers, removing at least a portion of the first layer exposed by removing the plurality of sacrificial pattern layers, and forming gate electrodes on both sides of the second layer.
  • The open region may have a rectangular shape or an elongated shape, and the plurality of sacrificial pattern layers may be exposed through the single opening region.
  • The gate electrodes may be electrically and physically separated from the second layer.
  • According to certain aspects of the present inventive concept, a method for manufacturing a semiconductor device may include forming a sacrificial layer extending in a first direction on a first insulating layer, removing a first part of the sacrificial layer to form an opening region, forming a first layer to cover at least entire sidewall surfaces of the sacrificial layer in the opening region to fill a first portion of the opening region, forming a second layer filling a second portion of the opening region to form an isolation portion, removing a second part of the sacrificial layer that includes the remaining sacrificial layer, after removing the second part of the sacrificial layer, removing at least a first portion of the first layer, and forming a third layer on the removed portion of the sacrificial layer and the removed first portion of the first layer, the third layer extending in the first direction on both sides of the isolation portion.
  • BRIEF DESCRIPTION OF DRAWINGS
  • The above and other aspects, features and advantages of the present inventive concept will be more clearly understood from the following detailed description taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 is a plan view illustrating a semiconductor device that may be realized by applying a method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept;
  • FIGS. 2A through 9A and 2B through 9B are plan views and cross-sectional views illustrating sequential processes of a method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept;
  • FIGS. 10A, 10B, and 11 are plan views and a perspective view illustrating a semiconductor device that may be realized by applying a method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept;
  • FIGS. 12 through 29 are views illustrating sequential processes of a method for manufacturing a semiconductor device according to example embodiments of the present inventive concept;
  • FIGS. 30 and 31 are a plan view and a perspective view illustrating a semiconductor device that can be realized by applying the method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept;
  • FIGS. 32 through 36 are views illustrating sequential processes of a method for manufacturing a semiconductor device according to example embodiments of the present inventive concept;
  • FIGS. 37, 38A, 38B, and 38C are a plan view and cross-sectional views illustrating a semiconductor device that can be realized by applying the method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept;
  • FIG. 39 is a circuit diagram of a CMOS inverter including a semiconductor device according to example embodiments of the present inventive concept;
  • FIG. 40 is a circuit diagram of a SRAM cell including a semiconductor device according to example embodiments of the present inventive concept;
  • FIG. 41 is a block diagram illustrating a storage device including a semiconductor device according to example embodiments of the present inventive concept;
  • FIG. 42 is a block diagram illustrating an electronic device including a semiconductor device according to example embodiments of the present inventive concept; and
  • FIG. 43 is a schematic view illustrating a system including a semiconductor device according to example embodiments of the present inventive concept.
  • DETAILED DESCRIPTION
  • Example embodiments of the present inventive concept will now be described in detail with reference to the accompanying drawings.
  • The inventive concept may, however, be exemplified in many different forms and should not be construed as being limited to the specific embodiments set forth herein. In the drawings, the shapes and dimensions of elements may be exaggerated for clarity, and the same reference numerals will be used throughout to designate the same or like elements.
  • The terminology used herein is used for the purpose of describing particular embodiments only and is not intended to be limiting of the inventive concept. Also, as used herein, singular forms “a”, “an” and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. Expressions such as “at least one of,” when preceding a list of elements, modify the entire list of elements and do not modify the individual elements of the list. It will be understood that when an element is referred to as being “connected” or “coupled” to another element, it may be directly connected or coupled to the other element or intervening elements may be present. The term “contact,” as used herein, refers to a direct contact, unless indicated otherwise.
  • It will be understood that when an element such as a layer, region or substrate is referred to as being “on” another element, it can be directly on the other element or intervening elements may be present. In contrast, the term “directly” means that there are no intervening elements. It will be further understood that terms such as “comprises,” “comprising,” “includes,” “including,” and/or “having,” etc., are intended to indicate the existence of the features, numbers, operations, actions, components, parts, or combinations thereof disclosed in the specification, and are not intended to preclude the possibility that one or more other features, numbers, operations, actions, components, parts, or combinations thereof may exist or may be added. Terms “and/or” include any one or all of one or more combinations of corresponding enumerated items.
  • Unless the context indicates otherwise, terms such as “same,” “planar,” or “coplanar,” as used herein when referring to orientation, layout, location, shapes, sizes, amounts, or other measures do not necessarily mean an exactly identical orientation, layout, location, shape, size, amount, or other measure, but are intended to encompass nearly identical orientation, layout, location, shapes, sizes, amounts, or other measures within acceptable variations that may occur, for example, due to manufacturing processes. The term “substantially” may be used herein to reflect this meaning.
  • Additionally, the embodiments in the detailed description will be described with sectional views as ideal exemplary views of the inventive concepts. Accordingly, shapes of the exemplary views may be modified according to manufacturing techniques and/or allowable errors. Therefore, the embodiments of the inventive concepts are not limited to the specific shape illustrated in the exemplary views, but may include other shapes that may be created according to manufacturing processes. Areas exemplified in the drawings have general properties, and are used to illustrate specific shapes of elements. Thus, this should not be construed as limited to the scope of the inventive concepts.
  • Also, though terms like “first” and “second” may be used to describe various members, components, regions, layers, and/or portions in various embodiments of the present invention, the members, components, regions, layers, and/or portions are not limited to these terms. Unless indicated otherwise, these terms are used only to differentiate one member, component, region, layer, or portion from others thereof. Therefore, a member, a component, a region, a layer, or a portion referred to as a first member, a first component, a first region, a first layer, or a first portion in an embodiment may be referred to as a second member, a second component, a second region, a second layer, or a second portion without departing from the scope of the present invention. Claims may use the terms “first,” “second,” etc., to describe elements in the claims whether or not those elements are described using these terms in the specification.
  • Moreover, exemplary embodiments are described herein with reference to cross-sectional illustrations and/or plane illustrations that are idealized exemplary illustrations. Accordingly, variations from the shapes of the illustrations as a result, for example, of manufacturing techniques and/or tolerances, are to be expected. Thus, exemplary embodiments should not be construed as limited to the shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an etching region illustrated as a rectangle will, typically, have rounded or curved features. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of example embodiments.
  • As appreciated by the present inventive entity, devices and methods of forming devices according to various embodiments described herein may be embodied in microelectronic devices such as integrated circuits, wherein a plurality of devices according to various embodiments described herein are integrated in the same microelectronic device. Accordingly, the cross-sectional view(s) illustrated herein may be replicated in two different directions, which need not be orthogonal, in the microelectronic device. Thus, a plan view of the microelectronic device that embodies devices according to various embodiments described herein may include a plurality of the devices in an array and/or in a two-dimensional pattern that is based on the functionality of the microelectronic device.
  • Accordingly, the cross-sectional view(s) illustrated herein provide support for a plurality of devices according to various embodiments described herein that extend along two different directions in a plan view and/or in three different directions in a perspective view. For example, when a single active region is illustrated in a cross-sectional view of a device/structure, the device/structure may include a plurality of active regions and transistor structures (or memory cell structures, gate structures, etc., as appropriate to the case) thereon, as would be illustrated by a plan view of the device/structure.
  • FIG. 1 is a plan view illustrating a semiconductor device that may be realized by applying a method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept.
  • Referring to FIG. 1, a semiconductor device 10 may include pattern layers 50, pattern isolation portions 40 isolating the pattern layers 50 by a predetermined length, and insulating layers 22.
  • The pattern layers 50 may have a shape such as a rectangular shape, an elongated shape, an oval shape, or the like, extending in an x direction of FIG. 1. The pattern layers 50 may be separated from other pattern layers 50 adjacent thereto in the x direction by the pattern isolation portions 40. The side surfaces of the pattern isolation portions 40 may be coplanar with the pattern layers 50 in the y direction. Accordingly, the pattern isolation portions 40 and the pattern layers 50 may form a line extending in the x direction. The insulating layers 22 may be disposed between the pattern isolation layers 40 and the pattern layers 50 in the y direction of FIG. 1.
  • The pattern isolation layers 40 have a first length L1 in the x direction and have a first width W1 in the y direction. The first length L1 may be less than about 50 nm, for example, may range from 10 nm to 40 nm. The first width W1 may be substantially equal to a second width W2 of the pattern layers 50.
  • The pattern layers 50 may form gate electrode layers of a transistor of the semiconductor device 10. For example, the pattern layers 50 may be formed of a conductive material such as polysilicon or metal. The pattern isolation portions 40 and the insulating layer 22 may be formed of an insulating material such as a silicon oxide or a silicon nitride. However, purposes and materials of the pattern layers 50, the pattern isolation portions 40, and the insulating layers 22 may be vary according to semiconductor devices to which they are applied.
  • The semiconductor device 10 may form part of a memory device or a logic device. For example, the memory device may be a volatile memory device such as a dynamic random access memory (DRAM) or static random access memory (SRAM) or a non-volatile memory device such as a flash memory, or the like. The logic device may be a microprocessor, and may be, for example, a central processing unit (CPU), a controller, or an application specific integrated circuit (ASIC).
  • Also, as used herein, a semiconductor device may refer to various items such as a memory device, one or more logic devices or memory cells formed in or on a semiconductor substrate, a semiconductor chip, a memory chip, a memory die, a logic chip, a package, or combinations thereof. A semiconductor device such as a semiconductor chip, a memory chip, or a logic chip may be formed from a wafer. A semiconductor device may comprise a package which may include one or more chips stacked on a package substrate, or a package-on-package device including a plurality of packages. A semiconductor device may include one or more transistors such as metal oxide semiconductor field effect transistors (MOSFETs) or fin field effect transistors (FinFETs), or an array of memory cells.
  • An electronic device, as used herein, may refer to one of these devices and may also include products that include these devices, such as a memory card, a memory module, a hard drive including additional components, a mobile phone, laptop, tablet, desktop, camera, server, or other consumer electronic device.
  • FIGS. 2A through 9B are plan views and cross-sectional views illustrating sequential processes of a method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept.
  • FIG. 2A is a plan view illustrating a region corresponding to FIG. 1, and FIG. 2B includes cross-sectional views taken along lines I-I′ and II-II′. FIGS. 3A through 9B are illustrated in the same manner.
  • Referring to FIGS. 2A and 2B, linear sacrificial layers 21 (e.g., sacrificial pattern layers 21) and insulating layers 22 extending in the x direction may be alternately formed on a substrate 11 in the y direction. The substrate 11 may be a semiconductor substrate (e.g., a silicon wafer), or may be a semiconductor wafer on which a part of a semiconductor device is formed. In some embodiments, the substrate 11 may include an insulating layer on the semiconductor substrate. The insulating layer may be one of layers formed during a semiconductor device manufacturing process (e.g., a gate insulating layer 152 of FIG. 11), an oxide, a nitride, and an oxynitride.
  • The sacrificial pattern layers 21 may be first patterned on the substrate 11, and the insulating layers 22 may be formed to fill spaces between the sacrificial pattern layers 21. The sacrificial pattern layers 21 are layers for forming the pattern layers 50 of FIG. 1 through a follow-up process, which may be removed during a follow-up process. The sacrificial pattern layers 21 and the insulating layers 22 may be formed through a process such as atomic layer deposition (ALD) or chemical vapor deposition (CVD). Also, after a material of the insulating layers 22 is deposited, a chemical mechanical polishing (CMP) process may be performed for planarization.
  • By forming the insulating layers 22, the structure of the sacrificial pattern layers 21 and the insulating layers 22 having a flat upper surface may be formed. Accordingly, a follow-up process, for example, a photolithography process, may be easily performed. However, in an example embodiment, the process of forming the insulating layers 22 may be omitted.
  • The sacrificial pattern layers 21 and the insulating layers 22 may be formed of materials each having a different etch selectivity. Such an etch selectivity may be quantitatively expressed through a ratio of an etch rate of other layer to an etch rate of one layer under particular etching conditions. For example, the sacrificial pattern layers 21 and the insulating layers 22 may be formed of at least one among a silicon-contained material such as a silicon dioxide (SiO2), a silicon oxynitride (SiON), a silicon nitride (Si3N4), and a polysilicon, or a metal.
  • Referring to FIGS. 3A and 3B, a mask layer 30 exposing partial regions of the sacrificial pattern layers 21 and the insulating layers 22 may be formed on the structure of the sacrificial pattern layers 21 and the insulating layers 22.
  • The mask layer 30 may have an exposed region E, and partial regions of the sacrificial pattern layers 21 and the insulating layers 22 may be exposed. The exposed partial regions may be regions including the sacrificial pattern layers 21 in a region having a greater length in the x direction, compared with a region in which the pattern isolation portions 40 of FIG. 1 are formed, and including portions of the insulating layers 22 on the sides of the sacrificial pattern layers 21 in the y direction. Thus, a length L2 of the exposed region E in the x direction may be greater than the first length L1 of the pattern isolation portion 40 of FIG. 1.
  • Two sacrificial pattern layers 21 may be exposed through a single exposed region E, but the present inventive concept is not limited thereto. Each of the exposed regions E is illustrated as having a rectangular shape, but it may also have a shape such as a rounded rectangular shape or an oval shape. Also, as illustrated, the exposed regions E may be disposed to be shifted each other in adjacent columns, or may be disposed to form columns and rows in the x direction and y direction. For example, in example embodiments, the size, shape, and disposition of the exposed regions E may be varied.
  • Referring to FIGS. 4A and 4B, the sacrificial pattern layers 21 exposed through the exposed region E of the mask layer 30 may be removed.
  • Among the sacrificial pattern layers 21 and the insulating layers 22 exposed through the exposed regions E, the sacrificial pattern layers 21 may be selectively removed. The sacrificial pattern layers 21 may be selectively removed through wet etching or dry etching to expose the substrate 11 therebelow. For example, a first part of each of the sacrificial pattern layers may be removed. Accordingly, the sacrificial pattern layers 21 may be cut into units having a predetermined length, and first openings OP1 each having a hole shape may be formed in regions from which the sacrificial pattern layers 21 were removed.
  • Referring to FIGS. 5A and 5B, a first layer 42 of the pattern isolation portion 40 (refer to FIG. 1) covering sidewalls of the sacrificial pattern layers 21 and the insulating layers 22 exposed through the first openings OP1 may be formed.
  • A first thickness T1, a thickness of the first layer 42, may be, for example, equal to or less than 100 Å, and the first layer 42 may be formed by ALD. The first thickness T1 may be determined in consideration of the first length L1 (refer to FIG. 1), a size of the pattern isolation portion 40 to be formed eventually. For example, the first thickness T1 may correspond to a half of the difference between the second length L2 of the exposed region E of the mask layer 30 and the first length L1.
  • In an example embodiment, the first layer 42 may be formed by oxidizing a portion of the sacrificial pattern layer 21 exposed through the first opening OP1. For example, the insulating layers 22 may not be oxidized and the first layer 42 may be formed only on the sidewalls of the first opening OP1 in the x direction.
  • Referring to FIGS. 6A and 6B, a second layer 44 of the pattern isolation portion 40, filling the first opening OP1, may be formed.
  • Before the formation of the second layer 44, a process of removing the first layer 42 from an upper surface of the substrate 11 exposed by the first opening OP1 may be performed. However, such a process may be optional and may be omitted according to example embodiments, and the first layer 42 may be remained on the substrate 11 within the first opening OP1.
  • After the first opening OP1 is filled by depositing a material forming the second layer 44, the mask layer 30 may be removed and a planarization process may be performed. According to example embodiments, the second layer 44 may be formed as a single layer or may be formed as multiple layers including a plurality of stacked layers. For example, the stacked layers of the second layer 44 may include different materials.
  • Referring to FIGS. 7A and 7B, the retained sacrificial pattern layers 21 may be removed.
  • The sacrificial pattern layers 21 remained on both sides of the first layer 42 are selectively removed with respect to the first and second layers 42 and 44 and the insulating layer 22 such that the substrate 11 therebelow is exposed, and accordingly, a second opening OP2 may be formed. For example, a second part of the sacrificial pattern layers 21, which includes the remaining sacrificial pattern layer 21, may be removed.
  • The process of removing the sacrificial pattern layers 21 may be performed using at least one of a dry etching process or a wet etching process. For example, the sacrificial pattern layer 21 may be removed by performing the dry etching process first and subsequently performing the wet etching process.
  • Referring to FIGS. 8A and 8B, a portion of the first layer 42 exposed through the second opening OP2 may be removed.
  • In detail, the first layer 42 formed on both sidewalls of the second layer 44 in the x direction may be removed. As the process of removing a portion of the first layer 42, for example, a wet etching process may be used. In one embodiment, the first layer 42 may be selectively etched with respect to the second layer 44, and a process of etching only the first layer 42 disposed on the sidewall surfaces of the second layer 44 may be performed by adjusting an etch time with the etch selectivity process. The first layer 42 may only remain on sidewalls of the second layer 44 in the y direction, and the remaining first layer 42 may form the pattern isolation portion 40 together with the second layer 44. For example, when an etching process which removes the first layer 42 disposed on the sidewalls of the second layer 44 in the x direction is performed, the first layer 42 disposed on the sidewalls of the second layer 44 in the y direction may not be removed by a mask layer. As such, the first layer 42 in the x direction may be removed such that the pattern isolation portion 40 may have the first length L1 of FIG. 1 in the x direction.
  • A length of the second opening OP2 may extend in the x direction by this removing process. In an example embodiment, a portion of the second layer 44 may also be consumed, and accordingly, a height of the second layer 44 may be reduced.
  • Referring to FIGS. 9A and 9B, a process of forming the pattern layers 50 in the second opening OP2 may be performed.
  • The pattern layers 50 may be formed by depositing a material for forming the pattern layers 50 within the second opening OP2 and performing a planarization process thereon, if necessary. The pattern layers 50 may be disposed to be spaced apart from one another by a predetermined length by the pattern isolation portions 40 in the x direction. The pattern layers 50 may be formed of conductive material.
  • According to the method for forming a pattern of a semiconductor device described above with reference to FIGS. 2A through 9B, the pattern isolation portions 40 including the first and second layers 42 and 44 may be formed. The example embodiments for the pattern isolation portions 40 of FIG. 1, and the configuration of layers forming the pattern isolation portions 40 may be variously modified. For example, in an example embodiment, the pattern isolation portions 40 may be formed as a monolayer. For example, the process of forming the first layer 42 described above with reference to FIGS. 5A and 5B may be omitted and the process of removing a portion of the second layer 44, instead of the process of removing a portion of the first layer 42, described above with reference to FIGS. 8A and 8B, may be performed to form the pattern isolation portions 40.
  • In example embodiment, since the pattern layers 50 are formed using the pattern isolation portions 40, the pattern layers 50 may be formed with high density. Also, since the pattern isolation portions 40 include the first and second layers 42 and 44 and a portion of the first layer 42 is removed, the first length L1 (refer to FIG. 1) may be more reduced comparing with simply patterning through photolithography.
  • FIGS. 10A through 11 are plan views and a perspective view illustrating a semiconductor device that may be realized by applying a method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept. FIG. 11 illustrates a portion corresponding to region ‘A’ of FIG. 10A.
  • Referring to FIGS. 10A and 11, a semiconductor device 100 may include a substrate 101, active regions 105 extending in a second direction, for example, in the y direction, on the substrate 101, a gate structure 150 including gate electrodes 154 extending in a first direction, for example, in the x direction, on the active regions 105, a gate isolation portion 140 disposed between the gate electrodes 154 in the x direction. Also, the semiconductor device 100 may further include isolation layers 130 defining the active regions 105 and epitaxial layers 110 positioned on the active regions 105 on the sides of the gate electrodes 154. In FIGS. 10A, 10B, and 11, some components, for example, an interlayer insulating layer 160 (refer to FIG. 29), is omitted to help understand the present inventive concept.
  • The semiconductor device 100 according to the present example embodiment may be a transistor (FinFET) having a fin structure.
  • The substrate 101 may have an upper surface extending in the x direction and the y direction. The substrate 101 may include a semiconductor material, for example, a Group IV semiconductor, a Group III-V compound semiconductor, or a Group II-VI oxide semiconductor. For example, the Group IV semiconductor may include silicon, germanium, or silicon-germanium. The substrate 101 may be provided as a bulk wafer, an epitaxial layer, a silicon-on-insulator (SOI) layer, or a semiconductor-on-insulator (SeOI) layer.
  • The isolation layers 130 may be formed of an insulating material. The isolation layers 130 may be formed by, for example, a shallow trench isolation (STI) process. The isolation layers 130 may be formed of, for example, an oxide, a nitride, or a combination thereof.
  • The active regions 105 may be defined by the isolation layers 130 within the substrate 101, and may have a structure of active fins protruding from the substrate 101 in a z direction. In one embodiment, on the sides of the gate electrodes 154, the active regions 105 may be recessed and the epitaxial layers 110 may be disposed therein. The epitaxial layers 110 may be provided as source and drain regions of transistors. The epitaxial layers 110 may have elevated source and drain forms such that upper surfaces thereof are positioned to be higher than lower surfaces of the gate electrodes 154. The epitaxial layers 110 may be formed of, for example, silicon germanium (SiGe).
  • The gate structure 150 may include the gate electrodes 154, a gate insulating layer 152 disposed between the gate electrodes 154 and the active regions 105, and spacers 156 disposed on the side surfaces of the gate electrodes 154.
  • The gate insulating layer 152 may be formed of an oxide, a nitride, or an oxynitride. The gate insulating layer 152 may include, for example, a silicon oxide layer, or a high-k insulating material.
  • The gate electrodes 154 may be disposed to intersect the active regions 105 above the active regions 105, and a single gate electrode 154 may form a single transistor. Channel regions of a transistor may be formed in the active regions 105 intersecting the gate electrodes 154. The gate electrodes 154 may include, for example, a metal, a metal nitride, or doped polysilicon. The gate electrodes 154 may include, for example, tungsten (W), molybdenum (Mo), TiN, or TaN.
  • The spacers 156 may be formed on both side surfaces of the gate electrodes 154, and insulate the gate electrodes 154 from the epitaxial layers 110. The spacers 156 may be formed of, for example, an oxide, a nitride, and an oxynitride, and may be formed as a multi-layer.
  • The gate isolation portion 140 may be disposed on the substrate 101 such that the gate electrodes 154 extending in the x direction are cut to a predetermined length. Thus, the gate isolation portion 140 may have substantially the same width as that of the gate electrodes 154 in the y direction. Also, both side surfaces of the gate isolation portion 140 in the y direction may be coplanar with the gate electrodes 154.
  • The gate isolation portion 140 may include first and second layers 142 and 144. The first layer 142 may be disposed on both side surfaces of the second layer 144 in the y direction. The first and second layers 142 and 144 may be formed of materials having a different etch selectivity with respect to each other, but the present inventive concept is not limited thereto. The first and second layers 142 and 144 may be formed of at least one among a silicon-contained material such as a silicon dioxide (SiO2), a silicon oxynitride (SiON), a silicon nitride (Si3N4), and polysilicon, or a metal.
  • The gate isolation portion 140 may have a third length L3 in the x direction. The third length L3 may be equal to or less than 50 nm, and may be, for example, within a range from 10 nm to 40 nm. In the present example embodiment, since the first layer 142 is disposed only on the sides of the second layer 144 in one direction (e.g., the y direction), rather than on all the sides of the second layer 144, the third length L3 may be minimized. In the gate isolation portion 140, a width W3 of the first layer 142 in the y direction may be smaller than a width W4 of the second layer 144 in the y direction, but the present inventive concept is not limited thereto.
  • Referring to FIG. 10B, a semiconductor device 100 a may include a substrate 101, active regions 105, a gate structure 150 including gate electrodes 154, and a gate isolation portion 140 a disposed between the gate electrodes 154 in the x direction.
  • In the present example embodiment, unlike that of the semiconductor device 100 of FIG. 10A, lengths of both ends of the gate isolation portion 140 a in the y direction may be different. For example, a length L4 of one end of the gate isolation portion 140 a may be shorter than a length L5 of the other end thereof. The gate isolation portion 140 may have such a shape when an oval mask pattern is used to form the gate isolation portion 140 a, as described hereinafter with reference to FIG. 18.
  • FIGS. 12 through 29 are views illustrating sequential processes of a method for manufacturing a semiconductor device according to example embodiments of the present inventive concept.
  • Referring to FIG. 12, a substrate 101 may be patterned to form a trench ST defining active regions 105.
  • First, a pad oxide pattern 122 and a mask pattern 124 may be formed on the substrate 101. The pad oxide pattern 122 may be a layer for protecting an upper surface of the active region 105 and may be omitted according to example embodiments. The mask pattern 124, a mask layer for patterning the substrate 101, may include a silicon nitride, a carbon inclusion, and the like. Also, the mask pattern 124 may have a multilayer structure.
  • The trench ST may be formed by anisotropically etching the substrate 101 using the pad oxide pattern 122 and the mask pattern 124. The trench ST has a high aspect ratio, having a width reduced downwardly. Thus, the active regions 105 may have a shape narrowed upwardly.
  • Referring to FIG. 13, an isolation layer 130 may be formed to fill the trench ST.
  • First, after the trench ST is filled with an insulating material, a planarization process may be performed. During the planarization process, at least portions of the pad oxide pattern 122 and the mask pattern 124 may be removed. In an example embodiment, a relatively thin liner layer may be first formed within the trench ST, and the trench ST may be subsequently filled.
  • Next, a portion of the insulating material filling the trench ST may be removed to allow the active regions 105 to protrude. This process may be a wet etching process using at least a portion of the pad oxide pattern 122 as an etch mask. Accordingly, the active regions 105 may protrude upwardly so as to be provided as active fins. In example embodiments, the height to which the active region 105 protrudes may be varied. During the etching operation, the pad oxide pattern 122 may also be removed together.
  • Referring to FIG. 14, a gate insulating layer 152 and a sacrificial layer 120 covering the active regions 105 may be formed.
  • The gate insulating layer 152 may be formed on upper surfaces and side surfaces of the active regions 105. The gate insulating layer 152 may be, for example, a silicon oxide layer.
  • The sacrificial layer 120 may serve to form the gate electrodes 154 (refer to FIGS. 10A and 11), and may be removed during a follow-up process. The sacrificial layer 120 may be, for example, a polysilicon layer.
  • In an example embodiment, a capping layer may be further formed on the sacrificial layer 120 in order to protect the sacrificial layer 120 during a follow-up process.
  • Referring to FIG. 15, the gate insulating layer 152 and the sacrificial layer 120 may be patterned and spacers 156 may be formed on both side surfaces of the gate insulating layer 152 and the sacrificial layer 120.
  • The gate insulating layer 152 and the sacrificial layer 120 may be patterned to traverse the active regions 105. The gate insulating layer 152 and the sacrificial layer 120 may be sequentially patterned using a mask. When the sacrificial layer 120 is patterned, the gate insulating layer 152 may be used as an etch stop layer.
  • The spacers 156 may be formed by forming a layer having a uniform thickness on the sacrificial layer 120 and anisotropically etching the same. During this process, spacers 156F may also be formed on both side surfaces of the active regions 105 protruding from the substrate 101.
  • Referring to FIG. 16, epitaxial layers 110 may be formed on the active regions 105 on both sides of the sacrificial layer 120.
  • First, a process of implanting an impurity to the active regions 105 on both sides of the sacrificial layer 120 may be performed. The implantation process may be performed using the sacrificial layer 120 and the spacers 156 as masks. However, the implantation process may also be performed in a later step.
  • Next, the active regions 105 on both sides of the sacrificial layer 120 may be selectively etched to form recesses to a predetermined depth, and thereafter, the epitaxial layer 110 may be formed. The recesses may be formed by etching portions of the active regions 105 using a separately formed mask layer or using the sacrificial layer 120 as a mask. In a case in which the sacrificial layer 120 is used as a mask, a capping layer may be formed on the sacrificial layer 120 during the process described above with reference to FIG. 14 to protect the sacrificial layer 120.
  • The recesses may be formed by sequentially applying a dry etching process and a wet etching process. After the recesses are selectively formed, a process of curing surfaces of the recessed active regions 105 may be performed. In this example embodiment, it is illustrated that upper surfaces of the recessed active regions 105 are coplanar with the upper surface of the isolation layer 130, but the present inventive concept is not limited thereto. In an example embodiment, upper surfaces of the recessed active regions 105 may be higher or lower than the upper surface of the isolation layer 130.
  • Finally, the epitaxial layers 110 may be formed on the recesses by performing a selective epitaxial growth (SEG) process. A size of the epitaxial layers 110 may not be limited to those illustrated. The epitaxial layer 110 may be, for example, silicon germanium (SiGe) layers. When silicon germanium (SiGe) is grown on the active regions 105 formed of silicon (Si) or the like, compressive stress may occur in a channel region of a transistor. Such compressive stress may increase as a concentration of germanium (Ge) increases. In an example embodiment, the concentration of germanium (Ge) may vary in the epitaxial layers 110 according to heights of the epitaxial layers 110.
  • In the present example embodiment, it is illustrated that the spacers 156F (refer to FIG. 15) formed on the side surfaces of the active regions 105 are removed together, but the present inventive concept is not limited thereto and at least a portion of the spacers 156F may be remained on the side surfaces of the epitaxial layers 110.
  • The epitaxial layers 110 may be epitaxial layers which have been doped with an impurity. The doping of the epitaxial layers 110 may be performed in-situ during growth thereof, or may be performed by ion implantation after growth thereof. The grown epitaxial layers 110 may be provided as source and drain regions of a transistor.
  • Referring to FIG. 17, an interlayer insulating layer 160 may be formed on the epitaxial layers 110, and first and second mask layers 172 and 174 may be formed on the interlayer insulating layer 160.
  • The interlayer insulating layer 160 may be formed by forming a layer covering the sacrificial layer 120, the spacers 156, and the epitaxial layers 110 with an insulating material and subsequently performing a planarization process thereon such that an upper surface of the sacrificial layer 120 is exposed.
  • The first and second mask layers 172 and 174 are masks serving to form the gate isolation portion 140 (refer to FIGS. 10A and 11) and may be formed of materials each having a different etch selectivity, and materials each having an etch selectivity may be selected in consideration of a material of the sacrificial layer 120. The first and second mask layers 172 and 174 may be formed of at least one among a silicon-contained material such as a silicon dioxide (SiO2), a silicon oxynitride (SiON), a silicon nitride (Si3N4), and polysilicon, a carbon-contained material including a hydrocarbon compound such as ACL or SOH or derivatives thereof, and a metal or an organic substance. For example, the first mask layer 172 may be formed as a multi-layer including a silicon dioxide (SiO2) and a silicon nitride (SiN), while the second mask layer 174 may be formed of SOH.
  • The first and second mask layers 172 and 174 may be formed through a process such as ALD, CVD, or spin coating, and a baking process or a curing process may be additionally performed according to materials.
  • In an example embodiment, an anti-reflective layer may be further formed on the second mask layer 174, and the anti-reflective layer may serve to prevent reflection when a follow-up photolithography process is performed. The anti-reflective layer may include an organic substance or an inorganic substance. According to example embodiments, at least a portion of the second mask layer 174 may serve as an anti-reflective layer.
  • Referring to FIG. 18, a third mask layer 176 exposing a portion of the mask layer 174 may be formed on the second mask layer 174.
  • In detail, the third mask layer 176 may expose the second mask layer 174 through an open region E. For example, the third mask layer 176 may be a photoresist layer, but the present inventive concept is not limited thereto.
  • The open region E may include a region in which the gate isolation portion 140 is to be formed, and may be a region extending to be perpendicular to the sacrificial layer 120. A single region E may expose regions in which a plurality of gate isolation portions 140, for example, two gate isolation portions 140, adjacent to each other in the y direction illustrated in FIG. 10A are formed, together in a manner similar to that of FIG. 3A. Also, according to a shape of the open region E, the gate isolation portions 140 a in which lengths of both ends in the y direction are different may be formed as illustrated in FIG. 10B.
  • Referring to FIG. 19, a mask spacer layer 178 covering an exposed upper surface of the second mask layer 174 and the third mask layer 176 may be formed.
  • The mask spacer layer 178 may be formed of a material having an etch selectivity with respect to the second mask layer 174. For example, the mask spacer layer 178 may be formed of an oxide layer.
  • In example embodiments, materials of the sacrificial layer 120, the first to third mask layers 172, 174, and 176, and the mask spacer layer 178 are not limited to those mentioned above, and materials each having a mutually different etch selectivity with respect to predetermined etch conditions in the layers adjacent to each other may be selected.
  • Referring to FIG. 20, the mask spacer layer 178 may be etched to form mask spacers 178 s on sidewalls of the third mask layer 176.
  • The mask spacers 178S may be formed by etching the mask spacer layer 178 until the second mask layer 174 is exposed in the open region E.
  • The mask spacers 178S may be used to reduce the size of the open region E to form a pattern smaller than a pattern defined by the third mask layer 176. Thus, a thickness of the mask spacer 178S on one sidewall of the third mask layer 176 may be determined in consideration of a size of the gate isolation portion 140 desired to be formed. For example, a width of the second mask layer 174 exposed between the mask spacers 178S may be determined in consideration of the third length L3 (refer to FIG. 10A) of the gate isolation portion 140.
  • Referring to FIG. 21, the exposed second mask layer 174 may be etched using the third mask layer 176 and the mask spacers 178S to form second mask pattern layers 174P.
  • Accordingly, an upper surface of the first mask layer 172 may be exposed in the open region E. While the second mask layer 174 is being etched, portions of the third mask layer 176 and the mask spacers 178S may also be removed so the third mask layer 176 and the mask spacers 178S may be reduced in height.
  • Referring to FIG. 22, the exposed first mask layer 172 may be etched using the second mask pattern layer 174P to form first mask pattern layers 172P.
  • Accordingly, upper surfaces of the interlayer insulating layer 160 and the sacrificial layer 120 may be exposed to the open region E. While the first mask layer 172 is being etched, the third mask layer 176 and the mask spacers 178S may also be removed. The second mask pattern layer 174P may be removed while the first mask layer 172 is etched, or may be removed through a separate process. Alternatively, at least a portion of the second mask pattern layer 174P may be remained on the first mask pattern layer 172P.
  • The pattering processes of the mask layers described above with reference to FIGS. 17 through 22 may be variously modified according to example embodiments. For example, the number, materials, and sequential patterning schemes of the mask layers may be variously modified.
  • Referring to FIG. 23, the exposed sacrificial layer 120 may be etched using the first mask pattern layer 172P to form a first opening OP1. In FIG. 23, a cross-section taken along line A-A′ is also illustrated, and hereinafter, cross-sections are illustrated together in FIGS. 24 through 29 in the same manner. Also, in FIGS. 23 through 25, to help understand, it is illustrated that the first mask pattern layer 172P on the left of the first opening OP1 is partially cut away.
  • The first opening OP1 having a hole shape may be formed by selectively etching only a material of the sacrificial layer 120 in the region exposed through the open region E. For example, a first part of the sacrificial layer 120 may be removed. The sacrificial layer 120 may be selectively removed by wet etching or dry etching. Thus, the interlayer insulating layer 160 near the first opening OP1 may be remained.
  • The gate insulating layer 152 may be exposed from a lower portion of the first opening OP1, and the sacrificial layer 120 and the spacers 156 may be exposed from sidewalls of the first opening OP1. In an example embodiment, the gate insulating layer 152 may also be removed together from the lower portion of the first opening OP1 to expose an upper surface of the isolation layer 130.
  • In the present example embodiment, after the epitaxial layers 110 are formed, the process of cutting the sacrificial layer 120 is performed, and thus, even though the sacrificial layer 120 is formed of silicon, defect generation as nodules are formed due to silicon grown from the sacrificial layer 120 within the first opening OP1 can be prevented.
  • Referring to FIG. 24, a first layer 142 of the gate isolation portion 140 covering the sacrificial layer 120, the sidewalls of the spacers 156, and the upper surface of the gate insulating layer 152 exposed through the first opening OP1 may be formed.
  • A second thickness T2, a thickness of the first layer 142, may be equal to or less than 100 Å, and the first layer 142 may be formed using ALD. The second thickness T2 may be determined in consideration of a third length L3 (refer to FIG. 10A), a size of the gate isolation portion 140 intended to be finally formed. For example, the second thickness T2 may be determined such that the length of the first opening OP1 after the formation of the first layer 142 corresponds to the third length L3, the length of the gate isolation portion 140 in the x direction.
  • In an example embodiment, the first layer 142 may be formed by oxidizing a portion of the sacrificial layer 120 exposed through the first opening OP1. Thus, the first layer 142 may be formed only on the sidewalls of the first opening OP1.
  • Referring to FIG. 25, a lower layer 144A of a second layer 144 of the gate isolation portion 140 filling a portion of the first opening OP1 may be formed.
  • The lower layer 144A may be formed by adjusting materials and/or process conditions such that a material forming the lower layer 144A may be deposited from the lower portion of the first opening OP1. For example, the lower layer 144A may be formed of a silicon nitride (SiN), but the material of the lower layer 144A is not limited thereto. A height of the lower layer 144A may be, for example, equal to or greater than a half of a height of the sacrificial layer 120.
  • Referring to FIG. 26, an upper layer 144B of the second layer 144 may be formed to fill the first opening OP1. Accordingly, the second layer 144 including the upper layer 144B and the lower layer 144A may be formed.
  • The upper layer 144B may be formed of a material different from that of the lower layer 144A. For example, the upper layer 144B may be formed of a silicon dioxide (SiO2), but the material of the upper layer 144B is not limited thereto.
  • In an example embodiment, when an aspect ratio of the first opening OP1 is large, a concave region, a void, or a seam may be formed in a central portion of the lower layer 144A. In this case, the upper layer 144B may be formed on the lower layer 144A such that the upper layer 144B fills the concave region, the void, or the seam. Thus, a horizontal interface between the upper layer 144B and the lower layer 144A are merely illustrative and may be variously modified according to example embodiments.
  • Also, in an example embodiment, this process may be omitted and the second layer 144 may be formed as a monolayer.
  • Referring to FIG. 27, the sacrificial layer 120 on both sides of the second layer 144 may be removed.
  • The sacrificial layer 120 may be selectively removed with respect to the first layer 142, the spacers 156, and the gate insulating layer 152 to expose the gate insulating layer 152 therebelow, and accordingly, a second opening OP2 may be formed. For example, a second part of the sacrificial pattern layers 120, which includes the remaining sacrificial pattern layer 120, may be removed.
  • As the process of removing the sacrificial layer 120, at least one of a dry etching process and a wet etching process may be used. For example, the sacrificial layer 120 may be removed by performing a dry etching process first, and subsequently performing a wet etching process.
  • A distance between one end of the active region 105 exposed within the second opening OP2 and the first layer 142 may have a sixth length L6.
  • Referring to FIG. 28, a portion of the first layer 142 may exposed through the second opening OP2 may be removed.
  • In detail, the first layer 142 not in contact with the spacers 156 and exposed through the second opening OP2 may be removed. As the process of removing a portion of the first layer 142, for example, a wet etching process may be used. For example, the first layer 142 may be selectively etched with respect to the second layer 144, and a process may be performed such that only the first layer 142 may be etched, for example, by adjusting an etch time.
  • The first layer 142 may only be remained on the sidewalls between the second layer 144 and the spacers 156, and the remained first layer 142 may form the gate isolation portion 140, together with the second layer 144. Through this process, the second opening OP2 may extend toward the second layer 144. Accordingly, the sixth length L6 of FIG. 27 may increase to correspond to the thickness of the first layer 142.
  • In an example embodiment, a region of the first layer 142 in contact with the spacers 156, which is adjacent to the second opening OP2 may also be partially removed.
  • According to the present example embodiment, since a portion of the first layer 142 is removed, the gate isolation portion 140 may be formed to have even smaller length. When the gate isolation portion 140 is formed to be narrow, a distance between the active regions 105 having a fin structure and the gate isolation portion 140 may increase to obtain an advantage in terms of process such that a gap fill between the active regions 105 and the gate isolation portion 140 is enhanced when the gate electrodes 154 are formed in a follow-up process. Also, a distance between the gate electrodes 154 adjacent in one direction may be reduced to enhance integration of the semiconductor device. Also, compared with a structure without the first layer 142, the gate isolation portion 140 may be formed to be narrower even though the open region E of the third mask layer 176 (refer to FIG. 18) is formed in the same size. Thus, the semiconductor device may be manufactured without a problem in terms of process due to a reduction in size of the opening region E itself, for example, a difficulty in forming the first opening OP1 described above with reference to FIG. 23, or the like.
  • Referring to FIG. 29, a process of forming the gate electrodes 154 in the second opening OP2 may be performed. Accordingly, the gate structure 150 including the gate insulating layer 152, the gate electrodes 154, and the spacers 156 may be formed.
  • The gate electrodes 154 may be formed by depositing a material for forming the gate electrodes 154 within the second opening OP2 and planarizing the same. As illustrated, adjacent gate electrodes 154 which are arranged in a row in a direction crossing the active region 105 may be separated by the gate isolation portion 140. For example, the gate electrodes 154 may be made of, for example, a polysilicon or a metal.
  • After this process, a process of forming contact plugs electrically connected to the gate electrodes 154 and the epitaxial layer 110 and wirings connected to the contact plugs may be performed.
  • FIGS. 30 and 31 are a plan view and a perspective view illustrating a semiconductor device that can be realized by applying the method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept. FIG. 31 illustrates a portion corresponding to region ‘A” of FIG. 30.
  • Referring to FIGS. 30 and 31, a semiconductor device 100 b may include a substrate 101, active regions 105 extending on the substrate 101 in the y direction, a gate structure 150 including gate electrodes 154 extending in the x direction on the active regions 105, and a gate isolation portion 140 b disposed between the gate electrodes 154 in the x direction.
  • Unlike the semiconductor devices 100 and 100 a of FIGS. 10A through 11, in the semiconductor device 100 b according to the present example embodiment, the gate isolation portion 140 b may be formed as a monolayer. The gate isolation portion 140 b may be disposed on the substrate 101 such that the gate electrodes 154 cut to a predetermined length. Thus, the gate isolation portion 140 b may have substantially the same width as that of the gate electrodes 154 in the y direction. Also, both side surfaces of the gate isolation portion 140 b in the y direction may be coplanar with the gate electrodes 154.
  • FIGS. 32 through 36 are views illustrating sequential processes of a method for manufacturing a semiconductor device according to example embodiments of the present inventive concept. Specifically, FIGS. 32 through 36 are cross-sectional views taken along line X-X′ of FIG. 31.
  • First, referring to FIGS. 12 through 23, a process of forming the active regions 105, the sacrificial layer 120, the epitaxial layer 110, and the first mask pattern layer 172P may be performed.
  • Next, referring to FIG. 32, the first layer 142 b may be formed by oxidizing the sacrificial layer 120 exposed through the first opening OP1 to a predetermined thickness.
  • For example, in a case in which the sacrificial layer 120 is formed of polysilicon and the spacers 156 is formed of a silicon oxide or a silicon nitride, when an oxidizing process is performed, only the sacrificial layer 120 is oxidized to form the first layer 142 b on the sidewall of the sacrificial layer 120. Here, a thickness of the first layer 142 b may be adjusted by adjusting a process time.
  • In an example embodiment, in a case in which the spacers 156 are formed of an oxidized material, the first layer 142 b may also be formed on the sidewalls of the spaces 156 exposed through the first opening OP1.
  • Referring to FIG. 33, the second layer 144 of the gate isolation portion 140 filling the first opening OP1 may be formed. The second layer 144 may include the lower layer 144A and the upper layer 144B.
  • The lower layer 144A may be formed by adjusting a material and/or process conditions such that a material may be deposited from a lower portion of the first opening OP1. For example, the lower layer 144A may be formed of a silicon nitride SiN, but the present inventive concept is not limited thereto. A height of the lower layer 144A may be equal to or greater than a half of a height of the sacrificial layer 120.
  • The upper layer 144B may be formed of a material different from that of the lower layer 144A. For example, the upper layer 144B may be formed of a silicon dioxide (SiO2), but a material of the upper layer 144B is not limited thereto.
  • In an example embodiment, the second layer 144 may be formed as a monolayer.
  • Referring to FIG. 34, the sacrificial layer 120 remained on both side surfaces of the second layer 144 may be removed.
  • The sacrificial layer 120 may be selectively removed with respect to the first layer 142 b, the spacers 156, and the gate insulating layer 152 to expose the gate insulating layer 152 therebelow, and accordingly, the second opening OP2 may be formed.
  • As the process of removing the sacrificial layer 120, at least one of a dry etching process and a wet etching process may be used. For example, the sacrificial layer 120 may be removed by performing a dry etching process first, and subsequently performing a wet etching process.
  • Referring to FIG. 35, the first layer 142 b may be exposed through the second opening OP2 may be removed.
  • As the process of removing the first layer 142 b, a wet etching process may be used, for example. In this case, the first layer 142 b may be selectively etched with respect to the second layer 144, and the gate isolation portion 140 b may be formed only with the second layer 144. Through this process, the second opening OP2 may extend toward the second layer 144.
  • In an example embodiment, a portion of the first layer 142 b may be remained to form a portion of the gate isolation portion 140 b.
  • Referring to FIG. 36, a process of forming the gate electrodes 154 in the second opening OP2 may be performed. Accordingly, the gate structure 150 including the gate insulating layer 152, the gate electrodes 154, and the spacers 156 may be formed.
  • The gate electrodes 154 may be formed by depositing a material forming the gate electrodes 154 within the second opening OP2 and performing a planarization process. As illustrated, the adjacent gate electrodes 154 which are arranged in a row in a direction crossing the active region 105 may be separated by the gate isolation portion 140 b. In one embodiment, the gate electrodes 154 may be made of, for example, a polysilicon or a metal.
  • FIGS. 37 through 38C are a plan view and cross-sectional views illustrating a semiconductor device that can be realized by applying the method for forming a pattern of a semiconductor device according to example embodiments of the present inventive concept. FIGS. 38A through 38C are cross-sectional views taken along lines I-I′, II-II′, and III-III′ of FIG. 37.
  • Referring to FIGS. 37 through 38C, a semiconductor device 200 may include a substrate 201, active regions 205 extending in a first direction, for example, in the y direction, in the substrate 201, and a gate structure 250 including gate electrodes 254 extending in a second direction, for example, in the x direction, on the active regions 205, and a gate isolation portion 240 disposed between the gate electrodes 254 arranged in a row in the x direction. Also, the semiconductor device 200 may further include isolation layers 230 defining the active regions 205 and epitaxial layers 210 positioned on the active regions 205 on the sides of the gate electrodes 254.
  • Unlike the semiconductor device 100 of FIGS. 10A through 11, the semiconductor device 200 according to the present example embodiment may be a planar transistor in which the active regions 205 do not protrude toward the gate electrodes 254 and have a flat upper surface.
  • The substrate 201 may have an upper surface extending in the x direction and the y direction. The substrate 201 may include a semiconductor material, for example, Group IV semiconductor, Group III-V compound semiconductor, or Group II-VI oxide semiconductor.
  • The isolation layers 230 may be formed of an insulating material. The isolation layers 230 may be an oxide, a nitride, or a combination thereof, for example. The active regions 205 may be defined by the isolation layers 230 within the substrate 201. The active regions 205 may be recessed on the sides of the gate electrodes 254, and epitaxial layers 210 may be disposed therein. The epitaxial layers 210 may be provided as source and drain regions of transistors. In an example embodiment, the semiconductor device 200 may not include the epitaxial layer 210 and the source and drain electrodes may be formed as impurity regions within the active regions 205.
  • The gate structure 250 may include a gate insulating layer 252 disposed between the gate electrode 254 and the active regions 205, the gate electrode 254, and spacers 256 disposed on the side surfaces of the gate electrodes 254. The gate insulating layer 252 may be formed of an oxide, a nitride, or an oxynitride. The gate electrode 254 may be disposed to intersect the active regions 205 above the active regions 205, and a single gate electrode 254 may form a single transistor. Channel regions of a transistor may be formed in the active regions 205 intersecting the gate electrode 254. The gate electrode 254 may include a metal, a metal nitride, or doped polysilicon. The spacers 256 may be formed on both side surfaces of the gate electrodes 254. The spacers 256 may be formed of an oxide, a nitride, and an oxynitride, and may be formed as a multi-layer.
  • The gate isolation portion 240 may be disposed on the substrate 201 such that the gate electrodes 254 extending in the x direction are cut to a predetermined length.
  • The gate isolation portion 240 may include first and second layers 242 and 244. The first layer 242 may be disposed on both side surfaces of the second layer 244 in the y direction. The first and second layers 242 and 244 may be formed of materials each having an etch selectivity with respect to each other, but the present inventive concept is not limited thereto. The first and second layers 242 and 244 may be formed of at least one among a silicon-contained material such as a silicon dioxide (SiO2), a silicon oxynitride (SiON), a silicon nitride (Si3N4), and polysilicon, or a metal.
  • FIG. 39 is a circuit diagram of a CMOS inverter including a semiconductor device according to an example embodiment of the present inventive concept.
  • Referring to FIG. 39, the CMOS inverter may include a PMOS transistor P1 and an NMOS transistor N1. At least one of the PMOS transistor P1 and the NMOS transistor N1 may include at least one of the semiconductor devices according to the various example embodiments of the present inventive concept described above with reference to FIGS. 1, 10A through 11, 30, 31, 37, and 38A through 38C.
  • The PMOS and NMOS transistors may be connected in series between a source voltage line Vdd and a ground voltage line Vss, and an input signal IN may be commonly input to gates of the PMOS and NMOS transistors. Also, an output signal OUT may be commonly output from drains of the PMOS and NMOS transistors. A power supply voltage may be applied to a source of the PMOS transistor, and a ground voltage may be applied to a source of the NMOS transistor. The CMOS inverter may invert the input signal IN and output the inverted signal as an output signal OUT. For example, when a logic level “1” is input as an input signal of the inverter, a logic level “0” may be output as an output signal, and when a logic level “0” is input as an input signal of the inverter, a logic level “1” may be output as an output signal.
  • FIG. 40 is a circuit diagram of an SRAM cell including a semiconductor device according to an example embodiment of the present inventive concept.
  • Referring to FIG. 40, in an SRAM device, one cell may include first and second driving transistors TN1 and TN2, first and second load transistors TP1 and TP2, and first and second access transistors TN3 and TN4. Here, sources of the first and second driving transistors TN1 and TN2 may be connected to a ground voltage line Vss, and sources of the first and second load transistors TP1 and TP2 may be connected to a source voltage line Vdd.
  • The first driving transistor TN1 configured as an NMOS transistor and the first load transistor TP1 configured as a PMOS transistor may form a first inverter, and the second driving transistor TN2 configured as an NMOS transistor and the second load transistor TP2 configured as a PMOS transistor may form a second inverter. At least one of the first and second driving transistors TN1 and TN2, the first and second load transistors TP1 and TP2, and the first and second access transistors TN3 and TN4 may include the semiconductor devices according to various example embodiments of the present inventive concept described above with reference to FIGS. 1, 10A through 11, 30, 31, 37, and 38A through 38C.
  • Output terminals of the first and second inverters may be connected to sources of the first access transistor TN3 and the second access transistor TN4. Also, in order to configure a single latch circuit, input terminals and output terminals of the first and second inverters may be connected in a crossing manner. Drains of the first and second access transistors TN3 and TN4 may be connected to first and second bit lines BL and /BL, respectively.
  • FIG. 41 is a block diagram illustrating a storage device including semiconductor devices according to example embodiments of the present inventive concept.
  • Referring to FIG. 41, a storage device 1000 according to the present example embodiment may include a controller 1010 communicating with a host HOST and memories 1020-1, 1020-2, and 1020-3 storing data. At least one of the memories 1020-1, 1020-2, and 1020-3 may include at least one of the semiconductor devices according to various example embodiments of the present inventive concept described above with reference to FIGS. 1, 10A through 11, 30, 31, 37, and 38A through 38C.
  • The host HOST communicating with the controller 1010 may be various electronic devices in which the storage device 1000 is installed. For example, the host HOST may be a smartphone, a digital camera, a desktop computer, a laptop computer, a media player, and the like. The controller 1010 may receive a data write or read request delivered from the host HOST and store data in the memories 1020-1, 1020-2, and 1020-3, or may generate a command CMD for retrieving data from the memories 1020-1, 1020-2, and 1020-3.
  • As illustrated in FIG. 41, one or more memories 1020-1, 1020-2, and 1020-3 may be connected to the controller 1010 in parallel within the storage device 1000. The storage device 1000 having high capacity such as a solid state drive (SSD) may be realized by connecting the plurality of memories 1020-1, 1020-2, and 1020-3 to the controller 1010 in parallel.
  • FIG. 42 is a block diagram illustrating an electronic device including at least one of the semiconductor devices according to example embodiments of the present inventive concept.
  • Referring to FIG. 42, an electronic device 2000 according to the present example embodiment may include a communications unit 2010, an input unit 2020, an output unit 2030, a memory 2040, and a processor 2050.
  • The communications unit 2010 may include a wired/wireless communications module, and may include a wireless Internet module, a short-range communications module, a global positioning system (GPS) module, a mobile communications module, and the like. The wired/wireless communications module included in the communications unit 2010 may be connected to an external communications network according to various standards to transmit and receive data.
  • The input unit 2020, a module provided for a user to control an operation of the electronic device 2000, may include a mechanical switch, a touch screen, a voice recognition module, and the like. Also, the input unit 2020 may include a track ball, a mouse operating in a laser pointer manner, or a finger mouse device, and may further include various sensor modules allowing a user to input data.
  • The output unit 2030 may output information processed in the electronic device 2000 in the form of voice or an image, and the memory 2040 may store a program for processing and controlling the processor 2050, or data. The processor 2050 may transmit a command to the memory 2040 according to a required operation to store or retrieve data.
  • The memory 2040 may communicate with the processor 2050 through an interface installed in the electronic device 2000 or a separate interface. When the memory 2040 communicates with the processor 2050 through a separate interface, the processor 2050 may store data in or retrieve data from the memory 2040 through various interface standards such as a secure digital (SD), a secure digital high-capacity (SDHC), a secure digital extended capacity (SDXC), micro-SC, universal serial bus (USB), and the like.
  • The processor 2050 controls operations of each component included in the electronic device 2000. The processor 2050 may perform controlling and processing related to a voice, call, a video call, data communications, and the like, or may perform controlling and processing to play and manage multimedia. Also, the processor 2050 may process an input transmitted from the user through the input unit 2020 and output a corresponding result through the output unit 2030. Also, as mentioned above, the processor 2050 may store data required for controlling an operation of the electronic device 2000 in the memory 2040 or may retrieve such data from the memory 2040. At least one of the processor 2050 and the memory 2040 may include at least one of the semiconductor devices according to various example embodiments described above with reference to FIGS. 1, 10A through 11, 30, 31, 37, and 38A to 38C.
  • FIG. 43 is a schematic view illustrating a system including at least one of the semiconductor devices according to example embodiments of the present inventive concept.
  • Referring to FIG. 43, a system 3000 may include a controller 3100, an input/output device 3200, a memory 3300, and an interface 3400. The system 3000 may be a mobile system or a system transmitting or receiving information. The mobile system may be a personal digital assistant (PDA), a portable computer, a Web tablet, a wireless phone, a mobile phone, a digital music player, or a memory card.
  • The controller 3100 may serve to execute a program or control the system 3000. The controller 3100 may be a microprocessor, a digital signal processor (DSP), a microcontroller, or a device similar thereto.
  • The input/output device 3200 may be used to input or output data of the system 3000. The system 3000 may be connected to an external device, for example, a personal computer or a network, using an input/output device 3200 and exchange data with the external device. The input/output device 3200 may be, for example, a keypad, a keyboard, or a display.
  • The memory 3300 may store a code and/or data for operation of the controller 3100 and/or store data processed in the controller 3100. The memory 3300 may include at least one of the semiconductor devices according to any one of the example embodiments of the present inventive concept.
  • The interface 3400 may be a data transmission passage between the system 3000 and other external device. The controller 3100, the input/output device 3200, the memory 3300, and the interface 3400 may communicate with each other through a bus 3500.
  • The controller 3100 or the memory 3300 may include the semiconductor devices according to various example embodiments described above with reference to FIGS. 1, 10A through 11, 30, 31, 37, and 38A to 38C.
  • As set forth above, according to example embodiments of the present inventive concept, the semiconductor device having enhanced integration through the use of the pattern isolation portion, the method for forming a pattern of the semiconductor device, and the method for manufacturing the semiconductor device may be provided.
  • While example embodiments have been shown and described above, it will be apparent to those skilled in the art that modifications and variations could be made without departing from the scope of the present inventive concept as defined by the appended claims.

Claims (20)

What is claimed is:
1. A method for manufacturing a semiconductor device, the method comprising:
forming a structure including a sacrificial pattern layer on a substrate, the sacrificial pattern layer having a line shape extending in a first direction and including first and second parts;
forming a mask layer exposing the first part of the sacrificial pattern layer;
removing the first part of the sacrificial pattern layer exposed by the mask layer to form an opening in the structure;
forming a first layer of a pattern isolation portion covering at least a portion of an inner wall of the opening including a side surface of the second part exposed through the opening;
forming a second layer of the pattern isolation portion filling the opening;
removing the second part of the sacrificial pattern layer;
removing at least a portion of the first layer exposed by removing the second part of the sacrificial pattern layer; and
forming a conductive pattern layer in a region formed by removing the second part of the sacrificial pattern layer and the portion of the first layer.
2. The method of claim 1, wherein the first and second parts of the sacrificial pattern layer are alternately disposed in the first direction, and the sacrificial pattern layer is cut by removing the first part of the sacrificial pattern layer.
3. The method of claim 1, wherein the conductive pattern layer extends in the first direction and includes patterns disposed to be spaced apart from one another in the first direction.
4. The method of claim 1, wherein, in the forming the first layer, the first layer is formed on the entirety of an inner sidewall of the opening.
5. The method of claim 4, wherein the first layer is formed by atomic layer deposition (ALD).
6. The method of claim 1, wherein, in the forming the first layer, the first layer is formed only on side surfaces of the second part of the sacrificial pattern layer exposed by the opening.
7. The method of claim 6, wherein, in the forming the first layer, the first layer is formed by oxidizing a portion of the second part of the sacrificial pattern layer.
8. The method of claim 1, wherein, in the removing of at least the portion of the first layer, the first layer is selectively removed with respect to the second layer.
9. The method of claim 1, wherein the second layer includes an upper layer and a lower layer formed of different materials.
10. A method for manufacturing a semiconductor device, the method comprising:
forming a linear sacrificial pattern layer extending in a first direction on a substrate;
removing a first part of the sacrificial pattern layer to form an opening;
filling the opening to form a pattern isolation portion;
removing a second part of the sacrificial pattern layer that includes the remaining sacrificial pattern layer; and
forming conductive pattern layers extending in the first direction on both sides of the pattern isolation portion.
11. The method of claim 10, wherein the pattern isolation portion includes two layers formed of different respective materials.
12. The method of claim 11, wherein the forming of the pattern isolation portion comprises:
forming a first layer on side surfaces of the sacrificial layer exposed by the opening; and
forming a second layer filling the opening.
13. The method of claim 12, further comprising:
removing at least a portion of the first layer exposed by removing the second part of the sacrificial pattern layer, before the forming of the pattern layers.
14. The method of claim 12, wherein the first layer is formed by atomic layer deposition (ALD) or oxidizing a portion of the sacrificial pattern layer in the opening.
15. A method for manufacturing a semiconductor device, the method comprising:
forming a sacrificial layer extending in a first direction on a first insulating layer;
removing a first part of the sacrificial layer to form an opening region;
forming a first layer to cover at least entire sidewall surfaces of the sacrificial layer in the opening region to fill a first portion of the opening region;
forming a second layer filling a second portion of the opening region to form an isolation portion;
removing a second part of the sacrificial layer that includes the remaining sacrificial layer;
after removing the second portion of the sacrificial layer, removing at least a first portion of the first layer; and
forming a third layer on the removed portion of the sacrificial layer and the removed first portion of the first layer, the third layer extending in the first direction on both sides of the isolation portion.
16. The method of claim 15, further comprising:
forming an insulating layer on side surfaces of the sacrificial layer, the insulating layer extending in the first direction and adjacent to the sacrificial layer in a second direction perpendicular the first direction,
wherein the sacrificial layer includes a material having an etch selectivity with respect to those of the first and second layers.
17. The method of claim 15, further comprising:
before forming the sacrificial layer, forming an isolation layer defining a plurality of active regions on a substrate,
wherein the sacrificial layer intersects the plurality of active regions in the first direction, and
wherein a source and a drain of a transistor are formed in a region of the plurality of active regions, and a gate of the transistor corresponds to a potion of the third layer.
18. The method of claim 15, wherein a length of the opening region in the first direction is greater than a length of the isolation portion in the first direction.
19. The method of claim 15, wherein the first layer and the second layer include different materials each having an etch selectivity with respect to each other.
20. The method of claim 15, wherein the first layer is formed by atomic layer deposition (ALD) or oxidizing a portion of the sacrificial layer in the opening region.
US14/959,457 2014-12-18 2015-12-04 Method for manufacturing semiconductor device Active 2036-01-10 US9741854B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2014-0183490 2014-12-18
KR1020140183490A KR102290793B1 (en) 2014-12-18 2014-12-18 Semiconductor device, methods of forming patterns for semiconductor device, and methods of manufacturing semiconductor devices

Publications (2)

Publication Number Publication Date
US20160181425A1 true US20160181425A1 (en) 2016-06-23
US9741854B2 US9741854B2 (en) 2017-08-22

Family

ID=56130436

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/959,457 Active 2036-01-10 US9741854B2 (en) 2014-12-18 2015-12-04 Method for manufacturing semiconductor device

Country Status (2)

Country Link
US (1) US9741854B2 (en)
KR (1) KR102290793B1 (en)

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160336320A1 (en) * 2015-05-15 2016-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20170110372A1 (en) * 2014-08-25 2017-04-20 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US20170294359A1 (en) * 2016-04-11 2017-10-12 Samsung Electronics Co., Ltd. Semiconductor device including a field effect transistor and method for manufacturing the same
US9806166B2 (en) 2016-01-13 2017-10-31 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
US20170330801A1 (en) * 2016-05-11 2017-11-16 Imec Vzw Method of forming gate of semiconductor device and semiconductor device having same
US9917085B2 (en) * 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US20180102419A1 (en) * 2013-11-26 2018-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and Method for FinFET Device with Buried Sige Oxide
US10079173B2 (en) * 2016-10-04 2018-09-18 Globalfoundries Inc. Methods of forming metallization lines on integrated circuit products and the resulting products
DE102017112753A1 (en) * 2017-04-28 2018-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US20180331098A1 (en) * 2015-12-26 2018-11-15 Intel Corporation Gate isolation in non-planar transistors
US10192966B2 (en) 2017-02-20 2019-01-29 Samsung Electronics Co., Inc. Semiconductor devices including recessed gate electrode portions
US20190109236A1 (en) * 2016-01-28 2019-04-11 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
US10263090B2 (en) 2017-04-24 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20190139775A1 (en) * 2015-10-30 2019-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20190206867A1 (en) * 2017-12-29 2019-07-04 Samsung Electronics Co., Ltd. Semiconductor device
TWI669746B (en) * 2017-02-13 2019-08-21 美商格芯(美國)集成電路科技有限公司 Gate cut integration and related device
US20190386002A1 (en) * 2018-06-15 2019-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method
US20190393324A1 (en) * 2018-06-25 2019-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric Spacer to Prevent Contacting Shorting
CN110739352A (en) * 2018-07-19 2020-01-31 三星电子株式会社 Semiconductor device including field effect transistor
US20200043945A1 (en) * 2018-08-02 2020-02-06 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
CN110890364A (en) * 2018-09-11 2020-03-17 三星电子株式会社 Semiconductor device and method for manufacturing the same
US20210057287A1 (en) * 2017-09-29 2021-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Footing Removal in Cut-Metal Process
CN113823690A (en) * 2020-06-19 2021-12-21 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
DE102020119452A1 (en) 2020-07-08 2022-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN114068544A (en) * 2020-08-04 2022-02-18 长鑫存储技术有限公司 Method for manufacturing semiconductor structure
DE102019201354B4 (en) 2018-03-12 2022-03-03 Globalfoundries U.S. Inc. Process for a liner-spacer gate-cut structure
US11271086B2 (en) * 2017-04-24 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11349028B2 (en) * 2019-09-11 2022-05-31 Globalfoundries U.S. Inc. Semiconductor device with gate cut structure
US20220254789A1 (en) * 2018-06-29 2022-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Sram structure and method for forming the same
US20220293594A1 (en) * 2021-03-12 2022-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
DE102019127997B4 (en) 2018-10-31 2023-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. METHOD OF MANUFACTURING SEMICONDUCTOR DEVICES WITH GATE DISCONNECT PLUGS AND SEMICONDUCTOR DEVICES
US12009266B2 (en) 2019-12-18 2024-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for fringing capacitance control

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10134604B1 (en) * 2017-04-28 2018-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10366915B2 (en) * 2017-11-15 2019-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET devices with embedded air gaps and the fabrication thereof
KR102472136B1 (en) 2018-03-12 2022-11-30 삼성전자주식회사 Integrated circuit device
KR102595606B1 (en) * 2018-11-02 2023-10-31 삼성전자주식회사 Semiconductor devices
KR20210048700A (en) 2019-10-24 2021-05-04 삼성전자주식회사 Semiconductor device and method of manufacturing the semiconductor device
DE102020000871A1 (en) * 2019-12-18 2021-06-24 Taiwan Semiconductor Manufacturing Co. Ltd. STRUCTURE FOR CONTROLLING EDGE CAPACITANCE
KR20220054999A (en) 2020-10-26 2022-05-03 삼성전자주식회사 Semiconductor device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070155172A1 (en) * 2005-12-05 2007-07-05 Macronix International Co., Ltd. Manufacturing Method for Phase Change RAM with Electrode Layer Process
US20080124893A1 (en) * 2006-07-03 2008-05-29 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device
US20140061780A1 (en) * 2012-08-31 2014-03-06 SK Hynix Inc. Semiconductor device including a gate dielectric layer

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US7737501B2 (en) 2007-07-11 2010-06-15 International Business Machines Corporation FinFET SRAM with asymmetric gate and method of manufacture thereof
US8415254B2 (en) 2008-11-20 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing dummy poly in a gate last process
US8629506B2 (en) 2009-03-19 2014-01-14 International Business Machines Corporation Replacement gate CMOS
JP2011009296A (en) 2009-06-23 2011-01-13 Panasonic Corp Semiconductor device and method for manufacturing the same
US20120306000A1 (en) 2011-05-31 2012-12-06 International Business Machines Corporation Formation of Field Effect Transistor Devices
US8561003B2 (en) * 2011-07-29 2013-10-15 Synopsys, Inc. N-channel and P-channel finFET cell architecture with inter-block insulator
US8697523B2 (en) 2012-02-06 2014-04-15 International Business Machines Corporation Integration of SMT in replacement gate FINFET process flow
US9041115B2 (en) 2012-05-03 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for FinFETs
US9041125B2 (en) * 2013-03-11 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Fin shape for fin field-effect transistors and method of forming

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070155172A1 (en) * 2005-12-05 2007-07-05 Macronix International Co., Ltd. Manufacturing Method for Phase Change RAM with Electrode Layer Process
US20080124893A1 (en) * 2006-07-03 2008-05-29 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device
US20140061780A1 (en) * 2012-08-31 2014-03-06 SK Hynix Inc. Semiconductor device including a gate dielectric layer

Cited By (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180102419A1 (en) * 2013-11-26 2018-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and Method for FinFET Device with Buried Sige Oxide
US11380783B2 (en) 2013-11-26 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried SiGe oxide
US10804381B2 (en) * 2013-11-26 2020-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
US11735650B2 (en) 2013-11-26 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd Structure and method for FinFET device with buried sige oxide
US20170110372A1 (en) * 2014-08-25 2017-04-20 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US10096520B2 (en) * 2014-08-25 2018-10-09 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US10269802B2 (en) * 2015-05-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11107813B2 (en) 2015-05-15 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20160336320A1 (en) * 2015-05-15 2016-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20190139775A1 (en) * 2015-10-30 2019-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11075082B2 (en) * 2015-10-30 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11227863B2 (en) 2015-12-26 2022-01-18 Intel Corporation Gate isolation in non-planar transistors
US20180331098A1 (en) * 2015-12-26 2018-11-15 Intel Corporation Gate isolation in non-planar transistors
US10797047B2 (en) * 2015-12-26 2020-10-06 Intel Corporation Gate isolation in non-planar transistors
US10186457B2 (en) 2016-01-13 2019-01-22 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US10522401B2 (en) 2016-01-13 2019-12-31 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
US9806166B2 (en) 2016-01-13 2017-10-31 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
US20190109236A1 (en) * 2016-01-28 2019-04-11 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
US10868189B2 (en) * 2016-01-28 2020-12-15 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
US10714397B2 (en) 2016-04-11 2020-07-14 Samsung Electronics Co., Ltd. Semiconductor device including an active pattern having a lower pattern and a pair of channel patterns disposed thereon and method for manufacturing the same
US20170294359A1 (en) * 2016-04-11 2017-10-12 Samsung Electronics Co., Ltd. Semiconductor device including a field effect transistor and method for manufacturing the same
US9953883B2 (en) * 2016-04-11 2018-04-24 Samsung Electronics Co., Ltd. Semiconductor device including a field effect transistor and method for manufacturing the same
US10453756B2 (en) 2016-04-11 2019-10-22 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device including a pair of channel semiconductor patterns
US20170330801A1 (en) * 2016-05-11 2017-11-16 Imec Vzw Method of forming gate of semiconductor device and semiconductor device having same
US10607896B2 (en) * 2016-05-11 2020-03-31 Imec Vzw Method of forming gate of semiconductor device and semiconductor device having same
US20180204836A1 (en) * 2016-05-31 2018-07-19 Taiwan Semiconductor Manufacturing Metal Gate Isolation Structure and Method Forming Same
US10186511B2 (en) * 2016-05-31 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US9917085B2 (en) * 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US20220108950A1 (en) * 2016-10-04 2022-04-07 Globalfoundries U.S. Inc. Metallization lines on integrated circuit products
US11233006B2 (en) * 2016-10-04 2022-01-25 Globalfoundries U.S. Inc. Metallization lines on integrated circuit products
US10079173B2 (en) * 2016-10-04 2018-09-18 Globalfoundries Inc. Methods of forming metallization lines on integrated circuit products and the resulting products
US20190006232A1 (en) * 2016-10-04 2019-01-03 Globalfoundries Inc. Metallization lines on integrated circuit products
US11791263B2 (en) * 2016-10-04 2023-10-17 Globalfoundries U.S. Inc. Metallization lines on integrated circuit products
TWI669746B (en) * 2017-02-13 2019-08-21 美商格芯(美國)集成電路科技有限公司 Gate cut integration and related device
US10192966B2 (en) 2017-02-20 2019-01-29 Samsung Electronics Co., Inc. Semiconductor devices including recessed gate electrode portions
US10658485B2 (en) 2017-04-24 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11804534B2 (en) 2017-04-24 2023-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11271086B2 (en) * 2017-04-24 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10263090B2 (en) 2017-04-24 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20210249409A1 (en) * 2017-04-28 2021-08-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Device Having Fins and An Isolation Region
CN108807181A (en) * 2017-04-28 2018-11-13 台湾积体电路制造股份有限公司 Semiconductor device and its manufacturing method
US10354997B2 (en) * 2017-04-28 2019-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device with replacement gates
US10991691B2 (en) 2017-04-28 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having fins and an isolation region
US10515957B2 (en) 2017-04-28 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having fins
US11798942B2 (en) * 2017-04-28 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices having fins and an isolation region
DE102017112753A1 (en) * 2017-04-28 2018-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US20180315752A1 (en) * 2017-04-28 2018-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US20210057287A1 (en) * 2017-09-29 2021-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Footing Removal in Cut-Metal Process
US11854903B2 (en) * 2017-09-29 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process
US20190206867A1 (en) * 2017-12-29 2019-07-04 Samsung Electronics Co., Ltd. Semiconductor device
DE102019201354B4 (en) 2018-03-12 2022-03-03 Globalfoundries U.S. Inc. Process for a liner-spacer gate-cut structure
US20190386002A1 (en) * 2018-06-15 2019-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method
US11728341B2 (en) 2018-06-15 2023-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11289480B2 (en) * 2018-06-15 2022-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10756087B2 (en) * 2018-06-15 2020-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11107902B2 (en) * 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US11342444B2 (en) 2018-06-25 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US20190393324A1 (en) * 2018-06-25 2019-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric Spacer to Prevent Contacting Shorting
US20220254789A1 (en) * 2018-06-29 2022-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Sram structure and method for forming the same
CN110739352A (en) * 2018-07-19 2020-01-31 三星电子株式会社 Semiconductor device including field effect transistor
US11600639B2 (en) 2018-08-02 2023-03-07 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US20200043945A1 (en) * 2018-08-02 2020-02-06 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
CN110890364B (en) * 2018-09-11 2024-03-08 三星电子株式会社 Semiconductor device and method for manufacturing the same
US11652104B2 (en) * 2018-09-11 2023-05-16 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
CN110890364A (en) * 2018-09-11 2020-03-17 三星电子株式会社 Semiconductor device and method for manufacturing the same
DE102019127997B4 (en) 2018-10-31 2023-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. METHOD OF MANUFACTURING SEMICONDUCTOR DEVICES WITH GATE DISCONNECT PLUGS AND SEMICONDUCTOR DEVICES
US11349028B2 (en) * 2019-09-11 2022-05-31 Globalfoundries U.S. Inc. Semiconductor device with gate cut structure
US12009266B2 (en) 2019-12-18 2024-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for fringing capacitance control
US20210399105A1 (en) * 2020-06-19 2021-12-23 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and fabrication method thereof
CN113823690A (en) * 2020-06-19 2021-12-21 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
DE102020119452A1 (en) 2020-07-08 2022-01-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
DE102020119452B4 (en) 2020-07-08 2023-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. SEMICONDUCTOR DEVICE WITH CUT METAL GATE STRUCTURE AND METHOD OF PRODUCTION THEREOF
US11495464B2 (en) 2020-07-08 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
CN114068544A (en) * 2020-08-04 2022-02-18 长鑫存储技术有限公司 Method for manufacturing semiconductor structure
US20220293594A1 (en) * 2021-03-12 2022-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof

Also Published As

Publication number Publication date
US9741854B2 (en) 2017-08-22
KR102290793B1 (en) 2021-08-19
KR20160074859A (en) 2016-06-29

Similar Documents

Publication Publication Date Title
US9741854B2 (en) Method for manufacturing semiconductor device
US10867997B2 (en) Semiconductor device
US11764299B2 (en) FinFETs having step sided contact plugs and methods of manufacturing the same
US10096479B2 (en) Method of fabricating semiconductor device
US9960241B2 (en) Semiconductor device for manufacturing
US9859387B2 (en) Semiconductor device having contact plugs
CN111244091B (en) Method for manufacturing semiconductor device
US9893064B2 (en) Integrated circuit device and method of manufacturing the same
CN106057869B (en) Semiconductor device and method for manufacturing the same
US9786764B2 (en) Fin-FET semiconductor device with a source/drain contact having varying different widths
US9576959B1 (en) Semiconductor device having first and second gate electrodes and method of manufacturing the same
US9805929B2 (en) Method of forming fine patterns in a semiconductor device and method of manufacturing an electronic device
US9698268B2 (en) Methods of forming semiconductor devices, including forming a semiconductor material on a fin, and related semiconductor devices
US9780033B2 (en) Semiconductor device and method of manufacturing the same
US9520297B2 (en) Semiconductor device and method of fabricating the same
US10756211B2 (en) Semiconductor devices including source/drain regions having multiple epitaxial patterns
US9576955B2 (en) Semiconductor device having strained channel layer and method of manufacturing the same
WO2022046239A1 (en) Three-dimensional memory device with vertical field effect transistors and method of making thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD, KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BAI, KEUN HEE;YEO, KYOUNG HWAN;HA, SEUNG SEOK;AND OTHERS;SIGNING DATES FROM 20151003 TO 20151005;REEL/FRAME:037222/0177

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4