US20160086665A1 - Memory architecture of thin film 3d array - Google Patents

Memory architecture of thin film 3d array Download PDF

Info

Publication number
US20160086665A1
US20160086665A1 US14/956,022 US201514956022A US2016086665A1 US 20160086665 A1 US20160086665 A1 US 20160086665A1 US 201514956022 A US201514956022 A US 201514956022A US 2016086665 A1 US2016086665 A1 US 2016086665A1
Authority
US
United States
Prior art keywords
threshold
channel body
side surfaces
memory cell
memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/956,022
Inventor
Yi-Hsuan Hsiao
Hand-Ting LUE
Wei-Chen Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Macronix International Co Ltd
Original Assignee
Macronix International Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix International Co Ltd filed Critical Macronix International Co Ltd
Priority to US14/956,022 priority Critical patent/US20160086665A1/en
Publication of US20160086665A1 publication Critical patent/US20160086665A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/26Sensing or reading circuits; Data output circuits
    • H01L27/11578
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/34Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention
    • G11C16/3418Disturbance prevention or evaluation; Refreshing of disturbed memory data

Definitions

  • the present invention relates to high density memory devices, and particularly to memory devices in which multiple planes of memory cells are arranged to provide a three-dimensional 3D array.
  • thin film transistor techniques are applied to charge trapping memory technologies in Lai, et al., “A Multi-Layer Stackable Thin-Film Transistor (TFT) NAND-Type Flash Memory,” IEEE Int'l Electron Devices Meeting, 11-13 Dec. 2006; and in Jung et al., “Three Dimensionally Stacked NAND Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30 nm Node”, IEEE Int'l Electron Devices Meeting, 11-13 Dec. 2006.
  • TFT Thin-Film Transistor
  • a 3D memory device includes an improved dual gate memory cell.
  • the improved dual gate memory cell has a channel body with opposing first and second side surfaces, charge storage structures on the first and second side surfaces, and a gate structure overlying the charge storage structures on both the first and second side surfaces.
  • the channel body of the improved dual gate memory cell has a depth between the first and second side surfaces, such as less than 30 nanometers, combined with a gate structure which establishes an effective channel length of the cell greater than a threshold length, such as greater than two-thirds the channel body depth, or in some embodiments greater than 20 nanometers.
  • the dual gate memory cell in a high density 3D embodiment includes memory elements that include dielectric charge trapping structures that include a tunneling layer, a dielectric charge trapping layer, and a blocking layer.
  • the channel body is part of a semiconductor strip in a stack of strips, where the thickness of the strips defines a width of the channel in a plane parallel to the side surfaces.
  • the channel body depth in a 3D vertical gate “3DVG” structure described herein corresponds to the width of a stack of semiconductor bit line strips configured to act as the channels in strings of memory cells on respective levels of the stack.
  • Wider bit line strips cause wider threshold voltage V T and wider subthreshold shift SS distributions as illustrated in FIGS. 12 and 13 . These wider distributions become unacceptable for reliable operation for 3D products of reasonable density, when the bit line strip depths exceed 30 nanometers.
  • practical limitations on the width of the stacks arise from the difficulty of manufacturing stacks of semiconductors strips with high aspect ratios.
  • a 3DVG structure with an 8 layer stack with semiconductor strips having a thickness of 30 nanometers separated by 30 nanometer thick insulation layers will have a total stack height of 240 nm. If the width of the stacks is 10 nanometers, then the aspect ratio is 24 (240/10 nm). Aspect ratios on the order of 24 or lower will be a challenge for process integration. Thus, it is considered important that the stacks of bit line strips have widths, at least at the lowest semiconductor strip in the stack, of more than 10 nanometers.
  • the effective channel length of the 3DVG structure, and of other memory structures must be long enough that the gate can control the channel effectively.
  • the scaling limitation for short channel effect establishes a critical limitation for the effective channel length that is a function of the equivalent oxide thickness EOT of the charge storage structure of the memory cell and the channel body depth (i.e. width of the bit line strips in a 3DVG structure).
  • EOT equivalent oxide thickness
  • the word line structures that provide the vertical gates for the memory cells have a width that is greater than the EOT of the charge storage structure, and greater than two-thirds the channel body depth.
  • the combination of the channel body depth and effective channel length are related, so that the cell channel body can be fully depleted, and sub-threshold leakage current can be suppressed when the memory cell has a high threshold state under a read bias operation.
  • FIG. 1 is a perspective illustration of a 3D NAND-flash memory structure as described herein including a plurality of planes of semiconductor bit line strips parallel to a Y-axis, arranged in a plurality of ridge-shaped stacks, a charge trapping memory layer on side surfaces of the semiconductor bit line strips, and a plurality of word lines with conformal bottom surfaces arranged over the plurality of ridge-shaped stacks.
  • FIG. 2 is a cross-section of a memory cell taken in the X-Z plane from the structure of FIG. 1 .
  • FIG. 3 is a cross-section of a memory cell taken in the X-Y plane from the structure of FIG. 1 .
  • FIG. 4 is a cross-section of a memory cell taken in the X-Y plane from the structure of FIG. 1 with an alternative feature.
  • FIG. 5 is a schematic diagram of NAND flash memory having the structure of FIG. 1 .
  • FIG. 6 illustrates a first stage in a process for manufacturing a memory device like that of FIG. 1 .
  • FIG. 7 illustrates a second stage in a process for manufacturing a memory device like that of FIG. 1 .
  • FIG. 8 illustrates a third stage in a process for manufacturing a memory device like that of FIG. 1 .
  • FIG. 9 illustrates a third stage in a process for manufacturing a memory device like that of FIG. 1 .
  • FIG. 10 illustrates a fourth stage in a process for manufacturing a memory device like that of FIG. 1 , followed by further stages of a hard mask and an optional implant step.
  • FIG. 11 is a schematic diagram of an integrated circuit including a 3D NAND-flash memory array with row, column and plane decoding circuitry.
  • FIGS. 12-19 illustrate results from simulation of distributions of threshold voltage and sub-threshold slope in a dual gate memory cell.
  • FIGS. 20A-20D illustrate results from simulation of programming windows in a dual gate memory cell.
  • FIG. 1 is a perspective drawing of a 2 ⁇ 2 portion of a 3DVG three-dimensional charge trapping memory array with fill material removed from the drawing to give a view of the stacks of semiconductor bit line strips and orthogonal word lines that make up the 3D array. In this illustration, only two memory planes are shown. However, the number of planes can be extended to very large numbers. As shown in FIG. 1 , the memory array is formed on an integrated circuit substrate having an insulating layer 110 over underlying semiconductor or other structures (not shown).
  • the memory array includes a plurality of stacks (two are shown in the drawing) of semiconductor bit line strips 111 , 112 , 113 , 114 separated by insulating material 91 , 92 , 121 , 122 , 123 , and 124 .
  • the stacks are ridge-shaped extending on the Y-axis as illustrated in the figure, so that the semiconductor bit line strips 111 - 114 can be configured as channel bodies of strings of dual gate memory cells.
  • Semiconductor bit line strips 111 and 113 can act as channel bodies in a first memory plane.
  • Semiconductor bit line strips 112 and 114 can act as channel bodies in a second memory plane.
  • the semiconductor bit line strips have opposing first and second side surfaces.
  • the insulating material 121 between the semiconductor bit line strips 111 and 112 in a first stack and the insulating material 123 between semiconductor bit line strips 113 and 114 in the second stack can have an equivalent oxide thickness of about 40 nm or less, where equivalent oxide thickness EOT is a thickness of the insulating material normalized according to a ratio of the dielectric constant of silicon dioxide and the dielectric constant of the chosen insulation material.
  • equivalent oxide thickness EOT is a thickness of the insulating material normalized according to a ratio of the dielectric constant of silicon dioxide and the dielectric constant of the chosen insulation material.
  • the term “about 40 nm” is used here to account for variations on the order of 10% or so, as arise typically in manufacturing structures of this type.
  • the thickness of the insulating material can play a critical role in reducing interference between cells in adjacent layers of the structure.
  • a layer 115 of memory material coats the plurality of stacks of semiconductor bit line strips in this example.
  • a plurality of word lines including word lines 116 and 117 is arranged orthogonally over the plurality of stacks of semiconductor bit line strips.
  • the word lines 116 and 117 have surfaces conformal with the plurality of stacks of semiconductor bit line strips, filling the trenches (e.g. 120) defined by the plurality of stacks, and establishing a multi-layer 3D array of interface regions at cross-points between the first and second side surfaces of the semiconductor bit line strips 111 - 114 in the plurality of stacks and the plurality of word lines including word lines 116 and 117 .
  • a layer of silicide (e.g. tungsten silicide, cobalt silicide, titanium silicide) 118 , 119 can be formed over the top surfaces of the the plurality of word lines including word lines 116 , 117 .
  • the charge storage structures disposed in the interface regions establish a 3D array of memory cells accessible via the plurality of semiconductor bit line strips and the plurality of word lines.
  • the memory cells are arranged in strings between bit line structures and source line structures, as described in connection with FIG. 5 .
  • the charge storage structures comprise memory elements that can include dielectric charge trapping structures comprising a tunneling layer which can be formed of silicon oxide (O) about 1 to 4 nanometers thick, a dielectric charge trapping layer which can be formed of silicon nitride (N) about 5 to 8 nanometers thick (EOT of about 9.5 to 15.2), and a blocking layer which can be formed of silicon oxide (O) about 5 to 8 nanometers thick.
  • the plurality of word lines can comprise polysilicon (S).
  • the combined EOT for the charge storage structure can be about 16.5 to 27.2 in this example.
  • the layer 115 of memory material can comprise other charge storage structures.
  • a band gap engineered SONOS (BE-SONOS) charge storage structure can be used which includes a tunneling layer that includes a composite of materials forming an inverted “U” shaped valence band under zero bias.
  • the composite tunneling dielectric layer includes a first layer referred to as a hole tunneling layer, a second layer referred to as a band offset layer, and a third layer referred to as an isolation layer.
  • the hole tunneling layer of the layer 115 in this embodiment comprises silicon dioxide on the side surface of the semiconductor bit line strips formed for example using in-situ steam generation ISSG with optional nitridation by either a post deposition NO anneal or by addition of NO to the ambient during deposition.
  • the thickness of the first layer of silicon dioxide is less than 2 nanometers, and preferably 1.5 nanometers or less. Representative embodiments can be 1 nanometer or 1.2 nanometers thick.
  • the band offset layer in this embodiment comprises silicon nitride lying on the hole tunneling layer, formed for example using low-pressure chemical vapor deposition LPCVD, using for example dichlorosilane DCS and NH 3 precursors at 680° C.
  • the band offset layer comprises silicon oxynitride, made using a similar process with an N 2 O precursor.
  • the band offset layer thickness of silicon nitride is less than 3 nanometers, and preferably 2.5 nanometers or less.
  • the isolation layer in this embodiment comprises silicon dioxide, lying on the band offset layer of silicon nitride formed for example using LPCVD high temperature oxide HTO deposition.
  • the thickness of the isolation layer of silicon dioxide is less than 3.5 nanometers, and preferably 2.5 nanometers or less. This three-layer tunneling layer results in an inverted U-shaped valence band energy level.
  • the valence band energy level at a first location is such that an electric field sufficient to induce hole tunneling through the thin region between the interface with the semiconductor body and the first location, is also sufficient to raise the valence band energy level after the first location to a level that effectively eliminates the hole tunneling barrier in the composite tunneling dielectric after the first location.
  • This structure establishes an inverted U-shaped valence band energy level in the three-layer tunneling dielectric layer, and enables electric field assisted hole tunneling at high speeds while effectively preventing charge leakage through the composite tunneling dielectric in the absence of electric fields or in the presence of smaller electric fields induced for the purpose of other operations, such as reading data from the cell or programming adjacent cells.
  • the EOT of a band gap engineered tunneling layer can be about 7 to 10 nanometers for example, making the EOT of a charge storage structure incorporating the band gap engineered tunneling layer fall in a range of about 21.5 to 33.2 nanometers in this example.
  • Use of high-k dielectrics in the blocking layer or in other layers of the charge storage structure can result in even higher EOT values for the charge storage structures.
  • a charge trapping layer in the layer 115 of memory material in this embodiment comprises silicon nitride having a thickness greater than 5 nanometers, including for example about 7 nanometers in this embodiment formed for example using LPCVD.
  • Other charge trapping materials and structures may be employed, including for example silicon oxynitride (Si x O y N z ), silicon-rich nitride, silicon-rich oxide, trapping layers including embedded nano-particles, and so on.
  • the blocking dielectric layer in the layer 115 of memory material in this embodiment comprises a layer of silicon dioxide having a thickness greater than 5 nanometers, including for example about 9 nanometers in this embodiment, can be formed by wet conversion from the nitride by a wet furnace oxidation process.
  • Other embodiments may be implemented using high temperature oxide (HTO) or LPCVD SiO 2 .
  • HTO high temperature oxide
  • Other blocking dielectrics can include high-K materials like aluminum oxide and multi-layer blocking structures.
  • the hole tunneling layer can be 1.3 nanometers of silicon dioxide; the band offset layer can be 2 nanometers of silicon nitride; the isolation layer can be 2.5 nanometers of silicon dioxide; the charge trapping layer can be 7 nanometers of silicon nitride; and the blocking dielectric layer can be silicon oxide 9 nanometers thick.
  • the gate material can be p+ polysilicon (work function about 5.1 eV) used in the word lines 116 , 117 . Also, the gate material can be metal.
  • the channels of the dual gate memory cells in the structure of FIG. 1 are formed in the strips 111 , 112 , 113 , 114 in channel body regions with dimensions discussed herein.
  • the channel body regions have a channel body width W determined essentially by the thickness in the Z dimension of the strips (e.g. as labeled on strip 111 ).
  • the channel body regions have a length L in the current flow dimension, determined essentially by the width in the Y direction of the word line structure (e.g. as labeled on word line 116 ) where it crosses the corresponding strip.
  • the channel body regions have a channel body depth D determined by the width in the X dimension of the strip (e.g. as labeled on strip 112 ).
  • the channel depth of a dual gate memory cell is a dynamic variable that depends on cell threshold, gate voltage, current magnitude and other features like doping concentrations.
  • the channel having the channel body width and length grows inwardly from the opposing side surfaces as the cell bias exceeds threshold conditions, and current flows.
  • a depletion region having the channel body width and length forms also, extending inwardly from the opposing side surfaces.
  • the semiconductor bit line strips (e.g. 112 ) have channel depths D between the first and second side surfaces (e.g. 141 and 142 ) so that channel body thicknesses of the memory cells are less than a threshold thickness for fully depleted operation where the depletion regions extending from the opposing sides merge when the corresponding memory cell has a high threshold state or a programmed state under a read bias operation.
  • Memory cells having channel body thicknesses at the threshold thickness can transition partially depleted operation to fully depleted operation at operating voltages used for three dimensional memory arrays of dual gate memory cells. Simulation results for the threshold channel body thickness are described in connection with FIGS. 16-19 .
  • the semiconductor bit line strips 111 - 114 can be instrinsic semiconductor material.
  • the word lines 116 , 117 can be a semiconductor material with a conductivity type (e.g. p+-type).
  • the semiconductor bit line strips 111 - 114 can be made using intrinsic of lightly doped polysilicon or single crystal silicon, while the word lines 116 , 117 can be made using relatively heavily doped p+-type polysilicon.
  • FIG. 2 shows a cross-sectional view taken in the X-Z plane of the charge trapping memory cell formed at the intersection of word line 116 and semiconductor strip 114 .
  • Active charge trapping regions 125 , 126 are formed on both side surfaces of the strip 114 between the word lines 116 and the strip 114 .
  • each memory cell is a double gate field effect transistor having active charge storage regions 125 , 126 , one on each side of the semiconductor strip 114 .
  • the strip 114 has a channel body depth D, and a channel body width W. Electron current as illustrated by the dotted arrow in the diagram flows along the p-type semiconductor bit line strips, to sense amplifiers where it can be measured to indicate the state of a selected memory cell.
  • FIG. 3 shows a cross-sectional view taken in the X-Y plane of the charge trapping memory cells formed at the intersection of the word lines 116 , 117 and the semiconductor strip 114 .
  • the current path down the semiconductor strip 114 is illustrated.
  • the source/drain regions 128 , 129 , 130 between the word lines 116 , 117 can be “junction-free,” without source and drain doping, having a conductivity type opposite that of the channel regions beneath the word lines.
  • the charge trapping field effect transistors can have a p-type channel structure.
  • source and drain doping could be implemented in some embodiments, in a self-aligned implant after word line definition.
  • the word lines 116 and 117 have widths 310 along the first and second side surfaces of the semiconductor material strip 114 .
  • Memory cells are formed at interface regions at cross-points between the first and second side surfaces of the semiconductor material strip 114 and the plurality word lines 116 and 117 .
  • the widths 310 of the word lines correspond to effective channel lengths L in the channel body regions of the memory cells.
  • FIG. 4 is a cross-sectional view of a memory cell taken in the X-Y plane like that of FIG. 3 .
  • FIG. 4 differs from FIG. 3 in that the regions 128 a, 129 a and 130 a along the side surfaces (e.g. 114 A) of the semiconductor strip 114 may have the memory material removed.
  • a word line pass voltage V-PASS can be used to pass unselected cells, when a selected cell is programmed or read.
  • An example selected cell can be the charge trapping memory cell formed at the intersection of word line 116 and semiconductor strip 114 as illustrated in FIG. 2 .
  • Active charge trapping regions 125 , 126 are formed on the both side surfaces of the strip 114 between the word lines 116 and the strip 114 .
  • the word line pass voltage V-PASS is applied to unselected word lines (e.g. 117 , FIG. 3 ) in a NAND string, and a read bias is applied to a selected word line (e.g. 116 , FIG. 3 ) in the NAND string.
  • programmed memory cells can have at least a high threshold state and a low threshold state.
  • the word line pass voltage V-PASS needs to be higher than both high and low threshold states of memory cells in the NAND string to make unselected cells in a NAND string act as pass transistors.
  • the read bias applied to the selected cell is such that the channel body is fully depleted if the selected cell has a high threshold state, relative to the read bias, and is conducting if the cell has a low threshold state.
  • the channel body at cross-points of semiconductor strip 114 and word line 116 has a high threshold state and is fully depleted as indicated by a depletion region 320
  • the channel body at cross-points of semiconductor strip 114 and word line 117 has a low threshold state and is conducting as indicated by the dotted arrow.
  • the word lines 116 and 117 have widths 310 along the first and second side surfaces of the semiconductor material strip 114 so that effective channel lengths L in the channel body regions of the memory cells are greater than a threshold length to suppress sub-threshold leakage current when the corresponding memory cell has a high threshold state under a read bias. Simulation results for the threshold effective channel lengths L are described in connection with FIGS. 12-15 and 20 A- 20 D.
  • the sub-threshold leakage current is the current that flows between the source and drain of a MOSFET (metal-oxide-semiconductor-field-effect-transistor) when the transistor is in sub-threshold region, or when the gate-to-source voltages are below the threshold voltage (V T ) of the transistor and ideally there would be no current flowing between the source and drain.
  • MOSFET metal-oxide-semiconductor-field-effect-transistor
  • V T threshold voltage
  • the sub-threshold leakage current is relatively small. However, as transistors are continually scaled down and the power supply is continually scaled down, proportion of the sub-threshold leakage current increases as compared to total power consumption. Suppression of sub-threshold leakage current can reduce dynamic power consumption of memory cells, and help improve reliability of memory cells.
  • FIG. 5 is a schematic diagram showing 2 planes of memory cells having 6 charge trapping cells per plane arranged in a NAND configuration, which is representative of a cube which can include many planes and many word lines.
  • the 2 planes of memory cells are defined at the cross-points of word lines 160 , 161 acting as word lines WLn- 1 , WLn, with a first stack of semiconductor bit line strips, a second stack of semiconductor bit line strips and a third stack of semiconductor bit line strips.
  • the first plane of memory cells includes memory cells 70 , 71 in a NAND string on a semiconductor strip, memory cells 73 , 74 in a NAND string on a semiconductor strip, and memory cells 76 , 77 in a NAND string on a semiconductor strip.
  • Each NAND string is connected to a ground select transistor on either side (e.g., ground select devices 90 , 72 on either side of the NAND string including memory cells 70 , 71 ).
  • the second plane of memory cells corresponds with a bottom plane in the cube in this example, and includes memory cells (e.g. 80 , 82 , 84 , 81 , 86 , 87 ) arranged in NAND strings in a similar manner to those in the first plane.
  • memory cells e.g. 80 , 82 , 84 , 81 , 86 , 87 .
  • the word line 161 acting as word line WLn includes vertical extensions which correspond with the material in the trench 120 shown in FIG. 1 between the stacks, in order to couple the word line 161 to the memory cells (cells 71 , 74 , 77 in the first plane) in the interface regions in the trenches between the semiconductor bit line strips in all of the planes.
  • the memory array includes bit line structures including bit lines, and source line structures including source lines.
  • Memory cells are arranged in strings between the bit line structures and source line structures. Memory cell strings in adjacent stacks alternate between a bit line end-to-source line end orientation and a source line end-to-bit line end orientation.
  • Bit lines BL N and BL N ⁇ 1 in the bit line structures terminate the memory cell strings, adjacent to the string select devices.
  • bit line BL N terminates the memory cell strings which have string select transistors 85 and 89 .
  • the bit line is not connected to trace 88 , because the strings of adjacent stacks alternate between a bit line end-to-source line end orientation and a source line end-to-bit line end orientation. So instead for this string, the corresponding bit line is connected to the other end of the string.
  • bit line BL N ⁇ 1 terminates the memory cell strings which have corresponding string select transistors.
  • String select transistors 85 , 89 are connected between respective NAND strings and string select lines SSL n ⁇ 1 and SSL n in this arrangement.
  • similar string select transistors on a bottom plane in the cube are connected between respective NAND strings and string select lines SSL n ⁇ 1 and SSL n in this arrangement.
  • String select lines 106 , 108 are connected to different ridges, to the gates of string select transistors in each memory cell string, and provide in this example string select signal SSL n ⁇ 1 , SSL n and SSL n+1 .
  • a string select transistor is not connected to trace 88 , because the strings of adjacent stacks alternate between a bit line end-to-source line end orientation and a source line end-to-bit line end orientation. So instead for this string, the corresponding string select transistor is connected to the other end of the string.
  • the NAND string with memory cells 73 , 74 also has a string select device, not shown, on the other end of the string.
  • the trace 88 is terminated by a source line 107 in the source line structures.
  • Ground select transistors 90 - 95 are arranged at the first ends of the NAND strings.
  • Ground select transistors 72 , 75 , 78 and corresponding second plane ground select transistors are arranged at the second ends of the NAND strings. Accordingly, ground select transistors are on both ends of the memory strings. Depending on the particular end of the memory string, the ground select transistor couples the memory string to a source line, or to a string select device and bit line.
  • the ground select signal GSL 159 in this example is coupled to the gates of the ground select transistors 90 - 95 , and can be implemented in the same manner as the word lines 160 , 161 .
  • the string select transistors and ground select transistors can use the same dielectric stack as a gate oxide as the memory cells in some embodiments. In other embodiments, a typical gate oxide is used instead. Also, the channel lengths and widths can be adjusted as suits the designer to provide the switching function for the transistors.
  • FIGS. 6-10 illustrate stages in a basic process flow for implementing 3D memory arrays as described above utilizing only 2 pattern masking steps that are critical alignment steps for array formation.
  • a structure is shown which results from alternating deposition of insulating layers 210 , 212 , 214 and semiconductor layers 211 , 213 formed using doped semiconductors for example in a blanket deposition in the array area of a chip.
  • the semiconductor layers 211 , 213 can be implemented using polysilicon or epitaxial single crystal silicon.
  • Inter-level insulating layers 210 , 212 , 214 can be implemented for example using silicon dioxide, other silicon oxides, or silicon nitride. These layers can be formed in a variety of ways, including low pressure chemical vapor deposition LPCVD processes available in the art.
  • FIG. 7 shows the result of a first lithographic patterning step used to define a plurality of ridge-shaped stacks 250 of semiconductor bit line strips, where the semiconductor bit line strips are implemented using the material of the semiconductor layers 211 , 213 , and separated by the insulating layers 210 , 212 , 214 .
  • Deep, high aspect ratio trenches can be formed in the stack, supporting many layers, using lithography based processes applying a carbon hard mask and reactive ion etching.
  • the plurality of ridge-shaped stacks 250 of semiconductor bit line strips are formed on an integrated circuit substrate having an insulating layer 710 over underlying semiconductor or other structures (not shown). This patterning step can establish a minimum critical dimension for the widths of the semiconductor bit line strips as discussed above, because of practical limitations on the achievable aspect ratio.
  • the alternating orientations of the memory strings are defined: the bit line end-to-source line end orientation, and the source line end-to-bit line end orientation
  • FIG. 8 shows the next stage for an embodiment including a programmable charge trapping memory structure such as a BE-SONOS type memory cell structure.
  • FIG. 8 shows results of blanket deposition of a layer 315 that comprises a multilayer charge trapping structure including a tunneling layer 397 , a dielectric charge trapping layer 398 and a blocking layer 399 as described above in connection with FIG. 1 .
  • the memory layer 315 is deposited in a conformal manner over the ridge-shaped stacks ( 250 of FIG. 7 ) of semiconductor bit line strips.
  • FIG. 9 shows the results of a high aspect ratio fill step in which conductive material, such as polysilicon having n-type or p-type doping, metal, and combinations of conductive materials chosen for work function, conductivity and manufacturability, to be used for the word lines, is deposited to form layer 225 . Also, a layer of silicide 226 can be formed over the layer 225 in embodiments in which polysilicon is utilized. As illustrated in the figure, high aspect ratio deposition technologies such as low-pressure chemical vapor deposition of polysilicon in the illustrated embodiments is utilized to completely fill the trenches 220 between the ridge-shaped stacks, even very narrow trenches on the order of 10 nanometers wide with high aspect ratio.
  • conductive material such as polysilicon having n-type or p-type doping, metal, and combinations of conductive materials chosen for work function, conductivity and manufacturability, to be used for the word lines
  • FIG. 10 shows results of the second lithographic patterning step used to define a plurality of word lines 260 which act as word lines for the 3D memory array.
  • the second lithographic patterning step utilizes a single mask for critical dimensions of the array for etching high aspect ratio trenches between the word lines, without etching through the ridge-shaped stacks.
  • Polysilicon can be etched using an etch process that is highly selective for polysilicon over silicon oxides or silicon nitrides. Thus, alternating etch processes are used, relying on the same mask to etch through the conductor and insulating layers, with the process stopping on the underlying insulating layer 710 in the integrated circuit substrate.
  • the ground select lines can also be defined.
  • the gate structures which are controlled by string select lines can also be defined, although the gate structures are conformal to individual semiconductor strip stacks.
  • An optional manufacturing step includes forming hard masks over the plurality of word lines, and hard masks over the gate structures.
  • the hard masks can be formed using a relatively thick layer of silicon nitride or other material which can block ion implantation processes.
  • an implant can be applied to increase the doping concentration in the semiconductor bit line strips, and in stairstep structures, and thereby reduce the resistance of the current path along the semiconductor bit line strips. By utilizing controlled implant energies, the implants can be caused to penetrate to the bottom semiconductor strip, and each overlying semiconductor strip in the stacks.
  • the hard masks are removed, exposing the silicide layers along the top surfaces of the word lines, and over the gate structures.
  • an interlayer dielectric is formed over the top of the array, vias are opened in which contact plugs, using tungsten fill for example, are formed reaching to the top surfaces of the gate structures.
  • Overlying metal lines are patterned to connect as SSL lines, to column decoder circuits.
  • a three-plane decoding network is established, accessing a selected cell using one word line, one bit line and one SSL line. See, U.S. Pat. No. 6,906,940, entitled Plane Decoding Method and Device for Three Dimensional Memories.
  • This second patterning step can establish second critical dimensions for the memory cells that impact the effective channel length of the cells, as discussed above.
  • FIG. 11 is a simplified block diagram of an integrated circuit according to an embodiment of the present invention.
  • the integrated circuit 975 includes a 3D NAND flash memory array 960 , implemented as described herein, on a semiconductor substrate with alternating memory string orientations of bit line end-to-source line end orientation and source line end-to-bit line end orientation, and at either end of the stacks with the string select line gate structure on every other stack.
  • a row decoder 961 is coupled to a plurality of word lines 962 , and arranged along rows in the memory array 960 .
  • a column decoder 963 is coupled to a plurality of SSL lines 964 arranged along columns corresponding to stacks in the memory array 960 for reading and programming data from the memory cells in the array 960 .
  • a plane decoder 958 is coupled to a plurality of planes in the memory array 960 via bit lines 959 . Addresses are supplied on bus 965 to column decoder 963 , row decoder 961 and plane decoder 958 . Sense amplifiers and data-in structures in block 966 are coupled to the column decoder 963 in this example via data bus 967 . Data is supplied via the data-in line 971 from input/output ports on the integrated circuit 975 or from other data sources internal or external to the integrated circuit 975 , to the data-in structures in block 966 .
  • circuitry 974 is included on the integrated circuit, such as a general purpose processor or special purpose application circuitry, or a combination of modules providing system-on-a-chip functionality supported by the NAND flash memory cell array.
  • Data is supplied via the data-out line 972 from the sense amplifiers in block 966 to input/output ports on the integrated circuit 975 , or to other data destinations internal or external to the integrated circuit 975 .
  • a controller implemented in this example using bias arrangement state machine 969 controls the application of bias arrangement supply voltage generated or provided through the voltage supply or supplies in block 968 , such as read, erase, program, erase verify and program verify voltages.
  • the controller can be implemented using special-purpose logic circuitry as known in the art.
  • the controller comprises a general-purpose processor, which may be implemented on the same integrated circuit, which executes a computer program to control the operations of the device.
  • a combination of special-purpose logic circuitry and a general-purpose processor may be utilized for implementation of the controller.
  • each memory cell is a double gate field effect transistor.
  • the memory cell stores electrical charge in a layer of memory material, such as dielectric charge storage structures (e.g. 115 ), between a semiconductor material strip (e.g. 114 ) acting as a channel and a word line (e.g. 116 ) acting as gates of the double gate field effect transistor.
  • the amount of charge stored affects the threshold voltage of the memory cell or the transistor, which can be sensed to indicate data.
  • the memory cell can have a low threshold state and a high threshold state depending on the charge stored to indicate two logic levels.
  • the difference between the two threshold states is a programming window or a sensing margin. In order to reliably distinguish between the two threshold states, it is important to maintain a relatively large sensing margin. In some embodiments, there may be more than two threshold states.
  • FIGS. 12-19 and 20 A- 20 D illustrate results from simulation of distributions of threshold voltage V T and sub-threshold slope (SS) in a dual gate memory cell.
  • the distributions may be caused by random grain boundaries and trap locations in the dual gate memory cell.
  • the dual gate memory cell has a channel body with opposing first and second side surfaces, charge storage structures on the first and second side surfaces, and a gate structure overlying the charge storage structures on the first and second side surfaces.
  • the channel body has a channel depth between the first and second side surfaces.
  • the gate structure has a width which establishes a channel length in the channel body regions along the first and second side surfaces.
  • the simulation uses various channel lengths or word line critical dimensions (WL CD), and various channel body depths, set by bit line critical dimensions (BL CD).
  • WL CD word line critical dimensions
  • BL CD bit line critical dimensions
  • TCAD a simulation tool provided by Synopsys, Inc., that supports simulation of random grain boundaries and trap locations in memory cells.
  • the sub-threshold slope (SS) is a slope of gate voltage versus logarithmic drain current (sub-threshold leakage current).
  • the unit of sub-threshold slope (SS) is milliVolts/dec, where a dec (decade) corresponds to a 10 times increase of the sub-threshold leakage current.
  • Steeper sub-threshold slopes are “better” because they correspond to lower power consumption, faster transitions between off and on states, and higher reliability.
  • V T and SS Wider distributions of memory cell characteristics including V T and SS can lead to more conservative design of memory devices in order to tolerate the worst case V T and the worst case SS. Accordingly, tighter distributions of V T and SS correspond to more consistent and predictable threshold voltage and sub-threshold current leakage, and lead to higher performance and more reliable memory devices.
  • Threshold voltage V T roll-off refers to the phenomenon that as channel lengths decrease, threshold voltage V T decreases.
  • V T roll-off is further described in connection with FIGS. 12 and 14 .
  • V T roll-off can lead to programming window roll-off or narrower programming windows. Programming windows are margins between the V T distributions for the programmed state (high threshold state) and the V T distributions for the fresh state (low threshold state). Programming window roll-off is further described in connection with FIGS. 20A and 20B . Either the V T roll-off or the programming window roll-off may lead to malfunction of memory cells.
  • FIGS. 13 and 15 illustrate that smaller channel lengths correlate with wider distributions of sub-threshold slope (SS).
  • FIGS. 20A and 20B illustrate that smaller channel lengths correlate with narrower programming windows or margins. Accordingly, wider distributions of sub-threshold slope (SS) correlate with narrower programming windows or margins.
  • FIG. 12 illustrates results from simulation of threshold voltage (Vt) distributions in the dual gate memory cell with various channel lengths or word line critical dimensions (WL CD) at fixed word line (WL) half pitch of 38 nanometers (nm).
  • Channel lengths or word line critical dimensions used in the simulation include channel lengths (Lg) of 20 nm, 25 nm, 30 nm, 38 nm, and 50 nm.
  • the results illustrated in FIG. 12 are for the “fresh state,” or the initial V T distribution before programming of memory cells.
  • the results show that WL CD or channel lengths (Lg) greater than a threshold length of 38 nanometers correspond to tighter Vt distributions, for example, between 0.4V and 1.4V for Lg of 50 nm.
  • V T distribution for Lg of 20 nm is between ⁇ 0.8V and 0.4V, as opposed to between 0.4V and 1.4V for Lg of 50 nm.
  • Threshold voltage V T roll-off refers to the phenomenon that as channel lengths decrease, threshold voltage V T decreases.
  • the results seen at threshold length of 38 nanometers used in the simulations suggest a critical threshold of about 38 nm, where the term about variations on the order of +/ ⁇ 2 nm, or between 36 nm and 40 nm that arise due to limitations in the simulation accuracy, the granularity of the measurement used in the simulations, and other variations in cell structures.
  • FIG. 13 illustrates results from simulation of sub-threshold slope (SS) distributions in the dual gate memory cell under the same conditions as described for FIG. 12 .
  • the results show that WL CD or channel lengths (Lg) greater than a threshold length of 40 nanometers correspond to lower (better) SS and a tighter distribution of SS, for example, between 170 mV/dec and 420 mV/dec for Lg of 50 nm.
  • shorter WL CD or channel lengths (Lg) correspond to higher (worse) SS and a wider distribution of SS.
  • Lg of 20 nm corresponds to SS between 200 mV/dec and 580 mV/dec, as opposed to 170 mV/dec and 420 mV/dec for Lg of 50 nm.
  • tighter distributions of sub-threshold slopes correspond to more consistent and predictable sub-threshold current leakage, and lead to higher performance and more reliable memory devices.
  • FIG. 14 illustrates results from simulation of threshold voltage V T distributions in the dual gate memory cell with various channel lengths or word line critical dimensions (WL CD) at fixed word line (WL) half pitch of 38 nm. Conditions for results shown in FIG. 14 are generally the same as for FIG. 12 . The difference in FIG. 14 is that the device is in a “programmed state” or a high threshold state after programming of memory cells, instead of the “fresh state” or a low threshold state as in
  • FIG. 12 The results show that WL CD or channel lengths (Lg) greater than a threshold length of 38 nanometers correspond to tighter V T distributions, for example, between 5.6V and 6.8V for Lg of 50 nm. Conversely, shorter WL CD or channel length (Lg) causes V T roll-off and wider V T distributions. For instance, V T distribution for Lg of 20 nm is between 2.6V and 4.2V, as opposed to between 5.6V and 6.8V for Lg of 50 nm.
  • FIG. 15 illustrates results from simulation of sub-threshold slope (SS) distributions in the dual gate memory cell under generally the same conditions as described for FIG. 13 .
  • the difference in FIG. 15 is that the device is in a “programmed state” or a high threshold state after programming of memory cells, instead of the “fresh state” or a low threshold state as in FIG. 13 .
  • the results show that WL CD or channel lengths (Lg) greater than a threshold length of 40 nanometers correspond to lower (better) SS and a tighter distribution of SS, for example, between 170 mV/dec and 430 mV/dec for Lg of 50 nm.
  • Lg lower WL CD or channel lengths
  • Lg of 20 nm corresponds to SS between 170 mV/dec and 670 mV/dec, as opposed to 170 mV/dec and 430 mV/dec for Lg of 50 nm.
  • tighter distributions of sub-threshold slopes correspond to more consistent and predictable sub-threshold current leakage, and lead to higher performance and more reliable memory devices.
  • FIGS. 12-15 demonstrate benefits provided by WL CD or channel lengths (Lg) greater than a threshold length where for both fresh and programmed (low threshold and high threshold) states, severity of V T roll-off is reduced and tighter distributions of V T and SS are achieved. Since V T roll-off can lead to programming window roll-off, when severity of V T roll-off is reduced, potential for programming window roll-off is also reduced. Programming window roll-off is further described in connection with FIGS. 20A and 20B . As described herein, wider distributions of memory cell characteristics including V T and SS can lead to more conservative design of memory devices in order to tolerate the worst case V T and the worst case SS. Accordingly, tighter distributions of V T and SS correspond to more consistent and predictable threshold voltage and sub-threshold current leakage, and can lead to higher performance and more reliable memory devices.
  • FIG. 16 illustrates results from simulation of threshold voltage V T distributions in the dual gate memory cell with various channel body depths, set by bit line critical dimensions (BL).
  • Channel body depths used in the simulation include 10 nm, 20 nm, 30 nm, and 40 nm.
  • the results illustrated in FIG. 12 are for the “fresh state,” or the initial V T distribution before programming of memory cells.
  • the results show that channel body depths less than a threshold thickness of 20 nanometers correspond to tighter V T distributions, for example, between 0.4V and 0.8V for BL of 10 nm. Conversely, larger channel body depths correspond to wider Vt distributions.
  • V T distribution for BL of 40 nm is between 0V and 1.5V, as opposed to between 0.4V and 0.8V for BL of 10 nm.
  • the results seen at threshold body depth of 20 nanometers used in the simulations suggest a critical threshold of about 20 nm, where the term about variations on the order of +/ ⁇ 2 nm, or between 18 nm and 22 nm that arise due to limitations in the simulation accuracy, the granularity of the measurement used in the simulations, and other variations in cell structures.
  • FIG. 17 illustrates results from simulation of sub-threshold slope (SS) distributions in the dual gate memory cell under the same conditions as described for FIG. 16 .
  • the results show that channel body depths, set by bit line critical dimensions (BL) less than a threshold thickness of 20 nanometers correspond to lower (better) SS and a tighter distribution of SS, for example, between 100 mV/dec and 180 mV/dec for BL of 10 nm.
  • larger bit line critical dimensions (BL) correspond to higher (worse) SS and a wider distribution of SS.
  • BL of 40 nm corresponds to SS between 220 mV/dec and 580 mV/dec, as opposed to 100 mV/dec and 180 mV/dec for BL of 10 nm.
  • FIG. 18 illustrates results from simulation of threshold voltage V T distributions in the dual gate memory cell with various channel body depths, set by bit line critical dimensions (BL). Conditions for results shown in FIG. 18 are generally the same as for FIG. 16 . The difference in FIG. 16 is that the device is in a “programmed state” or a high threshold state, instead of a “fresh state” or a low threshold state.
  • the results show that bit line critical dimensions (BL) less than a threshold thickness of 20 nanometers correspond to tighter V T distributions, for example, between 5.3V and 6V for BL of 10 nm.
  • larger bit line critical dimensions (BL) correspond to wider V T distributions. For instance, V T distribution for BL of 40 nm is between 4.3V and 6.4V, as opposed to between 5.3V and 6V for BL of 10 nm.
  • FIG. 19 illustrates results from simulation of sub-threshold slope (SS) distributions in the dual gate memory cell under the same conditions as described for FIG. 18 .
  • the results show that channel body depths, set by bit line critical dimensions (BL) less than a threshold thickness correspond to lower (better) SS and a tighter distribution of SS, for example, between 75 mV/dec and 225 mV/dec for BL of 10 nm.
  • larger bit line critical dimensions (BL) correspond to higher (worse) SS and a wider distribution of SS.
  • BL of 40 nm corresponds to SS between 225 mV/dec and 975 mV/dec, as opposed to 75 mV/dec and 225 mV/dec for BL of 10 nm.
  • FIGS. 16-19 demonstrate benefits provided by channel body depths, set by bit line critical dimensions (BL), less than a threshold thickness of about 30 nanometers, where for both fresh and programmed (low threshold and high threshold) states, tighter V T distributions, tighter SS distributions, and lower SS are achieved.
  • wider distributions of memory cell characteristics including V T and SS can lead to more conservative design of memory devices in order to tolerate the worst case V T and the worst case SS.
  • tighter distributions of V T and SS correspond to more consistent and predictable threshold voltage and sub-threshold current leakage, and can lead to higher performance and more reliable memory devices.
  • Lower SS corresponds to lower power consumption in memory cells.
  • FIGS. 20A-20D illustrate results from simulation of programming windows in the dual gate memory cell. The results are based on threshold voltage V T distributions in fresh and programmed states, or low and high threshold states respectively, at various channel lengths or word line effective critical dimensions (WL ECD) and various channel body depths set by bit line effective critical dimensions (BL ECD) as illustrated in FIGS. 12-19 .
  • WL ECD word line effective critical dimensions
  • BL ECD bit line effective critical dimensions
  • FIG. 20A illustrates V T distributions for word line effective critical dimensions (WL ECD) at channel lengths of 20 nm, 25 nm, 30 nm, 38 nm, and 50 nm for the fresh state and programmed state.
  • V T distribution 2001 a for channel length of 20 nm is between ⁇ 0.8V and 0.4V
  • V T distribution 2002 a for channel length of 50 nm is between 0.4V and 1.4V
  • V T distribution 2003 a for channel length of 20 nm is between 2.6V and 4.2V
  • V T distribution 2004 a for channel length of 50 nm is between 5.6V and 6.8V.
  • Simulation results for the fresh state used in FIG. 20A are based on the simulation results used in FIG. 12 .
  • Simulation results for the programmed state used in FIG. 20A are based on the simulation results used in FIG. 14 .
  • Threshold voltage V T roll-off refers to the phenomenon that as channel lengths decrease, threshold voltage V T decreases.
  • V T decreases from the V T distribution 2004 a for channel length of 50 nm between 5.6V and 6.8V to the V T distribution 2003 a for channel length of 20 nm between 2.6V and 4.2V.
  • V T decreases from the V T distribution 2002 a for channel length of 50 nm between 0.4V and 1.4V to the V T distribution 2001 a for channel length of 20 nm between ⁇ 0.8V and 0.4V.
  • V T roll-off is more severe in the programmed state than in the fresh state.
  • channel lengths or word line critical dimensions have effects on programming windows or margins between the V T distributions for the programmed state and the V T distributions for the fresh state.
  • programming windows or margins are improved. For instance, at channel length of about 20 nm, an average value for the V T distribution for the programmed state is about 3.5V, while an average value for the V T distribution for the fresh state is about ⁇ 0.1V. Therefore an average programming window or margin at channel length of about 20 nm is about 3.5V minus ⁇ 0.1V or 3.6V.
  • an average value for the V T distribution for the programmed state is about 6.2V, while an average value for the V T distribution for the fresh state is about 1.0V. Therefore an average programming window or margin at channel length of 50 nm is improved to about 6.2V minus 1.0V or 5.2V ( 2015 a ), from about 3.6V ( 2011 a ) for channel length of 20 nm. Improved programming windows or margins can more reliably distinguish between high and low threshold states of memory cells.
  • a scaling limitation because of the short channel effect in Double Gate MOSFET can be understood by the function defining the gate length of the call, as follows:
  • ONO of the charge storage structure should be lower than the width of the vertical gate structures that defines gate length Lg for the cells.
  • FIG. 20B illustrates programming (PGM) windows between the Vt distributions for the programmed state and the V T distributions for the fresh state as illustrated in FIG. 20A .
  • FIG. 20B illustrates that channel lengths greater than a threshold length of about 38 nanometers correspond to larger programming windows or margins. For instance, the programming window at channel length of 50 nm is improved to about 5.2V ( 2015 b ) from about 3.6V ( 2011 b ) for channel length of 20 nm. Conversely, shorter channel lengths correspond to smaller programming windows, or cause “programming window roll-off”.
  • FIG. 20C illustrates V T distributions for bit line effective critical dimensions (BL ECD) used in the simulation at bit line (BL) widths of 10 nm, 20 nm, 30 nm, and 40 nm for the fresh state and programmed (PGM) state.
  • V T distribution 2001 c for BL width of 10 nm is between 0.4V and 0.8V
  • V T distribution 2002 c for BL width of 40 nm is between 0V and 1.5V.
  • V T distribution 2003 c for BL width of 10 nm is between 5.3V and 6V
  • V T distribution 2004 c for BL width of 40 nm is between 4.3V and 6.4V.
  • Simulation results for the fresh state used in FIG. 20C are based on the simulation results used in FIG. 16 .
  • Simulation results for the programmed state used in FIG. 20C are based on the simulation results used in FIG. 18 .
  • FIG. 20D illustrates programming (PGM) windows between the V T distributions for the programmed state and the V T distributions for the fresh state as illustrated in FIG. 20C .
  • FIG. 20D illustrates that BL widths less than a threshold thickness of 20 nanometers correspond to tighter V T distributions, and to wider programming windows. Conversely, larger BL widths correspond to wider V T distributions, and to smaller programming windows. For instance, at BL width of 40 nm, the programming window is less than 3.9V, while at BL width of 20 nm, the programming window is less than 4.3V.
  • the V T distributions is between 3.9V and 4.9V, while at BL width of 20 nm, the V T distributions is between 4.3V and 4.8V.
  • tighter V T distributions correspond to more consistent and predictable threshold voltage, and improved programming windows or sensing margins can more reliably distinguish between high and low threshold states of memory cells.
  • a relatively large programming window or sensing margin of about 4V centered around a read voltage less than 4 Volts corresponds to a channel body having a combination of a channel body length greater than about 38 nanometers and a channel body depth (BL width) less than about 20 nanometers.
  • the read voltage less than 4 Volts is applied to the word line or gate during a read operation, where the 4 Volts is measured relative to a reference voltage such as ground applied for example to a common source line coupled to the memory cell during a read bias for the cell.

Abstract

A 3D memory device includes an improved dual gate memory cell. The improved dual gate memory cell has a channel body with opposing first and second side surfaces, charge storage structures on the first and second side surfaces, and a gate structure overlying the charge storage structures on both the first and second side surfaces. The channel body has a depth between the first and second side surfaces less than a threshold channel body depth, combined with the gate structure which establishes an effective channel length of the cell greater than a threshold length. The combination of the channel body depth and effective channel length are related so that the cell channel body can be fully depleted, and sub-threshold leakage current can be suppressed when the memory cell has a high threshold state under a read bias.

Description

    PRIORITY APPLICATIONS
  • This application is a divisional of U.S. patent application Ser. No. 13/970,482, filed 19 Aug. 2013 entitled Memory Architecture of Thin Film 3D Array which claims benefit of co-pending U.S. Provisional Patent Application No. 61/778,377 filed on 12 Mar. 2013. Both applications are incorporated by reference as if fully set forth herein.
  • FIELD OF THE INVENTION
  • The present invention relates to high density memory devices, and particularly to memory devices in which multiple planes of memory cells are arranged to provide a three-dimensional 3D array.
  • DESCRIPTION OF RELATED ART
  • As critical dimensions of devices in integrated circuits shrink, designers have been looking to techniques for stacking multiple planes of memory cells to achieve greater storage capacity, and to achieve lower costs per bit. For example, thin film transistor techniques are applied to charge trapping memory technologies in Lai, et al., “A Multi-Layer Stackable Thin-Film Transistor (TFT) NAND-Type Flash Memory,” IEEE Int'l Electron Devices Meeting, 11-13 Dec. 2006; and in Jung et al., “Three Dimensionally Stacked NAND Flash Memory Technology Using Stacking Single Crystal Si Layers on ILD and TANOS Structure for Beyond 30 nm Node”, IEEE Int'l Electron Devices Meeting, 11-13 Dec. 2006.
  • It is desirable to provide a structure for three-dimensional integrated circuit memory with a low manufacturing cost, including reliable, very small memory elements and improved process window associated with neighboring stacks of memory cell strings having gate structures.
  • SUMMARY OF THE INVENTION
  • A 3D memory device includes an improved dual gate memory cell. The improved dual gate memory cell has a channel body with opposing first and second side surfaces, charge storage structures on the first and second side surfaces, and a gate structure overlying the charge storage structures on both the first and second side surfaces. The channel body of the improved dual gate memory cell has a depth between the first and second side surfaces, such as less than 30 nanometers, combined with a gate structure which establishes an effective channel length of the cell greater than a threshold length, such as greater than two-thirds the channel body depth, or in some embodiments greater than 20 nanometers.
  • The dual gate memory cell in a high density 3D embodiment includes memory elements that include dielectric charge trapping structures that include a tunneling layer, a dielectric charge trapping layer, and a blocking layer. In 3D embodiments, the channel body is part of a semiconductor strip in a stack of strips, where the thickness of the strips defines a width of the channel in a plane parallel to the side surfaces.
  • The channel body depth in a 3D vertical gate “3DVG” structure described herein corresponds to the width of a stack of semiconductor bit line strips configured to act as the channels in strings of memory cells on respective levels of the stack. Wider bit line strips cause wider threshold voltage VT and wider subthreshold shift SS distributions as illustrated in FIGS. 12 and 13. These wider distributions become unacceptable for reliable operation for 3D products of reasonable density, when the bit line strip depths exceed 30 nanometers. Furthermore, practical limitations on the width of the stacks arise from the difficulty of manufacturing stacks of semiconductors strips with high aspect ratios. For example, a 3DVG structure with an 8 layer stack with semiconductor strips having a thickness of 30 nanometers separated by 30 nanometer thick insulation layers, will have a total stack height of 240 nm. If the width of the stacks is 10 nanometers, then the aspect ratio is 24 (240/10 nm). Aspect ratios on the order of 24 or lower will be a challenge for process integration. Thus, it is considered important that the stacks of bit line strips have widths, at least at the lowest semiconductor strip in the stack, of more than 10 nanometers.
  • Also, the effective channel length of the 3DVG structure, and of other memory structures, must be long enough that the gate can control the channel effectively. For double gate MOSFET-like memory cells, the scaling limitation for short channel effect establishes a critical limitation for the effective channel length that is a function of the equivalent oxide thickness EOT of the charge storage structure of the memory cell and the channel body depth (i.e. width of the bit line strips in a 3DVG structure). Thus, it is important that the word line structures that provide the vertical gates for the memory cells have a width that is greater than the EOT of the charge storage structure, and greater than two-thirds the channel body depth.
  • The combination of the channel body depth and effective channel length are related, so that the cell channel body can be fully depleted, and sub-threshold leakage current can be suppressed when the memory cell has a high threshold state under a read bias operation.
  • Other aspects and advantages of the present invention can be seen on review of the drawings, the detailed description and the claims, which follow.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a perspective illustration of a 3D NAND-flash memory structure as described herein including a plurality of planes of semiconductor bit line strips parallel to a Y-axis, arranged in a plurality of ridge-shaped stacks, a charge trapping memory layer on side surfaces of the semiconductor bit line strips, and a plurality of word lines with conformal bottom surfaces arranged over the plurality of ridge-shaped stacks.
  • FIG. 2 is a cross-section of a memory cell taken in the X-Z plane from the structure of FIG. 1.
  • FIG. 3 is a cross-section of a memory cell taken in the X-Y plane from the structure of FIG. 1.
  • FIG. 4 is a cross-section of a memory cell taken in the X-Y plane from the structure of FIG. 1 with an alternative feature.
  • FIG. 5 is a schematic diagram of NAND flash memory having the structure of FIG. 1.
  • FIG. 6 illustrates a first stage in a process for manufacturing a memory device like that of FIG. 1.
  • FIG. 7 illustrates a second stage in a process for manufacturing a memory device like that of FIG. 1.
  • FIG. 8 illustrates a third stage in a process for manufacturing a memory device like that of FIG. 1.
  • FIG. 9 illustrates a third stage in a process for manufacturing a memory device like that of FIG. 1.
  • FIG. 10 illustrates a fourth stage in a process for manufacturing a memory device like that of FIG. 1, followed by further stages of a hard mask and an optional implant step.
  • FIG. 11 is a schematic diagram of an integrated circuit including a 3D NAND-flash memory array with row, column and plane decoding circuitry.
  • FIGS. 12-19 illustrate results from simulation of distributions of threshold voltage and sub-threshold slope in a dual gate memory cell.
  • FIGS. 20A-20D illustrate results from simulation of programming windows in a dual gate memory cell.
  • DETAILED DESCRIPTION
  • A detailed description of embodiments is provided with reference to the Figures.
  • FIG. 1 is a perspective drawing of a 2×2 portion of a 3DVG three-dimensional charge trapping memory array with fill material removed from the drawing to give a view of the stacks of semiconductor bit line strips and orthogonal word lines that make up the 3D array. In this illustration, only two memory planes are shown. However, the number of planes can be extended to very large numbers. As shown in FIG. 1, the memory array is formed on an integrated circuit substrate having an insulating layer 110 over underlying semiconductor or other structures (not shown). The memory array includes a plurality of stacks (two are shown in the drawing) of semiconductor bit line strips 111, 112, 113, 114 separated by insulating material 91, 92, 121, 122, 123, and 124. The stacks are ridge-shaped extending on the Y-axis as illustrated in the figure, so that the semiconductor bit line strips 111-114 can be configured as channel bodies of strings of dual gate memory cells. Semiconductor bit line strips 111 and 113 can act as channel bodies in a first memory plane. Semiconductor bit line strips 112 and 114 can act as channel bodies in a second memory plane. The semiconductor bit line strips have opposing first and second side surfaces.
  • The insulating material 121 between the semiconductor bit line strips 111 and 112 in a first stack and the insulating material 123 between semiconductor bit line strips 113 and 114 in the second stack can have an equivalent oxide thickness of about 40 nm or less, where equivalent oxide thickness EOT is a thickness of the insulating material normalized according to a ratio of the dielectric constant of silicon dioxide and the dielectric constant of the chosen insulation material. The term “about 40 nm” is used here to account for variations on the order of 10% or so, as arise typically in manufacturing structures of this type. The thickness of the insulating material can play a critical role in reducing interference between cells in adjacent layers of the structure.
  • A layer 115 of memory material, such as dielectric charge storage structures, coats the plurality of stacks of semiconductor bit line strips in this example. A plurality of word lines including word lines 116 and 117 is arranged orthogonally over the plurality of stacks of semiconductor bit line strips. The word lines 116 and 117 have surfaces conformal with the plurality of stacks of semiconductor bit line strips, filling the trenches (e.g. 120) defined by the plurality of stacks, and establishing a multi-layer 3D array of interface regions at cross-points between the first and second side surfaces of the semiconductor bit line strips 111-114 in the plurality of stacks and the plurality of word lines including word lines 116 and 117. A layer of silicide (e.g. tungsten silicide, cobalt silicide, titanium silicide) 118, 119 can be formed over the top surfaces of the the plurality of word lines including word lines 116, 117.
  • The charge storage structures disposed in the interface regions establish a 3D array of memory cells accessible via the plurality of semiconductor bit line strips and the plurality of word lines. The memory cells are arranged in strings between bit line structures and source line structures, as described in connection with FIG. 5. The charge storage structures comprise memory elements that can include dielectric charge trapping structures comprising a tunneling layer which can be formed of silicon oxide (O) about 1 to 4 nanometers thick, a dielectric charge trapping layer which can be formed of silicon nitride (N) about 5 to 8 nanometers thick (EOT of about 9.5 to 15.2), and a blocking layer which can be formed of silicon oxide (O) about 5 to 8 nanometers thick. The plurality of word lines can comprise polysilicon (S). The combined EOT for the charge storage structure can be about 16.5 to 27.2 in this example. The layer 115 of memory material can comprise other charge storage structures.
  • For example, a band gap engineered SONOS (BE-SONOS) charge storage structure can be used which includes a tunneling layer that includes a composite of materials forming an inverted “U” shaped valence band under zero bias. In one embodiment, the composite tunneling dielectric layer includes a first layer referred to as a hole tunneling layer, a second layer referred to as a band offset layer, and a third layer referred to as an isolation layer. The hole tunneling layer of the layer 115 in this embodiment comprises silicon dioxide on the side surface of the semiconductor bit line strips formed for example using in-situ steam generation ISSG with optional nitridation by either a post deposition NO anneal or by addition of NO to the ambient during deposition. The thickness of the first layer of silicon dioxide is less than 2 nanometers, and preferably 1.5 nanometers or less. Representative embodiments can be 1 nanometer or 1.2 nanometers thick.
  • The band offset layer in this embodiment comprises silicon nitride lying on the hole tunneling layer, formed for example using low-pressure chemical vapor deposition LPCVD, using for example dichlorosilane DCS and NH3 precursors at 680° C. In alternative processes, the band offset layer comprises silicon oxynitride, made using a similar process with an N2O precursor. The band offset layer thickness of silicon nitride is less than 3 nanometers, and preferably 2.5 nanometers or less.
  • The isolation layer in this embodiment comprises silicon dioxide, lying on the band offset layer of silicon nitride formed for example using LPCVD high temperature oxide HTO deposition. The thickness of the isolation layer of silicon dioxide is less than 3.5 nanometers, and preferably 2.5 nanometers or less. This three-layer tunneling layer results in an inverted U-shaped valence band energy level.
  • The valence band energy level at a first location is such that an electric field sufficient to induce hole tunneling through the thin region between the interface with the semiconductor body and the first location, is also sufficient to raise the valence band energy level after the first location to a level that effectively eliminates the hole tunneling barrier in the composite tunneling dielectric after the first location. This structure establishes an inverted U-shaped valence band energy level in the three-layer tunneling dielectric layer, and enables electric field assisted hole tunneling at high speeds while effectively preventing charge leakage through the composite tunneling dielectric in the absence of electric fields or in the presence of smaller electric fields induced for the purpose of other operations, such as reading data from the cell or programming adjacent cells. The EOT of a band gap engineered tunneling layer can be about 7 to 10 nanometers for example, making the EOT of a charge storage structure incorporating the band gap engineered tunneling layer fall in a range of about 21.5 to 33.2 nanometers in this example. Use of high-k dielectrics in the blocking layer or in other layers of the charge storage structure can result in even higher EOT values for the charge storage structures.
  • A charge trapping layer in the layer 115 of memory material in this embodiment comprises silicon nitride having a thickness greater than 5 nanometers, including for example about 7 nanometers in this embodiment formed for example using LPCVD. Other charge trapping materials and structures may be employed, including for example silicon oxynitride (SixOyNz), silicon-rich nitride, silicon-rich oxide, trapping layers including embedded nano-particles, and so on.
  • The blocking dielectric layer in the layer 115 of memory material in this embodiment comprises a layer of silicon dioxide having a thickness greater than 5 nanometers, including for example about 9 nanometers in this embodiment, can be formed by wet conversion from the nitride by a wet furnace oxidation process. Other embodiments may be implemented using high temperature oxide (HTO) or LPCVD SiO2. Other blocking dielectrics can include high-K materials like aluminum oxide and multi-layer blocking structures.
  • In another representative embodiment, the hole tunneling layer can be 1.3 nanometers of silicon dioxide; the band offset layer can be 2 nanometers of silicon nitride; the isolation layer can be 2.5 nanometers of silicon dioxide; the charge trapping layer can be 7 nanometers of silicon nitride; and the blocking dielectric layer can be silicon oxide 9 nanometers thick. The gate material can be p+ polysilicon (work function about 5.1 eV) used in the word lines 116, 117. Also, the gate material can be metal.
  • The channels of the dual gate memory cells in the structure of FIG. 1 are formed in the strips 111, 112, 113, 114 in channel body regions with dimensions discussed herein. The channel body regions have a channel body width W determined essentially by the thickness in the Z dimension of the strips (e.g. as labeled on strip 111). The channel body regions have a length L in the current flow dimension, determined essentially by the width in the Y direction of the word line structure (e.g. as labeled on word line 116) where it crosses the corresponding strip. The channel body regions have a channel body depth D determined by the width in the X dimension of the strip (e.g. as labeled on strip 112). The channel depth of a dual gate memory cell is a dynamic variable that depends on cell threshold, gate voltage, current magnitude and other features like doping concentrations. In a dual gate memory cells, in which the gate voltage is the same on both sides, the channel having the channel body width and length, grows inwardly from the opposing side surfaces as the cell bias exceeds threshold conditions, and current flows. When the dual gate cell is off, with gate voltages below threshold, a depletion region having the channel body width and length forms also, extending inwardly from the opposing side surfaces.
  • The semiconductor bit line strips (e.g. 112) have channel depths D between the first and second side surfaces (e.g. 141 and 142) so that channel body thicknesses of the memory cells are less than a threshold thickness for fully depleted operation where the depletion regions extending from the opposing sides merge when the corresponding memory cell has a high threshold state or a programmed state under a read bias operation. Memory cells having channel body thicknesses at the threshold thickness can transition partially depleted operation to fully depleted operation at operating voltages used for three dimensional memory arrays of dual gate memory cells. Simulation results for the threshold channel body thickness are described in connection with FIGS. 16-19.
  • The semiconductor bit line strips 111-114 can be instrinsic semiconductor material. The word lines 116, 117 can be a semiconductor material with a conductivity type (e.g. p+-type). For example, the semiconductor bit line strips 111-114 can be made using intrinsic of lightly doped polysilicon or single crystal silicon, while the word lines 116, 117 can be made using relatively heavily doped p+-type polysilicon.
  • FIG. 2 shows a cross-sectional view taken in the X-Z plane of the charge trapping memory cell formed at the intersection of word line 116 and semiconductor strip 114. Active charge trapping regions 125, 126 are formed on both side surfaces of the strip 114 between the word lines 116 and the strip 114. In the embodiment described here, as shown in FIG. 2, each memory cell is a double gate field effect transistor having active charge storage regions 125, 126, one on each side of the semiconductor strip 114. The strip 114 has a channel body depth D, and a channel body width W. Electron current as illustrated by the dotted arrow in the diagram flows along the p-type semiconductor bit line strips, to sense amplifiers where it can be measured to indicate the state of a selected memory cell.
  • FIG. 3 shows a cross-sectional view taken in the X-Y plane of the charge trapping memory cells formed at the intersection of the word lines 116, 117 and the semiconductor strip 114. The current path down the semiconductor strip 114 is illustrated. The source/ drain regions 128, 129, 130 between the word lines 116, 117 can be “junction-free,” without source and drain doping, having a conductivity type opposite that of the channel regions beneath the word lines. In the junction-free embodiment, the charge trapping field effect transistors can have a p-type channel structure. Also, source and drain doping could be implemented in some embodiments, in a self-aligned implant after word line definition.
  • The word lines 116 and 117 have widths 310 along the first and second side surfaces of the semiconductor material strip 114. Memory cells are formed at interface regions at cross-points between the first and second side surfaces of the semiconductor material strip 114 and the plurality word lines 116 and 117. In operation, when voltage is applied to a gate structure of a memory element via one of the word lines, a channel region in a memory cell corresponding to the memory element beneath the gate structure is turned on, along the first and second side surfaces. Thus, the widths 310 of the word lines correspond to effective channel lengths L in the channel body regions of the memory cells.
  • FIG. 4 is a cross-sectional view of a memory cell taken in the X-Y plane like that of FIG. 3. FIG. 4 differs from FIG. 3 in that the regions 128 a, 129 a and 130 a along the side surfaces (e.g. 114A) of the semiconductor strip 114 may have the memory material removed.
  • In a nonvolatile memory chip, such as a NAND flash memory, a word line pass voltage V-PASS can be used to pass unselected cells, when a selected cell is programmed or read. An example selected cell can be the charge trapping memory cell formed at the intersection of word line 116 and semiconductor strip 114 as illustrated in FIG. 2. Active charge trapping regions 125, 126 are formed on the both side surfaces of the strip 114 between the word lines 116 and the strip 114.
  • In read operations, the word line pass voltage V-PASS is applied to unselected word lines (e.g. 117, FIG. 3) in a NAND string, and a read bias is applied to a selected word line (e.g. 116, FIG. 3) in the NAND string. Programmed memory cells can have at least a high threshold state and a low threshold state. Typically, the word line pass voltage V-PASS needs to be higher than both high and low threshold states of memory cells in the NAND string to make unselected cells in a NAND string act as pass transistors.
  • The read bias applied to the selected cell is such that the channel body is fully depleted if the selected cell has a high threshold state, relative to the read bias, and is conducting if the cell has a low threshold state. For instance, the channel body at cross-points of semiconductor strip 114 and word line 116 has a high threshold state and is fully depleted as indicated by a depletion region 320, while the channel body at cross-points of semiconductor strip 114 and word line 117 has a low threshold state and is conducting as indicated by the dotted arrow.
  • The word lines 116 and 117 have widths 310 along the first and second side surfaces of the semiconductor material strip 114 so that effective channel lengths L in the channel body regions of the memory cells are greater than a threshold length to suppress sub-threshold leakage current when the corresponding memory cell has a high threshold state under a read bias. Simulation results for the threshold effective channel lengths L are described in connection with FIGS. 12-15 and 20A-20D.
  • The sub-threshold leakage current is the current that flows between the source and drain of a MOSFET (metal-oxide-semiconductor-field-effect-transistor) when the transistor is in sub-threshold region, or when the gate-to-source voltages are below the threshold voltage (VT) of the transistor and ideally there would be no current flowing between the source and drain. The sub-threshold leakage current is relatively small. However, as transistors are continually scaled down and the power supply is continually scaled down, proportion of the sub-threshold leakage current increases as compared to total power consumption. Suppression of sub-threshold leakage current can reduce dynamic power consumption of memory cells, and help improve reliability of memory cells.
  • FIG. 5 is a schematic diagram showing 2 planes of memory cells having 6 charge trapping cells per plane arranged in a NAND configuration, which is representative of a cube which can include many planes and many word lines. The 2 planes of memory cells are defined at the cross-points of word lines 160, 161 acting as word lines WLn-1, WLn, with a first stack of semiconductor bit line strips, a second stack of semiconductor bit line strips and a third stack of semiconductor bit line strips.
  • The first plane of memory cells includes memory cells 70, 71 in a NAND string on a semiconductor strip, memory cells 73, 74 in a NAND string on a semiconductor strip, and memory cells 76, 77 in a NAND string on a semiconductor strip. Each NAND string is connected to a ground select transistor on either side (e.g., ground select devices 90, 72 on either side of the NAND string including memory cells 70, 71).
  • The second plane of memory cells corresponds with a bottom plane in the cube in this example, and includes memory cells (e.g. 80, 82, 84, 81, 86, 87) arranged in NAND strings in a similar manner to those in the first plane.
  • As shown in the figure, the word line 161 acting as word line WLn includes vertical extensions which correspond with the material in the trench 120 shown in FIG. 1 between the stacks, in order to couple the word line 161 to the memory cells ( cells 71, 74, 77 in the first plane) in the interface regions in the trenches between the semiconductor bit line strips in all of the planes.
  • The memory array includes bit line structures including bit lines, and source line structures including source lines. Memory cells are arranged in strings between the bit line structures and source line structures. Memory cell strings in adjacent stacks alternate between a bit line end-to-source line end orientation and a source line end-to-bit line end orientation.
  • Bit lines BLN and BLN−1 in the bit line structures terminate the memory cell strings, adjacent to the string select devices. For example, in the top memory plane, bit line BLN terminates the memory cell strings which have string select transistors 85 and 89. By contrast, the bit line is not connected to trace 88, because the strings of adjacent stacks alternate between a bit line end-to-source line end orientation and a source line end-to-bit line end orientation. So instead for this string, the corresponding bit line is connected to the other end of the string. In the bottom memory plane, bit line BLN−1 terminates the memory cell strings which have corresponding string select transistors.
  • String select transistors 85, 89 are connected between respective NAND strings and string select lines SSLn−1 and SSLn in this arrangement. Likewise, similar string select transistors on a bottom plane in the cube are connected between respective NAND strings and string select lines SSLn−1 and SSLn in this arrangement. String select lines 106, 108, are connected to different ridges, to the gates of string select transistors in each memory cell string, and provide in this example string select signal SSLn−1, SSLn and SSLn+1.
  • By contrast, a string select transistor is not connected to trace 88, because the strings of adjacent stacks alternate between a bit line end-to-source line end orientation and a source line end-to-bit line end orientation. So instead for this string, the corresponding string select transistor is connected to the other end of the string. The NAND string with memory cells 73, 74 also has a string select device, not shown, on the other end of the string. The trace 88 is terminated by a source line 107 in the source line structures.
  • Ground select transistors 90-95 are arranged at the first ends of the NAND strings. Ground select transistors 72, 75, 78 and corresponding second plane ground select transistors are arranged at the second ends of the NAND strings. Accordingly, ground select transistors are on both ends of the memory strings. Depending on the particular end of the memory string, the ground select transistor couples the memory string to a source line, or to a string select device and bit line.
  • The ground select signal GSL 159 in this example is coupled to the gates of the ground select transistors 90-95, and can be implemented in the same manner as the word lines 160, 161. The string select transistors and ground select transistors can use the same dielectric stack as a gate oxide as the memory cells in some embodiments. In other embodiments, a typical gate oxide is used instead. Also, the channel lengths and widths can be adjusted as suits the designer to provide the switching function for the transistors.
  • FIGS. 6-10 illustrate stages in a basic process flow for implementing 3D memory arrays as described above utilizing only 2 pattern masking steps that are critical alignment steps for array formation. In FIG. 6, a structure is shown which results from alternating deposition of insulating layers 210, 212, 214 and semiconductor layers 211, 213 formed using doped semiconductors for example in a blanket deposition in the array area of a chip. Depending on the implementation, the semiconductor layers 211, 213 can be implemented using polysilicon or epitaxial single crystal silicon. Inter-level insulating layers 210, 212, 214 can be implemented for example using silicon dioxide, other silicon oxides, or silicon nitride. These layers can be formed in a variety of ways, including low pressure chemical vapor deposition LPCVD processes available in the art.
  • FIG. 7 shows the result of a first lithographic patterning step used to define a plurality of ridge-shaped stacks 250 of semiconductor bit line strips, where the semiconductor bit line strips are implemented using the material of the semiconductor layers 211, 213, and separated by the insulating layers 210, 212, 214. Deep, high aspect ratio trenches can be formed in the stack, supporting many layers, using lithography based processes applying a carbon hard mask and reactive ion etching. The plurality of ridge-shaped stacks 250 of semiconductor bit line strips are formed on an integrated circuit substrate having an insulating layer 710 over underlying semiconductor or other structures (not shown). This patterning step can establish a minimum critical dimension for the widths of the semiconductor bit line strips as discussed above, because of practical limitations on the achievable aspect ratio.
  • Although not shown, at this step the alternating orientations of the memory strings are defined: the bit line end-to-source line end orientation, and the source line end-to-bit line end orientation
  • FIG. 8 shows the next stage for an embodiment including a programmable charge trapping memory structure such as a BE-SONOS type memory cell structure.
  • FIG. 8 shows results of blanket deposition of a layer 315 that comprises a multilayer charge trapping structure including a tunneling layer 397, a dielectric charge trapping layer 398 and a blocking layer 399 as described above in connection with FIG. 1. As shown in FIG. 8, the memory layer 315 is deposited in a conformal manner over the ridge-shaped stacks (250 of FIG. 7) of semiconductor bit line strips.
  • FIG. 9 shows the results of a high aspect ratio fill step in which conductive material, such as polysilicon having n-type or p-type doping, metal, and combinations of conductive materials chosen for work function, conductivity and manufacturability, to be used for the word lines, is deposited to form layer 225. Also, a layer of silicide 226 can be formed over the layer 225 in embodiments in which polysilicon is utilized. As illustrated in the figure, high aspect ratio deposition technologies such as low-pressure chemical vapor deposition of polysilicon in the illustrated embodiments is utilized to completely fill the trenches 220 between the ridge-shaped stacks, even very narrow trenches on the order of 10 nanometers wide with high aspect ratio.
  • FIG. 10 shows results of the second lithographic patterning step used to define a plurality of word lines 260 which act as word lines for the 3D memory array. The second lithographic patterning step utilizes a single mask for critical dimensions of the array for etching high aspect ratio trenches between the word lines, without etching through the ridge-shaped stacks. Polysilicon can be etched using an etch process that is highly selective for polysilicon over silicon oxides or silicon nitrides. Thus, alternating etch processes are used, relying on the same mask to etch through the conductor and insulating layers, with the process stopping on the underlying insulating layer 710 in the integrated circuit substrate.
  • At this step, the ground select lines can also be defined. At this step, the gate structures which are controlled by string select lines can also be defined, although the gate structures are conformal to individual semiconductor strip stacks.
  • An optional manufacturing step includes forming hard masks over the plurality of word lines, and hard masks over the gate structures. The hard masks can be formed using a relatively thick layer of silicon nitride or other material which can block ion implantation processes. After the hard masks are formed, an implant can be applied to increase the doping concentration in the semiconductor bit line strips, and in stairstep structures, and thereby reduce the resistance of the current path along the semiconductor bit line strips. By utilizing controlled implant energies, the implants can be caused to penetrate to the bottom semiconductor strip, and each overlying semiconductor strip in the stacks.
  • Subsequently, the hard masks are removed, exposing the silicide layers along the top surfaces of the word lines, and over the gate structures. After an interlayer dielectric is formed over the top of the array, vias are opened in which contact plugs, using tungsten fill for example, are formed reaching to the top surfaces of the gate structures. Overlying metal lines are patterned to connect as SSL lines, to column decoder circuits. A three-plane decoding network is established, accessing a selected cell using one word line, one bit line and one SSL line. See, U.S. Pat. No. 6,906,940, entitled Plane Decoding Method and Device for Three Dimensional Memories.
  • This second patterning step can establish second critical dimensions for the memory cells that impact the effective channel length of the cells, as discussed above.
  • FIG. 11 is a simplified block diagram of an integrated circuit according to an embodiment of the present invention. The integrated circuit 975 includes a 3D NAND flash memory array 960, implemented as described herein, on a semiconductor substrate with alternating memory string orientations of bit line end-to-source line end orientation and source line end-to-bit line end orientation, and at either end of the stacks with the string select line gate structure on every other stack. A row decoder 961 is coupled to a plurality of word lines 962, and arranged along rows in the memory array 960. A column decoder 963 is coupled to a plurality of SSL lines 964 arranged along columns corresponding to stacks in the memory array 960 for reading and programming data from the memory cells in the array 960. A plane decoder 958 is coupled to a plurality of planes in the memory array 960 via bit lines 959. Addresses are supplied on bus 965 to column decoder 963, row decoder 961 and plane decoder 958. Sense amplifiers and data-in structures in block 966 are coupled to the column decoder 963 in this example via data bus 967. Data is supplied via the data-in line 971 from input/output ports on the integrated circuit 975 or from other data sources internal or external to the integrated circuit 975, to the data-in structures in block 966. In the illustrated embodiment, other circuitry 974 is included on the integrated circuit, such as a general purpose processor or special purpose application circuitry, or a combination of modules providing system-on-a-chip functionality supported by the NAND flash memory cell array. Data is supplied via the data-out line 972 from the sense amplifiers in block 966 to input/output ports on the integrated circuit 975, or to other data destinations internal or external to the integrated circuit 975.
  • A controller implemented in this example using bias arrangement state machine 969 controls the application of bias arrangement supply voltage generated or provided through the voltage supply or supplies in block 968, such as read, erase, program, erase verify and program verify voltages. The controller can be implemented using special-purpose logic circuitry as known in the art. In alternative embodiments, the controller comprises a general-purpose processor, which may be implemented on the same integrated circuit, which executes a computer program to control the operations of the device. In yet other embodiments, a combination of special-purpose logic circuitry and a general-purpose processor may be utilized for implementation of the controller.
  • In a read bias operation, the controller implemented in this example using bias arrangement state machine 968 can provide a read bias voltage to a memory cell to induce a read current for sensing by sense amplifiers in the block 966. As illustrated in FIG. 2, each memory cell is a double gate field effect transistor. The memory cell stores electrical charge in a layer of memory material, such as dielectric charge storage structures (e.g. 115), between a semiconductor material strip (e.g. 114) acting as a channel and a word line (e.g. 116) acting as gates of the double gate field effect transistor. The amount of charge stored affects the threshold voltage of the memory cell or the transistor, which can be sensed to indicate data. The memory cell can have a low threshold state and a high threshold state depending on the charge stored to indicate two logic levels. The difference between the two threshold states is a programming window or a sensing margin. In order to reliably distinguish between the two threshold states, it is important to maintain a relatively large sensing margin. In some embodiments, there may be more than two threshold states.
  • FIGS. 12-19 and 20A-20D illustrate results from simulation of distributions of threshold voltage VT and sub-threshold slope (SS) in a dual gate memory cell. The distributions may be caused by random grain boundaries and trap locations in the dual gate memory cell. As described herein, the dual gate memory cell has a channel body with opposing first and second side surfaces, charge storage structures on the first and second side surfaces, and a gate structure overlying the charge storage structures on the first and second side surfaces. The channel body has a channel depth between the first and second side surfaces. The gate structure has a width which establishes a channel length in the channel body regions along the first and second side surfaces. The simulation uses various channel lengths or word line critical dimensions (WL CD), and various channel body depths, set by bit line critical dimensions (BL CD). The simulation is performed using TCAD, a simulation tool provided by Synopsys, Inc., that supports simulation of random grain boundaries and trap locations in memory cells.
  • In FIGS. 13, 15, 17, and 19, the sub-threshold slope (SS) is a slope of gate voltage versus logarithmic drain current (sub-threshold leakage current). The unit of sub-threshold slope (SS) is milliVolts/dec, where a dec (decade) corresponds to a 10 times increase of the sub-threshold leakage current. Steeper sub-threshold slopes are “better” because they correspond to lower power consumption, faster transitions between off and on states, and higher reliability.
  • Wider distributions of memory cell characteristics including VT and SS can lead to more conservative design of memory devices in order to tolerate the worst case VT and the worst case SS. Accordingly, tighter distributions of VT and SS correspond to more consistent and predictable threshold voltage and sub-threshold current leakage, and lead to higher performance and more reliable memory devices.
  • Threshold voltage VT roll-off refers to the phenomenon that as channel lengths decrease, threshold voltage VT decreases. VT roll-off is further described in connection with FIGS. 12 and 14. VT roll-off can lead to programming window roll-off or narrower programming windows. Programming windows are margins between the VT distributions for the programmed state (high threshold state) and the VT distributions for the fresh state (low threshold state). Programming window roll-off is further described in connection with FIGS. 20A and 20B. Either the VT roll-off or the programming window roll-off may lead to malfunction of memory cells. FIGS. 13 and 15 illustrate that smaller channel lengths correlate with wider distributions of sub-threshold slope (SS). FIGS. 20A and 20B illustrate that smaller channel lengths correlate with narrower programming windows or margins. Accordingly, wider distributions of sub-threshold slope (SS) correlate with narrower programming windows or margins.
  • FIG. 12 illustrates results from simulation of threshold voltage (Vt) distributions in the dual gate memory cell with various channel lengths or word line critical dimensions (WL CD) at fixed word line (WL) half pitch of 38 nanometers (nm). Channel lengths or word line critical dimensions used in the simulation include channel lengths (Lg) of 20 nm, 25 nm, 30 nm, 38 nm, and 50 nm. The results illustrated in FIG. 12 are for the “fresh state,” or the initial VT distribution before programming of memory cells. The results show that WL CD or channel lengths (Lg) greater than a threshold length of 38 nanometers correspond to tighter Vt distributions, for example, between 0.4V and 1.4V for Lg of 50 nm. Conversely, shorter WL CD or channel length (Lg) causes VT roll-off and wider VT distributions. For instance, VT distribution for Lg of 20 nm is between −0.8V and 0.4V, as opposed to between 0.4V and 1.4V for Lg of 50 nm. Threshold voltage VT roll-off refers to the phenomenon that as channel lengths decrease, threshold voltage VT decreases. The results seen at threshold length of 38 nanometers used in the simulations suggest a critical threshold of about 38 nm, where the term about variations on the order of +/−2 nm, or between 36 nm and 40 nm that arise due to limitations in the simulation accuracy, the granularity of the measurement used in the simulations, and other variations in cell structures.
  • FIG. 13 illustrates results from simulation of sub-threshold slope (SS) distributions in the dual gate memory cell under the same conditions as described for FIG. 12. The results show that WL CD or channel lengths (Lg) greater than a threshold length of 40 nanometers correspond to lower (better) SS and a tighter distribution of SS, for example, between 170 mV/dec and 420 mV/dec for Lg of 50 nm. Conversely, shorter WL CD or channel lengths (Lg) correspond to higher (worse) SS and a wider distribution of SS. For instance, Lg of 20 nm corresponds to SS between 200 mV/dec and 580 mV/dec, as opposed to 170 mV/dec and 420 mV/dec for Lg of 50 nm. As described herein, tighter distributions of sub-threshold slopes correspond to more consistent and predictable sub-threshold current leakage, and lead to higher performance and more reliable memory devices.
  • FIG. 14 illustrates results from simulation of threshold voltage VT distributions in the dual gate memory cell with various channel lengths or word line critical dimensions (WL CD) at fixed word line (WL) half pitch of 38 nm. Conditions for results shown in FIG. 14 are generally the same as for FIG. 12. The difference in FIG. 14 is that the device is in a “programmed state” or a high threshold state after programming of memory cells, instead of the “fresh state” or a low threshold state as in
  • FIG. 12. The results show that WL CD or channel lengths (Lg) greater than a threshold length of 38 nanometers correspond to tighter VT distributions, for example, between 5.6V and 6.8V for Lg of 50 nm. Conversely, shorter WL CD or channel length (Lg) causes VT roll-off and wider VT distributions. For instance, VT distribution for Lg of 20 nm is between 2.6V and 4.2V, as opposed to between 5.6V and 6.8V for Lg of 50 nm.
  • FIG. 15 illustrates results from simulation of sub-threshold slope (SS) distributions in the dual gate memory cell under generally the same conditions as described for FIG. 13. The difference in FIG. 15 is that the device is in a “programmed state” or a high threshold state after programming of memory cells, instead of the “fresh state” or a low threshold state as in FIG. 13. The results show that WL CD or channel lengths (Lg) greater than a threshold length of 40 nanometers correspond to lower (better) SS and a tighter distribution of SS, for example, between 170 mV/dec and 430 mV/dec for Lg of 50 nm. Conversely, shorter WL CD or channel lengths (Lg) correspond to higher (worse) SS and a wider distribution of SS. For instance, Lg of 20 nm corresponds to SS between 170 mV/dec and 670 mV/dec, as opposed to 170 mV/dec and 430 mV/dec for Lg of 50 nm. As described herein, tighter distributions of sub-threshold slopes correspond to more consistent and predictable sub-threshold current leakage, and lead to higher performance and more reliable memory devices.
  • FIGS. 12-15 demonstrate benefits provided by WL CD or channel lengths (Lg) greater than a threshold length where for both fresh and programmed (low threshold and high threshold) states, severity of VT roll-off is reduced and tighter distributions of VT and SS are achieved. Since VT roll-off can lead to programming window roll-off, when severity of VT roll-off is reduced, potential for programming window roll-off is also reduced. Programming window roll-off is further described in connection with FIGS. 20A and 20B. As described herein, wider distributions of memory cell characteristics including VT and SS can lead to more conservative design of memory devices in order to tolerate the worst case VT and the worst case SS. Accordingly, tighter distributions of VT and SS correspond to more consistent and predictable threshold voltage and sub-threshold current leakage, and can lead to higher performance and more reliable memory devices.
  • FIG. 16 illustrates results from simulation of threshold voltage VT distributions in the dual gate memory cell with various channel body depths, set by bit line critical dimensions (BL). Channel body depths used in the simulation include 10 nm, 20 nm, 30 nm, and 40 nm. The results illustrated in FIG. 12 are for the “fresh state,” or the initial VT distribution before programming of memory cells. The results show that channel body depths less than a threshold thickness of 20 nanometers correspond to tighter VT distributions, for example, between 0.4V and 0.8V for BL of 10 nm. Conversely, larger channel body depths correspond to wider Vt distributions. For instance, VT distribution for BL of 40 nm is between 0V and 1.5V, as opposed to between 0.4V and 0.8V for BL of 10 nm. The results seen at threshold body depth of 20 nanometers used in the simulations suggest a critical threshold of about 20 nm, where the term about variations on the order of +/−2 nm, or between 18 nm and 22 nm that arise due to limitations in the simulation accuracy, the granularity of the measurement used in the simulations, and other variations in cell structures.
  • FIG. 17 illustrates results from simulation of sub-threshold slope (SS) distributions in the dual gate memory cell under the same conditions as described for FIG. 16. The results show that channel body depths, set by bit line critical dimensions (BL) less than a threshold thickness of 20 nanometers correspond to lower (better) SS and a tighter distribution of SS, for example, between 100 mV/dec and 180 mV/dec for BL of 10 nm. Conversely, larger bit line critical dimensions (BL) correspond to higher (worse) SS and a wider distribution of SS. For instance, BL of 40 nm corresponds to SS between 220 mV/dec and 580 mV/dec, as opposed to 100 mV/dec and 180 mV/dec for BL of 10 nm.
  • FIG. 18 illustrates results from simulation of threshold voltage VT distributions in the dual gate memory cell with various channel body depths, set by bit line critical dimensions (BL). Conditions for results shown in FIG. 18 are generally the same as for FIG. 16. The difference in FIG. 16 is that the device is in a “programmed state” or a high threshold state, instead of a “fresh state” or a low threshold state. The results show that bit line critical dimensions (BL) less than a threshold thickness of 20 nanometers correspond to tighter VT distributions, for example, between 5.3V and 6V for BL of 10 nm. Conversely, larger bit line critical dimensions (BL) correspond to wider VT distributions. For instance, VT distribution for BL of 40 nm is between 4.3V and 6.4V, as opposed to between 5.3V and 6V for BL of 10 nm.
  • FIG. 19 illustrates results from simulation of sub-threshold slope (SS) distributions in the dual gate memory cell under the same conditions as described for FIG. 18. The results show that channel body depths, set by bit line critical dimensions (BL) less than a threshold thickness correspond to lower (better) SS and a tighter distribution of SS, for example, between 75 mV/dec and 225 mV/dec for BL of 10 nm. Conversely, larger bit line critical dimensions (BL) correspond to higher (worse) SS and a wider distribution of SS. For instance, BL of 40 nm corresponds to SS between 225 mV/dec and 975 mV/dec, as opposed to 75 mV/dec and 225 mV/dec for BL of 10 nm.
  • FIGS. 16-19 demonstrate benefits provided by channel body depths, set by bit line critical dimensions (BL), less than a threshold thickness of about 30 nanometers, where for both fresh and programmed (low threshold and high threshold) states, tighter VT distributions, tighter SS distributions, and lower SS are achieved. As described herein, wider distributions of memory cell characteristics including VT and SS can lead to more conservative design of memory devices in order to tolerate the worst case VT and the worst case SS. Accordingly, tighter distributions of VT and SS correspond to more consistent and predictable threshold voltage and sub-threshold current leakage, and can lead to higher performance and more reliable memory devices. Lower SS corresponds to lower power consumption in memory cells.
  • FIGS. 20A-20D illustrate results from simulation of programming windows in the dual gate memory cell. The results are based on threshold voltage VT distributions in fresh and programmed states, or low and high threshold states respectively, at various channel lengths or word line effective critical dimensions (WL ECD) and various channel body depths set by bit line effective critical dimensions (BL ECD) as illustrated in FIGS. 12-19.
  • FIG. 20A illustrates VT distributions for word line effective critical dimensions (WL ECD) at channel lengths of 20 nm, 25 nm, 30 nm, 38 nm, and 50 nm for the fresh state and programmed state. For instance, in the fresh state, VT distribution 2001 a for channel length of 20 nm is between −0.8V and 0.4V, while VT distribution 2002 a for channel length of 50 nm is between 0.4V and 1.4V. In the programmed state, VT distribution 2003 a for channel length of 20 nm is between 2.6V and 4.2V, while VT distribution 2004 a for channel length of 50 nm is between 5.6V and 6.8V. Simulation results for the fresh state used in FIG. 20A are based on the simulation results used in FIG. 12. Simulation results for the programmed state used in FIG. 20A are based on the simulation results used in FIG. 14.
  • Threshold voltage VT roll-off refers to the phenomenon that as channel lengths decrease, threshold voltage VT decreases. As illustrated in FIG. 20A, in the programmed state or the high threshold state, VT decreases from the VT distribution 2004 a for channel length of 50 nm between 5.6V and 6.8V to the VT distribution 2003 a for channel length of 20 nm between 2.6V and 4.2V. In the fresh state or the low threshold state, VT decreases from the VT distribution 2002 a for channel length of 50 nm between 0.4V and 1.4V to the VT distribution 2001 a for channel length of 20 nm between −0.8V and 0.4V. Thus, VT roll-off is more severe in the programmed state than in the fresh state.
  • Consequently, channel lengths or word line critical dimensions (WL CD) have effects on programming windows or margins between the VT distributions for the programmed state and the VT distributions for the fresh state. As channel lengths or word line critical dimensions (WL CD) increase, programming windows or margins are improved. For instance, at channel length of about 20 nm, an average value for the VT distribution for the programmed state is about 3.5V, while an average value for the VT distribution for the fresh state is about −0.1V. Therefore an average programming window or margin at channel length of about 20 nm is about 3.5V minus −0.1V or 3.6V. At channel length of 50 nm, an average value for the VT distribution for the programmed state is about 6.2V, while an average value for the VT distribution for the fresh state is about 1.0V. Therefore an average programming window or margin at channel length of 50 nm is improved to about 6.2V minus 1.0V or 5.2V (2015 a), from about 3.6V (2011 a) for channel length of 20 nm. Improved programming windows or margins can more reliably distinguish between high and low threshold states of memory cells.
  • A scaling limitation because of the short channel effect in Double Gate MOSFET can be understood by the function defining the gate length of the call, as follows:
  • Lg >= 1.5 λ , λ = 2 ti + ɛ Si ɛ i t Si ,
  • where ti is EOT of the charge storage structure, and tsi is semiconductor bit line width. Therefore, ONO of the charge storage structure should be lower than the width of the vertical gate structures that defines gate length Lg for the cells.
  • FIG. 20B illustrates programming (PGM) windows between the Vt distributions for the programmed state and the VT distributions for the fresh state as illustrated in FIG. 20A. FIG. 20B illustrates that channel lengths greater than a threshold length of about 38 nanometers correspond to larger programming windows or margins. For instance, the programming window at channel length of 50 nm is improved to about 5.2V (2015 b) from about 3.6V (2011 b) for channel length of 20 nm. Conversely, shorter channel lengths correspond to smaller programming windows, or cause “programming window roll-off”.
  • FIG. 20C illustrates VT distributions for bit line effective critical dimensions (BL ECD) used in the simulation at bit line (BL) widths of 10 nm, 20 nm, 30 nm, and 40 nm for the fresh state and programmed (PGM) state. For instance, in the fresh state, VT distribution 2001 c for BL width of 10 nm is between 0.4V and 0.8V, while VT distribution 2002 c for BL width of 40 nm is between 0V and 1.5V. In the programmed state, VT distribution 2003 c for BL width of 10 nm is between 5.3V and 6V, while VT distribution 2004 c for BL width of 40 nm is between 4.3V and 6.4V. Simulation results for the fresh state used in FIG. 20C are based on the simulation results used in FIG. 16. Simulation results for the programmed state used in FIG. 20C are based on the simulation results used in FIG. 18.
  • FIG. 20D illustrates programming (PGM) windows between the VT distributions for the programmed state and the VT distributions for the fresh state as illustrated in FIG. 20C. FIG. 20D illustrates that BL widths less than a threshold thickness of 20 nanometers correspond to tighter VT distributions, and to wider programming windows. Conversely, larger BL widths correspond to wider VT distributions, and to smaller programming windows. For instance, at BL width of 40 nm, the programming window is less than 3.9V, while at BL width of 20 nm, the programming window is less than 4.3V. For instance, at BL width of 40 nm, the VT distributions is between 3.9V and 4.9V, while at BL width of 20 nm, the VT distributions is between 4.3V and 4.8V. As described herein, tighter VT distributions correspond to more consistent and predictable threshold voltage, and improved programming windows or sensing margins can more reliably distinguish between high and low threshold states of memory cells.
  • In the example shown in FIGS. 20A-20D, a relatively large programming window or sensing margin of about 4V centered around a read voltage less than 4 Volts, corresponds to a channel body having a combination of a channel body length greater than about 38 nanometers and a channel body depth (BL width) less than about 20 nanometers. The read voltage less than 4 Volts is applied to the word line or gate during a read operation, where the 4 Volts is measured relative to a reference voltage such as ground applied for example to a common source line coupled to the memory cell during a read bias for the cell.
  • While the present invention is disclosed by reference to the preferred embodiments and examples detailed above, it is to be understood that these examples are intended in an illustrative rather than in a limiting sense. It is contemplated that modifications and combinations will readily occur to those skilled in the art, which modifications and combinations will be within the spirit of the invention and the scope of the following claims.

Claims (11)

What is claimed is:
1. A device, comprising:
a dual gate memory cell having a channel body with opposing first and second side surfaces, charge storage structures on the first and second side surfaces, and a gate structure overlying the charge storage structures on the first and second side surfaces, the channel body having a depth between the first and second side surfaces so that a channel body depth of the memory cell is less than a threshold channel body depth for fully depleted operation when the memory cell has a high threshold state under a read bias, and the gate structure having a width along the first and second side surfaces so that an effective channel length of the cell is greater than a threshold length to suppress sub-threshold leakage current when the memory cell has a high threshold state under the read bias.
2. The device of claim 1, wherein the charge storage structures comprise memory elements that include dielectric charge trapping structures comprising a tunneling layer, a dielectric charge trapping layer and a blocking layer having in combination an equivalent oxide thickness, and where the gate structure width is more than the equivalent oxide thickness of the dielectric charge trapping structures.
3. The device of claim 1 wherein the memory cell comprises a dielectric charge trapping memory cell.
4. The device of claim 1, wherein said threshold channel body depth is between 10 nm and 30 nm, and said threshold length is at least ⅔ the channel body depth.
5. A device, comprising:
a dual gate memory cell having a channel body with opposing first and second side surfaces, charge storage structures on the first and second side surfaces, and a gate structure overlying the charge storage structures on the first and second side surfaces, wherein the charge storage structure includes a dielectric charge trapping structure comprising a tunneling layer, a dielectric charge trapping layer and a blocking layer having in combination an equivalent oxide thickness, wherein the channel body has a depth between the first and second side surfaces so that a channel body depth of the memory cell is less than 40 nanometers, and the gate structure has a width along the first and second side surfaces so that an effective channel length of the cell is greater than ⅔ the effective oxide thickness and more than the channel body depth.
6. The device of claim 5 wherein the channel body depth of the memory cell is less than a threshold channel body depth for fully depleted operation when the memory cell has a high threshold state under a read bias, and the gate structure having a width along the first and second side surfaces so that an effective channel length of the cell is greater than a threshold length to suppress sub-threshold leakage current when the memory cell has a high threshold state under the read bias.
7. A device, comprising:
a plurality of stacks of semiconductor bit line strips including at least two semiconductor bit line strips separated by insulating material into different plane positions of a plurality of plane positions, the strips having opposing first and second side surfaces;
a plurality of word lines arranged orthogonally over, and having surfaces conformal with, the plurality of stacks, such that a 3D array of interface regions is established at cross-points between the first and second side surfaces of the strips in the plurality of stacks and the plurality of word lines; and
charge storage structures in the interface regions, which establish a 3D array of memory cells accessible via the plurality of semiconductor bit line strips and the plurality of word lines, the memory cells arranged in strings between bit line structures and source line structures, the charge storage structures including dielectric charge trapping structures comprising a tunneling layer, a dielectric charge trapping layer and a blocking layer having in combination an equivalent oxide thickness;
wherein the semiconductor bit line strips have depths between the first and second side surfaces so that channel body depths of the memory cells have depths between the first and second side surfaces so that a channel body depths of the memory cells are less than 40 nanometers, and the word lines have widths along the first and second side surfaces so that an effective channel length of the cell is greater than ⅔ the effective oxide thickness and more than the channel body depth.
8. The device of claim 7 wherein the channel body depths of the memory cells are less than a threshold channel body depth for fully depleted operation when the memory cells have a high threshold state under a read bias, and the gate structures having a width along the first and second side surfaces so that an effective channel length of the cells is greater than a threshold length to suppress sub-threshold leakage current when the memory cells have a high threshold state under the read bias.
9. A memory device, comprising:
an integrated circuit substrate; and
a 3D array of dual gate memory cells on the integrated circuit substrate, the 3D array including stacks of NAND strings of memory cells, the dual gate memory cells having channel body depths less than a threshold channel body depth for fully depleted operation when the corresponding memory cell has a high threshold state under a read bias, and effective channel lengths greater than a threshold length to suppress sub-threshold leakage current when the corresponding memory cell has a high threshold state under the read bias.
10. The device of claim 9, wherein the memory cells comprise memory elements that include charge trapping structures comprising a tunneling layer, a dielectric charge trapping layer and a blocking layer.
11. The device of claim 9 wherein a channel body depth of the memory cells is less than 40 nanometers, and a gate structure has a width along first and second side surfaces of the memory cells so that the effective channel lengths of the cells are greater than ⅔ an effective oxide thickness of charge storage structures of the cells and more than the channel body depth.
US14/956,022 2013-03-12 2015-12-01 Memory architecture of thin film 3d array Abandoned US20160086665A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/956,022 US20160086665A1 (en) 2013-03-12 2015-12-01 Memory architecture of thin film 3d array

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361778377P 2013-03-12 2013-03-12
US13/970,482 US9214351B2 (en) 2013-03-12 2013-08-19 Memory architecture of thin film 3D array
US14/956,022 US20160086665A1 (en) 2013-03-12 2015-12-01 Memory architecture of thin film 3d array

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/970,482 Division US9214351B2 (en) 2013-03-12 2013-08-19 Memory architecture of thin film 3D array

Publications (1)

Publication Number Publication Date
US20160086665A1 true US20160086665A1 (en) 2016-03-24

Family

ID=51526488

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/970,482 Active 2033-12-07 US9214351B2 (en) 2013-03-12 2013-08-19 Memory architecture of thin film 3D array
US14/956,022 Abandoned US20160086665A1 (en) 2013-03-12 2015-12-01 Memory architecture of thin film 3d array

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/970,482 Active 2033-12-07 US9214351B2 (en) 2013-03-12 2013-08-19 Memory architecture of thin film 3D array

Country Status (1)

Country Link
US (2) US9214351B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11380706B2 (en) 2019-08-08 2022-07-05 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9766972B2 (en) 2014-08-07 2017-09-19 Pure Storage, Inc. Masking defective bits in a storage array
US9558069B2 (en) 2014-08-07 2017-01-31 Pure Storage, Inc. Failure mapping in a storage array
US9419006B2 (en) * 2014-09-24 2016-08-16 Sandisk Technologies Llc Process for 3D NAND memory with socketed floating gate cells
TWI550872B (en) * 2014-10-28 2016-09-21 旺宏電子股份有限公司 Semiconductor apparatus and manufacturing method of the same
US9349746B1 (en) * 2015-01-12 2016-05-24 Macronix International Co., Ltd. Method of fabricating deep trench semiconductor devices, and deep trench semiconductor devices
TWI575666B (en) * 2015-10-26 2017-03-21 旺宏電子股份有限公司 Three dimensional memory device and method for fabricating the same
US10395612B2 (en) * 2015-12-03 2019-08-27 Innolux Corporation Driver circuit
US9941293B1 (en) 2016-10-12 2018-04-10 Sandisk Technologies Llc Select transistors with tight threshold voltage in 3D memory
US9747158B1 (en) * 2017-01-13 2017-08-29 Pure Storage, Inc. Intelligent refresh of 3D NAND
US10128265B2 (en) 2017-01-18 2018-11-13 Micron Technology, Inc. Memory cells, integrated structures and memory arrays
US10854618B2 (en) * 2017-09-28 2020-12-01 Taiwan Semiconductor Manufacturing Company Ltd. Memory device and method of forming the same
US10559588B2 (en) * 2018-01-12 2020-02-11 Sandisk Technologies Llc Three-dimensional flat inverse NAND memory device and method of making the same
US10700086B2 (en) 2018-06-28 2020-06-30 Sandisk Technologies Llc Three-dimensional flat NAND memory device having high mobility channels and methods of making the same
JP2020123009A (en) * 2019-01-29 2020-08-13 キオクシア株式会社 Calculation device, simulation supporting device, and program

Family Cites Families (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4460984A (en) 1981-12-30 1984-07-17 International Business Machines Corporation Memory array with switchable upper and lower word lines
US4881114A (en) 1986-05-16 1989-11-14 Actel Corporation Selectively formable vertical diode circuit element
FR2681472B1 (en) 1991-09-18 1993-10-29 Commissariat Energie Atomique PROCESS FOR PRODUCING THIN FILMS OF SEMICONDUCTOR MATERIAL.
US5329486A (en) 1992-04-24 1994-07-12 Motorola, Inc. Ferromagnetic memory device
JP3229012B2 (en) 1992-05-21 2001-11-12 株式会社東芝 Method for manufacturing semiconductor device
US5751012A (en) 1995-06-07 1998-05-12 Micron Technology, Inc. Polysilicon pillar diode for use in a non-volatile memory cell
JP2809200B2 (en) 1996-06-03 1998-10-08 日本電気株式会社 Method for manufacturing semiconductor device
US5912489A (en) 1996-06-18 1999-06-15 Advanced Micro Devices, Inc. Dual source side polysilicon select gate structure utilizing single tunnel oxide for NAND array flash memory
US6147395A (en) 1996-10-02 2000-11-14 Micron Technology, Inc. Method for fabricating a small area of contact between electrodes
US5891805A (en) 1996-12-13 1999-04-06 Intel Corporation Method of forming contacts
US6475704B1 (en) 1997-09-12 2002-11-05 Canon Kabushiki Kaisha Method for forming fine structure
US5993667A (en) 1997-10-20 1999-11-30 Texaco Inc. Process for removing selenium from refinery process water and waste water streams
US5991193A (en) 1997-12-02 1999-11-23 International Business Machines Corporation Voltage biasing for magnetic ram with magnetic tunnel memory cells
US6124729A (en) 1998-02-27 2000-09-26 Micron Technology, Inc. Field programmable logic arrays with vertical transistors
US6034882A (en) 1998-11-16 2000-03-07 Matrix Semiconductor, Inc. Vertically stacked field programmable nonvolatile memory and method of fabrication
EP1284017A4 (en) 2000-04-28 2008-10-08 Matrix Semiconductor Inc Three-dimensional memory array and method of fabrication
US6888750B2 (en) 2000-04-28 2005-05-03 Matrix Semiconductor, Inc. Nonvolatile memory on SOI and compound semiconductor substrates and method of fabrication
JP5792918B2 (en) 2000-08-14 2015-10-14 サンディスク・スリー・ディ・リミテッド・ライアビリティ・カンパニーSandisk 3D Llc Highly integrated memory device
US6624011B1 (en) 2000-08-14 2003-09-23 Matrix Semiconductor, Inc. Thermal processing for three dimensional circuits
US6989602B1 (en) 2000-09-21 2006-01-24 Agere Systems Inc. Dual damascene process with no passing metal features
DE10050076C2 (en) 2000-10-10 2003-09-18 Infineon Technologies Ag Method for producing a ferromagnetic structure and ferromagnetic component
US6555858B1 (en) 2000-11-15 2003-04-29 Motorola, Inc. Self-aligned magnetic clad write line and its method of formation
JP4044755B2 (en) 2000-12-12 2008-02-06 三星電子株式会社 Nonvolatile semiconductor memory device and program method thereof
US6480419B2 (en) 2001-02-22 2002-11-12 Samsung Electronics Co., Ltd. Bit line setup and discharge circuit for programming non-volatile memory
US6817531B2 (en) 2001-03-07 2004-11-16 Hewlett-Packard Development Company, L.P. Apparatus and methods for marking content of memory storage devices
US6646912B2 (en) 2001-06-05 2003-11-11 Hewlett-Packard Development Company, Lp. Non-volatile memory
US6605527B2 (en) 2001-06-30 2003-08-12 Intel Corporation Reduced area intersection between electrode and programming element
US6593624B2 (en) 2001-09-25 2003-07-15 Matrix Semiconductor, Inc. Thin film transistors with vertically offset drain regions
US6507061B1 (en) 2001-08-31 2003-01-14 Intel Corporation Multiple layer phase-change memory
US7045383B2 (en) 2001-09-19 2006-05-16 BAE Systems Information and Ovonyx, Inc Method for making tapered opening for programmable resistance memory element
US6579760B1 (en) 2002-03-28 2003-06-17 Macronix International Co., Ltd. Self-aligned, programmable phase change memory
US6643159B2 (en) 2002-04-02 2003-11-04 Hewlett-Packard Development Company, L.P. Cubic memory array
US7623370B2 (en) 2002-04-04 2009-11-24 Kabushiki Kaisha Toshiba Resistance change memory device
US6906361B2 (en) 2002-04-08 2005-06-14 Guobiao Zhang Peripheral circuits of electrically programmable three-dimensional memory
US6570795B1 (en) 2002-04-10 2003-05-27 Hewlett-Packard Development Company, L.P. Defective memory component of a memory device used to represent a data bit in a bit sequence
US7081377B2 (en) 2002-06-27 2006-07-25 Sandisk 3D Llc Three-dimensional memory
US6737675B2 (en) 2002-06-27 2004-05-18 Matrix Semiconductor, Inc. High density 3D rail stack arrays
US6862223B1 (en) 2002-07-05 2005-03-01 Aplus Flash Technology, Inc. Monolithic, combo nonvolatile memory allowing byte, page and block write with no disturb and divided-well in the cell array using a unified cell structure and technology with a new scheme of decoder and layout
US6828240B2 (en) 2002-08-02 2004-12-07 Advanced Micro Devices, Inc. Method of manufacturing multi-level contacts by sizing of contact sizes in integrated circuits
US6831854B2 (en) 2002-08-02 2004-12-14 Unity Semiconductor Corporation Cross point memory array using distinct voltages
US6864503B2 (en) 2002-08-09 2005-03-08 Macronix International Co., Ltd. Spacer chalcogenide memory method and device
KR100448908B1 (en) 2002-09-03 2004-09-16 삼성전자주식회사 Phase-changeable memory device structure and method for fabricating the same
US6858899B2 (en) 2002-10-15 2005-02-22 Matrix Semiconductor, Inc. Thin film transistor with metal oxide layer and method of making same
US6888755B2 (en) 2002-10-28 2005-05-03 Sandisk Corporation Flash memory cell arrays having dual control gates per memory cell charge storage element
US6869883B2 (en) 2002-12-13 2005-03-22 Ovonyx, Inc. Forming phase change memories
US6849905B2 (en) 2002-12-23 2005-02-01 Matrix Semiconductor, Inc. Semiconductor device with localized charge storage dielectric and method of making same
US7005350B2 (en) 2002-12-31 2006-02-28 Matrix Semiconductor, Inc. Method for fabricating programmable memory array structures incorporating series-connected transistor strings
WO2004084229A1 (en) 2003-03-18 2004-09-30 Kabushiki Kaisha Toshiba Programmable resistance memory device
KR100560659B1 (en) 2003-03-21 2006-03-16 삼성전자주식회사 Phase change memory device structure and method for fabricating the same
US6879505B2 (en) 2003-03-31 2005-04-12 Matrix Semiconductor, Inc. Word line arrangement having multi-layer word line segments for three-dimensional memory array
US7233024B2 (en) 2003-03-31 2007-06-19 Sandisk 3D Llc Three-dimensional memory device incorporating segmented bit line memory array
WO2004090984A1 (en) 2003-04-03 2004-10-21 Kabushiki Kaisha Toshiba Phase change memory device
US7459715B2 (en) 2003-04-03 2008-12-02 Kabushiki Kaisha Toshiba Resistance change memory device
KR100512178B1 (en) 2003-05-28 2005-09-02 삼성전자주식회사 Semiconductor memory device having flexible column redundancy scheme
US7291878B2 (en) 2003-06-03 2007-11-06 Hitachi Global Storage Technologies Netherlands B.V. Ultra low-cost solid-state memory
US20050006719A1 (en) 2003-06-24 2005-01-13 Erh-Kun Lai [three-dimensional memory structure and manufacturing method thereof]
KR100615586B1 (en) 2003-07-23 2006-08-25 삼성전자주식회사 Phase change memory device including localized phase transition area in porous dielectric layer and method of forming the same
US6815704B1 (en) 2003-09-04 2004-11-09 Silicon Storage Technology, Inc. Phase change memory device employing thermally insulating voids
DE10349750A1 (en) 2003-10-23 2005-05-25 Commissariat à l'Energie Atomique Phase change memory, phase change memory arrangement, phase change memory cell, 2D phase change memory cell array, 3D phase change memory cell array and electronic component
US7485891B2 (en) 2003-11-20 2009-02-03 International Business Machines Corporation Multi-bit phase change memory cell and multi-bit phase change memory including the same, method of forming a multi-bit phase change memory, and method of programming a multi-bit phase change memory
US7265050B2 (en) 2003-12-12 2007-09-04 Samsung Electronics Co., Ltd. Methods for fabricating memory devices using sacrificial layers
US7241654B2 (en) 2003-12-17 2007-07-10 Micron Technology, Inc. Vertical NROM NAND flash memory array
US7148538B2 (en) 2003-12-17 2006-12-12 Micron Technology, Inc. Vertical NAND flash memory array
KR20070093150A (en) 2004-01-10 2007-09-17 에이치브이브이아이 세미콘덕터즈, 인크. Power semiconductor device and method therefor
US6906940B1 (en) 2004-02-12 2005-06-14 Macronix International Co., Ltd. Plane decoding method and device for three dimensional memories
US20050230724A1 (en) 2004-04-16 2005-10-20 Sharp Laboratories Of America, Inc. 3D cross-point memory array with shared connections
US7378702B2 (en) 2004-06-21 2008-05-27 Sang-Yun Lee Vertical memory device structures
KR100668824B1 (en) 2004-06-30 2007-01-16 주식회사 하이닉스반도체 Phase-change memory device and method for manufacturing the same
JP2006019455A (en) 2004-06-30 2006-01-19 Nec Electronics Corp Semiconductor device and manufacturing method thereof
TW200620473A (en) 2004-09-08 2006-06-16 Renesas Tech Corp Nonvolatile memory device
TWI254443B (en) 2004-10-08 2006-05-01 Ind Tech Res Inst Multilevel phase-change memory, manufacture method and status transferring method thereof
JP2006128390A (en) 2004-10-28 2006-05-18 Toshiba Corp Semiconductor device and manufacturing method therefor
US7608503B2 (en) 2004-11-22 2009-10-27 Macronix International Co., Ltd. Side wall active pin memory and manufacturing method
US7177191B2 (en) 2004-12-30 2007-02-13 Sandisk 3D Llc Integrated circuit including memory array incorporating multiple types of NAND string structures
US7315474B2 (en) 2005-01-03 2008-01-01 Macronix International Co., Ltd Non-volatile memory cells, memory arrays including the same and methods of operating cells and arrays
US7709334B2 (en) 2005-12-09 2010-05-04 Macronix International Co., Ltd. Stacked non-volatile memory device and methods for fabricating the same
US7473589B2 (en) 2005-12-09 2009-01-06 Macronix International Co., Ltd. Stacked thin film transistor, non-volatile memory devices and methods for fabricating the same
US7361925B2 (en) 2005-02-10 2008-04-22 Infineon Technologies Ag Integrated circuit having a memory including a low-k dielectric material for thermal isolation
US8824205B2 (en) 2005-04-11 2014-09-02 Micron Technology, Inc. Non-volatile electronic memory device with NAND structure being monolithically integrated on semiconductor
US8217490B2 (en) 2005-05-09 2012-07-10 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US7321130B2 (en) 2005-06-17 2008-01-22 Macronix International Co., Ltd. Thin film fuse phase change RAM and manufacturing method
US7381982B2 (en) 2005-08-26 2008-06-03 Macronix International Co., Ltd. Method for fabricating chalcogenide-applied memory
US20070045606A1 (en) 2005-08-30 2007-03-01 Michele Magistretti Shaping a phase change layer in a phase change memory cell
US7420242B2 (en) 2005-08-31 2008-09-02 Macronix International Co., Ltd. Stacked bit line dual word line nonvolatile memory
US7786460B2 (en) 2005-11-15 2010-08-31 Macronix International Co., Ltd. Phase change memory device and manufacturing method
US7829876B2 (en) 2005-11-21 2010-11-09 Macronix International Co., Ltd. Vacuum cell thermal isolation for a phase change memory device
JP4476919B2 (en) 2005-12-01 2010-06-09 株式会社東芝 Nonvolatile memory device
US20070132049A1 (en) 2005-12-12 2007-06-14 Stipe Barry C Unipolar resistance random access memory (RRAM) device and vertically stacked architecture
US7365018B2 (en) 2005-12-28 2008-04-29 Sandisk Corporation Fabrication of semiconductor device for flash memory with increased select gate width
JP4822841B2 (en) 2005-12-28 2011-11-24 株式会社東芝 Semiconductor memory device and manufacturing method thereof
US7560337B2 (en) 2006-01-09 2009-07-14 Macronix International Co., Ltd. Programmable resistive RAM and manufacturing method
JP5016832B2 (en) 2006-03-27 2012-09-05 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
US7781154B2 (en) 2006-03-28 2010-08-24 Applied Materials, Inc. Method of forming damascene structure
US20070253233A1 (en) 2006-03-30 2007-11-01 Torsten Mueller Semiconductor memory device and method of production
US8896045B2 (en) 2006-04-19 2014-11-25 Infineon Technologies Ag Integrated circuit including sidewall spacer
JP2008034456A (en) 2006-07-26 2008-02-14 Toshiba Corp Nonvolatile semiconductor memory device
US7394089B2 (en) 2006-08-25 2008-07-01 International Business Machines Corporation Heat-shielded low power PCM-based reprogrammable EFUSE device
JP2008078404A (en) 2006-09-21 2008-04-03 Toshiba Corp Semiconductor memory and manufacturing method thereof
US7504653B2 (en) 2006-10-04 2009-03-17 Macronix International Co., Ltd. Memory cell device with circumferentially-extending memory element
US20080090400A1 (en) 2006-10-17 2008-04-17 Cheek Roger W Self-aligned in-contact phase change memory device
US7697344B2 (en) 2006-11-03 2010-04-13 Samsung Electronics Co., Ltd. Memory device and method of operating and fabricating the same
US7778063B2 (en) 2006-11-08 2010-08-17 Symetrix Corporation Non-volatile resistance switching memories and methods of making same
KR100881181B1 (en) 2006-11-13 2009-02-05 삼성전자주식회사 Semiconductor memory device and method of fabricating for the same
US7728318B2 (en) 2006-11-16 2010-06-01 Sandisk Corporation Nonvolatile phase change memory cell having a reduced contact area
US7646041B2 (en) 2006-12-04 2010-01-12 Samsung Electronics Co., Ltd. Non-volatile memory devices including vertical channels, methods of operating, and methods of fabricating the same
KR101169396B1 (en) 2006-12-22 2012-07-30 삼성전자주식회사 Non-volatile memory device and method of operating the same
JP2008160004A (en) 2006-12-26 2008-07-10 Toshiba Corp Semiconductor memory and manufacturing method therefor
US7718989B2 (en) 2006-12-28 2010-05-18 Macronix International Co., Ltd. Resistor random access memory cell device
JP4945248B2 (en) 2007-01-05 2012-06-06 株式会社東芝 Memory system, semiconductor memory device and driving method thereof
JP5091491B2 (en) 2007-01-23 2012-12-05 株式会社東芝 Nonvolatile semiconductor memory device
US7884343B2 (en) 2007-02-14 2011-02-08 Macronix International Co., Ltd. Phase change memory cell with filled sidewall memory element and method for fabricating the same
US7382647B1 (en) 2007-02-27 2008-06-03 International Business Machines Corporation Rectifying element for a crosspoint based memory array architecture
EP1975998A3 (en) 2007-03-26 2013-12-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing a plurality of island-shaped SOI structures
US7514321B2 (en) 2007-03-27 2009-04-07 Sandisk 3D Llc Method of making three dimensional NAND memory
US7848145B2 (en) 2007-03-27 2010-12-07 Sandisk 3D Llc Three dimensional NAND memory
JP2008277543A (en) 2007-04-27 2008-11-13 Toshiba Corp Nonvolatile semiconductor memory device
TWI333273B (en) 2007-05-02 2010-11-11 Powerchip Technology Corp Methods for reducing a contact area between heating electrode and phase-change material layer, phase-change memory devices and methods for fabricating the same
US20080285350A1 (en) 2007-05-18 2008-11-20 Chih Chieh Yeh Circuit and method for a three dimensional non-volatile memory
JP2009016400A (en) 2007-06-29 2009-01-22 Toshiba Corp Multilayer wiring structure and manufacturing method thereof, and semiconductor device and manufacturing method thereof
US7745807B2 (en) 2007-07-11 2010-06-29 International Business Machines Corporation Current constricting phase change memory element structure
US7995371B2 (en) 2007-07-26 2011-08-09 Unity Semiconductor Corporation Threshold device for a memory array
JP5376789B2 (en) 2007-10-03 2013-12-25 株式会社東芝 Nonvolatile semiconductor memory device and control method of nonvolatile semiconductor memory device
KR20090037690A (en) 2007-10-12 2009-04-16 삼성전자주식회사 Non-volatile memory device, method of operating the same and method of fabricating the same
JP2009135448A (en) 2007-11-01 2009-06-18 Semiconductor Energy Lab Co Ltd Method for manufacturing semiconductor substrate, and method for manufacturing semiconductor device
TW200926356A (en) 2007-12-11 2009-06-16 Ind Tech Res Inst Method for fabricating phase-change memory
US7663900B2 (en) 2007-12-31 2010-02-16 Hitachi Global Storage Technologies Netherlands B.V. Tree-structure memory device
US8236623B2 (en) 2007-12-31 2012-08-07 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element and methods of forming the same
KR20090079694A (en) 2008-01-18 2009-07-22 삼성전자주식회사 Non-volatile memory device and method of fabricating the same
US8269208B2 (en) 2008-03-07 2012-09-18 Ovonyx, Inc. Memory device
US7910973B2 (en) 2008-03-17 2011-03-22 Kabushiki Kaisha Toshiba Semiconductor storage device
JP2009238874A (en) 2008-03-26 2009-10-15 Toshiba Corp Semiconductor memory and method for manufacturing the same
US7868313B2 (en) 2008-04-29 2011-01-11 International Business Machines Corporation Phase change memory device and method of manufacture
JP5288877B2 (en) 2008-05-09 2013-09-11 株式会社東芝 Nonvolatile semiconductor memory device
JP2009295694A (en) 2008-06-03 2009-12-17 Toshiba Corp Non-volatile semiconductor storage device and manufacturing method thereof
KR101539697B1 (en) 2008-06-11 2015-07-27 삼성전자주식회사 Three Dimensional Memory Device Using Vertical Pillar As Active Region And Methods Of Fabricating And Operating The Same
KR20100001260A (en) 2008-06-26 2010-01-06 삼성전자주식회사 Non-volatile memory device and method of fabricating the same
KR20100007229A (en) 2008-07-11 2010-01-22 삼성전자주식회사 Non-volatile memory device and method of fabricating the same
JP2010027870A (en) 2008-07-18 2010-02-04 Toshiba Corp Semiconductor memory and manufacturing method thereof
KR101469105B1 (en) 2008-07-24 2014-12-05 삼성전자주식회사 Non-volatile semiconductor memory device and memory system including the same
KR20080091416A (en) 2008-08-14 2008-10-13 김성동 Three dimensional semiconductor device and methods of fabricating and operating the same
KR20100024800A (en) 2008-08-26 2010-03-08 삼성전자주식회사 Non-volatile memory device and method of operating the same
CN101350360B (en) 2008-08-29 2011-06-01 中国科学院上海微系统与信息技术研究所 Three-dimensional stacking non-phase-change caused resistance conversion storage apparatus and manufacturing method thereof
US20100059808A1 (en) 2008-09-10 2010-03-11 Wei Zheng Nonvolatile memories with charge trapping dielectric modified at the edges
US7796437B2 (en) 2008-09-23 2010-09-14 Sandisk 3D Llc Voltage regulator with reduced sensitivity of output voltage to change in load current
CN101477987B (en) 2009-01-08 2010-10-13 中国科学院上海微系统与信息技术研究所 Manufacturing process of tri-dimension stacked resistance conversion memory
US8853091B2 (en) 2009-01-16 2014-10-07 Microchip Technology Incorporated Method for manufacturing a semiconductor die with multiple depth shallow trench isolation
US8488381B2 (en) 2009-02-02 2013-07-16 Samsung Electronics Co., Ltd. Non-volatile memory device having vertical structure and method of operating the same
US8203187B2 (en) 2009-03-03 2012-06-19 Macronix International Co., Ltd. 3D memory array arranged for FN tunneling program and erase
TWI433302B (en) 2009-03-03 2014-04-01 Macronix Int Co Ltd Integrated circuit self aligned 3d memory array and manufacturing method
KR101497547B1 (en) 2009-03-19 2015-03-02 삼성전자주식회사 Non-volatile memory device
US8199576B2 (en) 2009-04-08 2012-06-12 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines and a double-global-bit-line architecture
US7983065B2 (en) 2009-04-08 2011-07-19 Sandisk 3D Llc Three-dimensional array of re-programmable non-volatile memory elements having vertical bit lines
US8829646B2 (en) 2009-04-27 2014-09-09 Macronix International Co., Ltd. Integrated circuit 3D memory array and manufacturing method
KR101635504B1 (en) 2009-06-19 2016-07-04 삼성전자주식회사 Program method of non-volatile memory device with three-dimentional vertical channel structure
KR101028993B1 (en) 2009-06-30 2011-04-12 주식회사 하이닉스반도체 3d-nonvolatile memory device and method for fabricating the same
JP2011035237A (en) 2009-08-04 2011-02-17 Toshiba Corp Method of manufacturing semiconductor device, and semiconductor device
KR101028994B1 (en) 2009-09-07 2011-04-12 주식회사 하이닉스반도체 3d-nonvolatile memory device and method for fabricating the same
JP4987927B2 (en) 2009-09-24 2012-08-01 株式会社東芝 Semiconductor memory device
US8289749B2 (en) 2009-10-08 2012-10-16 Sandisk 3D Llc Soft forming reversible resistivity-switching element for bipolar switching
US8154128B2 (en) 2009-10-14 2012-04-10 Macronix International Co., Ltd. 3D integrated circuit layer interconnect
US8383512B2 (en) 2011-01-19 2013-02-26 Macronix International Co., Ltd. Method for making multilayer connection structure
JP5611574B2 (en) 2009-11-30 2014-10-22 株式会社東芝 Resistance change memory and manufacturing method thereof
TWI409852B (en) 2009-12-31 2013-09-21 Inotera Memories Inc Method for fabricating fine patterns of semiconductor device utilizing self-aligned double patterning
US8279656B2 (en) 2010-06-25 2012-10-02 Macronix International Co., Ltd. Nonvolatile stacked nand memory
US8134139B2 (en) 2010-01-25 2012-03-13 Macronix International Co., Ltd. Programmable metallization cell with ion buffer layer
KR101691088B1 (en) 2010-02-17 2016-12-29 삼성전자주식회사 Nonvolatile memory device, operating method thereof and memory system including the same
JP5248541B2 (en) 2010-03-05 2013-07-31 株式会社東芝 Method of operating semiconductor memory device
US8216862B2 (en) 2010-03-16 2012-07-10 Sandisk 3D Llc Forming and training processes for resistance-change memory cell
JP2011198435A (en) 2010-03-23 2011-10-06 Toshiba Corp Nonvolatile semiconductor memory device
US20110241077A1 (en) 2010-04-06 2011-10-06 Macronix International Co., Ltd. Integrated circuit 3d memory array and manufacturing method
US8437192B2 (en) 2010-05-21 2013-05-07 Macronix International Co., Ltd. 3D two bit-per-cell NAND flash memory
US8531885B2 (en) 2010-05-28 2013-09-10 Aplus Flash Technology, Inc. NAND-based 2T2b NOR flash array with a diode connection to cell's source node for size reduction using the least number of metal layers
US8446767B2 (en) 2010-07-02 2013-05-21 Micron Technology, Inc. Memories and their formation
US8890233B2 (en) 2010-07-06 2014-11-18 Macronix International Co., Ltd. 3D memory array with improved SSL and BL contact layout
KR101732585B1 (en) 2010-08-26 2017-05-04 삼성전자주식회사 Nonvolatile memory device, operating method thereof and memory system including the same
US8659944B2 (en) 2010-09-01 2014-02-25 Macronix International Co., Ltd. Memory architecture of 3D array with diode in memory string
JP5651415B2 (en) 2010-09-21 2015-01-14 株式会社東芝 Nonvolatile semiconductor memory device and manufacturing method thereof
KR101733571B1 (en) 2010-11-08 2017-05-11 삼성전자주식회사 Three Dimensional Semiconductor Memory Device
KR101787041B1 (en) 2010-11-17 2017-10-18 삼성전자주식회사 Methods for forming semiconductor devices having etch stopping layers, and methods for fabricating semiconductor devices
TW201225646A (en) 2010-12-10 2012-06-16 Hon Hai Prec Ind Co Ltd Home multimedia device
US8755223B2 (en) 2010-12-14 2014-06-17 Sandisk 3D Llc Three dimensional non-volatile storage with asymmetrical vertical select devices
US20130003434A1 (en) * 2011-01-18 2013-01-03 Macronix International Co., Ltd. Method for operating a semiconductor structure
US8598032B2 (en) 2011-01-19 2013-12-03 Macronix International Co., Ltd Reduced number of masks for IC device with stacked contact levels
US8363476B2 (en) 2011-01-19 2013-01-29 Macronix International Co., Ltd. Memory device, manufacturing method and operating method of the same
US8486791B2 (en) 2011-01-19 2013-07-16 Macronix International Co., Ltd. Mufti-layer single crystal 3D stackable memory
US8630114B2 (en) 2011-01-19 2014-01-14 Macronix International Co., Ltd. Memory architecture of 3D NOR array
US8503213B2 (en) 2011-01-19 2013-08-06 Macronix International Co., Ltd. Memory architecture of 3D array with alternating memory string orientation and string select structures
US8724390B2 (en) 2011-01-19 2014-05-13 Macronix International Co., Ltd. Architecture for a 3D memory array
US8699258B2 (en) 2011-01-21 2014-04-15 Macronix International Co., Ltd. Verification algorithm for metal-oxide resistive memory
US9048341B2 (en) 2011-03-16 2015-06-02 Macronix International Co., Ltd. Integrated circuit capacitor and method
US8836137B2 (en) 2012-04-19 2014-09-16 Macronix International Co., Ltd. Method for creating a 3D stacked multichip module
US8432746B2 (en) 2011-05-05 2013-04-30 Macronix International Co., Ltd. Memory page buffer
US20120327714A1 (en) 2011-06-23 2012-12-27 Macronix International Co., Ltd. Memory Architecture of 3D Array With Diode in Memory String
US8574992B2 (en) 2011-09-22 2013-11-05 Macronix International Co., Ltd. Contact architecture for 3D memory array
JP2013077711A (en) 2011-09-30 2013-04-25 Sony Corp Semiconductor device and manufacturing method of semiconductor device
US8587998B2 (en) 2012-01-06 2013-11-19 Macronix International Co., Ltd. 3D memory array with read bit line shielding
US9117515B2 (en) 2012-01-18 2015-08-25 Macronix International Co., Ltd. Programmable metallization cell with two dielectric layers
KR101981996B1 (en) 2012-06-22 2019-05-27 에스케이하이닉스 주식회사 Semiconductor device and method of manufacturing the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11380706B2 (en) 2019-08-08 2022-07-05 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same

Also Published As

Publication number Publication date
US9214351B2 (en) 2015-12-15
US20140269078A1 (en) 2014-09-18

Similar Documents

Publication Publication Date Title
US9214351B2 (en) Memory architecture of thin film 3D array
US8208279B2 (en) Integrated circuit self aligned 3D memory array and manufacturing method
US8503213B2 (en) Memory architecture of 3D array with alternating memory string orientation and string select structures
US9024374B2 (en) 3D memory array with improved SSL and BL contact layout
US8630114B2 (en) Memory architecture of 3D NOR array
US8811077B2 (en) Memory architecture of 3D array with improved uniformity of bit line capacitances
US9082656B2 (en) NAND flash with non-trapping switch transistors
US9214471B2 (en) Memory architecture of 3D array with diode in memory string
US7195967B2 (en) Nonvolatile semiconductor memory device and manufacturing method thereof
US8203187B2 (en) 3D memory array arranged for FN tunneling program and erase
KR101975812B1 (en) Memory architecture of 3d array with diode in memory string
US6301155B1 (en) Non-volatile semiconductor memory device and method of reading same
US20030042558A1 (en) Nonvolatile semiconductor memory device having erasing characteristic improved
US8030166B2 (en) Lateral pocket implant charge trapping devices
US7936005B2 (en) Semiconductor memory device including laminated gate having electric charge accumulating layer and control gate and method of manufacturing the same
TWI470774B (en) Nand flash with non-trapping switch transistors
US20070194370A1 (en) Non-volatile semiconductor memory device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION