US20150206953A1 - Method and structure to enhance gate induced strain effect in multigate device - Google Patents

Method and structure to enhance gate induced strain effect in multigate device Download PDF

Info

Publication number
US20150206953A1
US20150206953A1 US14/161,745 US201414161745A US2015206953A1 US 20150206953 A1 US20150206953 A1 US 20150206953A1 US 201414161745 A US201414161745 A US 201414161745A US 2015206953 A1 US2015206953 A1 US 2015206953A1
Authority
US
United States
Prior art keywords
stress
fins
film
type
channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/161,745
Other versions
US9105662B1 (en
Inventor
Veeraraghavan S. Basker
Pranita Kerber
Junli Wang
Tanko Yamashita
Chun-Chen Yeh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US14/161,745 priority Critical patent/US9105662B1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KERBER, PRANITA, BASKER, VEERARAGHAVAN S., WANG, JUNLI, YAMASHITA, TENKO, YEH, CHUN-CHEN
Priority to US14/705,171 priority patent/US9293464B2/en
Publication of US20150206953A1 publication Critical patent/US20150206953A1/en
Application granted granted Critical
Publication of US9105662B1 publication Critical patent/US9105662B1/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present invention relates to the fabrication of semiconductor devices, and more particularly, to the formation of a gate stack of an n-type FET and a p-type FET to enhance the strain level in the channel to generate carrier mobility and drive current performance benefits in multigates or trigates.
  • Gate first also referenced as the metal inserted polysilicon
  • gate last also referenced as “replacement metal gate” (RMG) scheme
  • the gate first and gate last refer to whether a metal electrode is deposited before or after the high temperature activation anneals of the flow. It is then followed by a workfunction metal deposition to set the transistor threshold voltage.
  • Typical materials that are employed include TiN, TiC, or TiAl.
  • the gate stack formation can involve a certain patterning process, e.g., to deposit either one type of workfunction metal first on both n-type and p-type FETs, followed by removing it from one side, and then depositing the desired workfunction metal to set the right threshold voltage for both types of transistors.
  • the next step consists in depositing the gate contact material to lower the gate resistance.
  • the gate first scheme the process is followed by an offset space deposition, and an epitaxial process to form the source and drain of the transistors.
  • the gate last (RMG) scheme the process is followed by the middle-of-the-line (MOL) source/drain contact formation such as by a contact etch, TiN barrier layer and W film deposition.
  • MOL middle-of-the-line
  • the process typically starts with the recess of a silicon source and the drain region, followed by a SiGe or SiC film epitaxial growth in the trench region. Typically, it consists of three different layers, the first layer referenced as the buffer layer which is usually lightly doped to provide the junction gradient control and device short channel electrostatic benefit. Then, the process continues with the main layer heavily doped to lower the source and drain sheet resistance.
  • a typical dopant is boron for a p-type MOSFET, and phosphorus or arsenic for the n-type transistor.
  • the incorporation of the germanium for a p-type MOSFET and carbon for the n-type MOSFET is by introducing the strain from the source and drain region to the channel region.
  • germanium atom has a larger lattice constant than silicon atom produces a tensile strain in the SiGe film itself when it creates bonding with the silicon atom.
  • the stress is then transferred to the channel region to generate a compressive strain that is favorable for the transport of holes and thus enhances the p-type MOSFET drive current.
  • the effect of the carbon atom is just the opposite.
  • carbon atom has a smaller lattice constant than silicon atom produces a compressive strain in the SiC film itself when it creates bonding with the silicon atom.
  • the stress is then transferred to the channel region to generate the tensile strain that is favorable for the transport of electrons and thus enhances the n-type MOSFET drive current.
  • the stress effect from the embedded source drain either is made of SiGe or SiC that drops significantly when the device gate pitch continues to scale.
  • the pitch scaling is necessary because of the chip area reduction set to improve the cost structure of the semiconductor.
  • the available space for the source and drain stressor formation becoming smaller and smaller, this leading to the decrease of the stressor volume and a greatly reduction of the stress effect from the source and the drain region.
  • the stress effect has been estimated to be about only 20-30% left when the technology feature size shrinks from the 22 nm node technology to the 14 nm node technology.
  • FinFET device is provided of a thin slab of silicon as the channel (typical dimensions are 10 nm width and 30 nm tall) which signifies that the area for stress coupling is much reduced compared to the planar device structure which makes the stress coupling efficiency, defined as the ratio between stress level in the source and drain region to the stress level in the device channel region that becomes significantly less compared to the planar device.
  • stress coupling efficiency defined as the ratio between stress level in the source and drain region to the stress level in the device channel region that becomes significantly less compared to the planar device.
  • gate induced strain is how to implement it through the selection of gate stack materials to meet not only the channel strain requirement for carrier mobility boost, but also a workfunction setting to meet the transistor threshold voltage requirement. It further has to have minimized gate stack inversion thickness impact so that the transistor drive current benefit from gate induced strain will not be compromised.
  • Gate stack materials such as TiN, TaN, and TiC have a certain level of strain itself, preferably in the range of 2 GPa to 3 GPa which is quite significant in terms of producing channel strain when the coupling ratio is sufficiently high.
  • the contact material such as W is also known for having a tensile strain favorable for the transistor mobility enhancement.
  • the challenge is, as previously mentioned, how to design the gate stack thickness and structure such that the stress benefit can be maximized, but at the same time, without affecting other device parametrics, such as the transistor threshold voltage and the inversion gate stack thickness.
  • embodiments of the invention provide a method and a FinFET device structure that form a gate stack stress of a finFET to increase the mobility and drive current.
  • a method is described of forming a FinFET that includes depositing high-k dielectric on a patterned fin structure with recess shallow trench isolation. A workfunction metal and a very thin layer of poly crystalline silicon are then deposited on top of the high-k materials. A stress containing material such as high Ge percentage silicon germanium film and/or highly stress W film is then deposited on top of the poly crystalline silicon film. In the case of a high Ge percentage silicon film, the film can be formed either in-situ or ex-situ doped with dopant to lower the gate resistance.
  • the in-situ doped film can be achieved by incorporating dopants during the silicon germanium epitaxial growth, whereas the ex-situ doped film can be achieved by ion-implantation following a silicon germanium epitaxial growth.
  • the gate stack has thick poly-crystalline silicon on top of the workfunction metal that occupies the space between the fins, leaving no room for strain producing material to impart a stress in the transistor channel for mobility enhancement.
  • a method and structure are described freeing up the space between the fins to allow the stressor films being deposited closer to the channel, thus improving the proximity of the stress containing material to the transistor channel, thereby enhancing the stress coupling efficiency defined as the ratio between the stress level in the stressor film and the stress transferred to the channel for mobility enhancement.
  • a method is described forming a semiconductor device that includes depositing high-k dielectric on the patterned fin structure with recess shallow trench isolation.
  • a workfunction metal for n-type MOSFET is deposited on top of high-k materials.
  • a patterning process can remove the n-type workfunction metal from the p-type MOSFET.
  • a p-type workfunction metal is deposited in both the n-type and p-type MOSFET regions.
  • the stress containing material can be a high percentage Ge silicon germanium film and/or a highly stress W film is then deposited on top of the poly-crystalline silicon film.
  • the structure is provided with fins on a substrate and includes workfunction metal and a highly stressed Tungsten (W), wherein the workfunction metal and the highly stressed W create a strain in the channel, with the workfunction metal wrapping around the fins.
  • W Tungsten
  • FIG. 1 is a cross-sectional view of a semiconductor device having a substrate and fin structures formed thereon;
  • FIG. 2 shows a cross-sectional view of a semiconductor device having a substrate and fin structures formed thereon, with a shallow trench isolation oxide deposited between the fins;
  • FIG. 3 is a cross-sectional view of a semiconductor device structure on a substrate where fin structures are formed with a shallow trench isolation oxide recessed between the fins;
  • FIG. 4 illustrates a cross-sectional view of a semiconductor device having a substrate and fin structures formed and covered with a high-k dielectric
  • FIG. 5 is a cross-sectional view of a semiconductor device having a substrate and fin structures formed and covered with high-k dielectric and a workfunction metal;
  • FIG. 6 shows a cross-sectional view of a semiconductor device having a substrate and fin structures formed and covered with high-k dielectric, a workfunction metal, to which is added a very thin layer of poly-crystalline silicon film, according to an embodiment of the invention
  • FIG. 7 is a cross-sectional view of a semiconductor device having a substrate and a plurality of fin structures formed and covered with a high-k dielectric, a workfunction metal, a very thin layer of poly-crystalline silicon film, and a high Ge percentage silicon germanium film or highly stress W film, according to one embodiment of the invention;
  • FIG. 8 illustrates a cross-sectional view of a semiconductor device structure having a substrate and fin structures formed with shallow trench isolation oxide recessed in between the fins;
  • FIG. 9 shows another cross-sectional view of a semiconductor device having a substrate and fin structures formed and covered with high-k dielectric
  • FIG. 10 is a cross-sectional view of a semiconductor device having a substrate and fin structures formed and covered with high-k dielectric and n-type MOSFET workfunction;
  • FIG. 11 depicts a cross-sectional view of a semiconductor device having a substrate and fin structures formed and covered with high-k dielectric and an n-type MOSFET workfunction, with an n-type MOSFET region being covered by soft or hardmask for pattering, according to an embodiment of the invention
  • FIG. 12 shows a cross-sectional view of a semiconductor device having a substrate and fin structures formed and covered with high-k dielectric with n-type MOSFET workfunction etched away in the p-type MOSFET region according to another embodiment of the invention
  • FIG. 13 is a cross-sectional view of a semiconductor device having a substrate and fin structures formed thereon and covered with a high-k dielectric, an n-type MOSFET workfunction and p-type MOSFET according to a further embodiment of the invention
  • FIG. 14 illustrates a cross-sectional view of a semiconductor device having a substrate and fin structures formed thereon covered with high-k dielectric, n-type MOSFET workfunction, p-type MOSFET and a high Ge percentage silicon germanium film or a highly stress Tungsten film, according to still another embodiment of the invention.
  • FIG. 15 depicts a cross-sectional view of multiple layers of gate stack in both, an n-type and a p-type MOSFET region to explain the structure provided with a potential mobility boost from the gate stack.
  • a first exemplary semiconductor structure can be formed by providing a semiconductor substrate, which can be a bulk semiconductor substrate or a semiconductor-on-insulator (SOI) substrate. At least an upper portion of the semiconductor substrate includes a semiconductor material, which can be selected from elemental semiconductor materials (e.g., silicon, germanium, carbon, or alloys thereof), III-V semiconductor materials, or II-VI semiconductor materials. In one embodiment, the semiconductor substrate can include a single crystalline semiconductor material.
  • an upper portion of the semiconductor substrate can be patterned by a combination of lithographic methods and an anisotropic etch used to form a plurality of semiconductor fins.
  • the plurality of fins can include two outermost semiconductor fins and at least one nested semiconductor fin located between the two outermost semiconductor fins.
  • the semiconductor fin refers to a semiconductor material portion having a pair of parallel vertical sidewalls laterally spaced by a uniform dimension.
  • each semiconductor fin can have a rectangular horizontal cross-sectional area such that the spacing between the pair of parallel vertical sidewalls is the same as the length of the shorter sides of the shape of the rectangular horizontal cross-sectional area.
  • a fin field effect transistor (finFet) refers to a field effect transistor in which at least a channel region is located within a semiconductor fin.
  • an “outermost semiconductor fin” refers to a semiconductor fin within a plurality of semiconductor fins located at an outermost location.
  • a “nested semiconductor fin” refers to a semiconductor fin within a plurality of semiconductor fins located between the two outermost semiconductor fins of the plurality of semiconductor fins.
  • a photoresist layer (not shown) can be applied over the top surface of the semiconductor substrate and lithographically patterned to mask portions of the semiconductor substrate, in which a plurality of semiconductor fins is subsequently formed.
  • the pattern in the photoresist layer can be transferred to an upper portion of the semiconductor substrate to form the plurality of semiconductor fins.
  • the semiconductor substrate is a bulk substrate
  • the remaining portion of the semiconductor substrate underlying the plurality of semiconductor fins is referred to as a semiconductor material layer.
  • the semiconductor material layer is a substrate on which the semiconductor fins are formed.
  • the semiconductor material layer functions as a substrate mechanically supporting the plurality of semiconductor fins.
  • the plurality of semiconductor fins and the semiconductor material layer collectively constitute a contiguous semiconductor material portion.
  • the entirety of the contiguous semiconductor material portion can be single crystalline.
  • the semiconductor substrate is a semiconductor-on-insulator (SOI) substrate
  • SOI semiconductor-on-insulator
  • a vertical stack of a buried insulator layer and a handle substrate layer can be present underneath the plurality of semiconductor fins in lieu of the semiconductor material layer.
  • the vertical stack of the buried insulator layer and the handle substrate layer is the substrate on which the semiconductor fins are formed.
  • the height of the semiconductor fins can range from 5 nm to 1,000 nm, although lesser and greater heights can also be employed.
  • the plurality of semiconductor fins and the semiconductor material layer can be doped with electrical dopants, i.e., p-type dopants or n-type dopants, or be intrinsic.
  • the substrate material can be either silicon, silicon germanium, or III-V materials such as InGaAs, InAs or GaN.
  • the fin is preferably formed by a sidewall image transfer (SIT) process to produce small dimensional features beyond the capability of the current lithography tools.
  • the silicon substrate is first deposited with the amorphous silicon, then patterned to form mandrels.
  • the SIT spacer is formed by first depositing either a silicon oxide (Si 2 ) or silicon nitride Si 3 N 4 layer, followed by etching the SIT spacer, leaving material only on the side of the mandrels.
  • the mandrels are then pulled away by wet etch process, leaving the SIT spacer standing alone on top of the silicon substrate, serving as a hardmask ( 102 ).
  • a silicon fin etch process is then performed to fabricate silicon fins with the dimension of 10 nm wide and 30 nm deep ( 104 ).
  • FIG. 2 illustrates a shallow trench isolation material (STI) deposition ( 108 ) that can be formed among the plurality of semiconductor fins.
  • the shallow trench isolation layer includes a dielectric material such as silicon oxide or silicon nitride or a combination thereof, referenced as a hybrid STI with good conformality and gap fill capability to fill the space between the fins.
  • the shallow trench isolation layer can be formed by depositing a dielectric material over the semiconductor fins and the semiconductor material layer.
  • the deposition of the dielectric material can be performed, for example, by chemical vapor deposition (CVD) or spin coating. Excess portions of the deposited dielectric material can be removed from above the top surfaces of the semiconductor in, e.g., by planarization preferably employing a chemical mechanical planarization (CMP).
  • CMP chemical mechanical planarization
  • the shallow trench isolation layer laterally surrounds the plurality of semiconductor fins.
  • the top surface of the shallow trench isolation layer can be coplanar with the top surfaces of the plurality of semiconductor fins.
  • the material lying above the fin cap ( 102 ) is then polished, as previously described.
  • an STI etch back follows.
  • the etching process can be achieved by a dry etch process with chemistry to etch away either the silicon oxide or the silicon nitride or a combination thereof (i.e., hybrid STI).
  • the target etch depth is 30 nm, so that the same number fin ( 104 ) is exposed above the remaining STI ( 108 ).
  • FIG. 4 shows a high-k material deposition ( 110 ).
  • the material serves as the gate dielectric to provide a high-k value to achieve a better device drive current benefit, but without increasing the physical thickness which is prone to cause additional leakage current.
  • Typical high-k materials include HfO2, Al2O3, and La2O3.
  • the process is followed by performing a post-deposition anneal at 700° C. in a furnace to densify the film following the deposition. Usually because of the oxygen effect, there is a 1 nm interfacial SiOx layer formed between the high-k material and the silicon substrate.
  • the deposition is achieved by an atomic layer deposition (ALD) to achieve the thickness control and good conformality from the top of the fin to the bottom.
  • ALD atomic layer deposition
  • FIG. 5 is an illustration of the deposition of the workfunction metal ( 112 ).
  • a typical workfunction metal can consists of TiN, TaN, TiAlN, and TiC.
  • the deposition is preferably achieved by the atomic layer deposition (ALD) to obtain thickness control and good conformality from the top of the fin to the bottom.
  • ALD atomic layer deposition
  • the purpose of the workfunction metal is to provide the right threshold voltage of the transistor so that the device can produce enough drive current for switching the circuit.
  • FIG. 6 is an illustration of the deposition of a very thin layer of polycrystalline silicon ( 114 ) with dimensions preferably ranging between 5-10 nm. It is deposited first in the furnace as amorphous silicon, and then annealed at a 1025° C. spike temperature to form the crystalline structure.
  • the purpose of the thin polycrystalline silicon layer is to provide a template of silicon atoms to facilitate the ensuing silicon germanium epitaxial process.
  • FIG. 7 is an illustration of an epitaxial growth of silicon germanium film ( 116 ) atop of the polycrystalline silicon.
  • the epitaxial process can be preferably achieved in an epitaxial chamber, starting with a pre-clean by HF or pre-bake to ensure an oxide free surface, followed by silane and other ambient with carrier gas to grow the high Ge concentration silicon germanium films.
  • the Ge percentage can advantageously rise to 52%.
  • the purpose of using SiGe as the gate contact material is because the Ge has a larger lattice constant compared to silicon, indicative of the SiGe film having a tensile strain in the film itself. Simulation results shows that with 35% Ge silicon germanium film, the intrinsic stress level is 2 GPa.
  • Stress can be induced to the channel to achieve 500 MPa along the fin length and a fin height direction and 400 MPa compressive stress along the fin width direction.
  • the SiGe film also needs to be heavily doped with either boron or phosphorus up to 5e20/cm3 to ensure that the gate resistance is not an issue during the logic circuit or RF microwave transistor operation.
  • FIG. 8 illustrates an embodiment ( 200 ) starting from the STI etch back process.
  • the etching can be achieved by a dry etch process with chemistry etching away either the silicon oxide or silicon nitride or the combination thereof (i.e., a hybrid STI scheme).
  • the target etch depth can be 30 nm, such that the same number of fins ( 204 ) is exposed above the remaining STI ( 208 ).
  • FIG. 9 illustrates the high-k material deposition ( 210 ).
  • the material serves as the gate dielectric to provide a higher-K value for better device drive current benefit but without increasing the physical thickness that may cause additional leakage current.
  • the typical high-k material used can include HfO2, Al2O3, and La2O3.
  • the process proceeds preferably with a post-deposition anneal at 700° C. in the furnace to densify the film after deposition.
  • Deposition is achieved by the atomic layer deposition (ALD) to achieve thickness control and good conformality from the top of the fin to the bottom.
  • ALD atomic layer deposition
  • FIG. 10 illustrates the deposition of workfunction metal ( 212 ).
  • a typical workfunction metal includes TiN, TaN, TiAlN, and TiC.
  • the deposition is achieved by an atomic layer deposition (ALD) to achieve thickness control and good conformality from the top of the fin to its bottom.
  • ALD atomic layer deposition
  • the purpose of the workfunction metal is to provide a right threshold voltage of the transistor to ensure that the device can produce enough drive current for switching the circuit.
  • FIG. 11 is an illustration of the gate stack patterning process.
  • the structure is first covered with either a soft or a hard mask ( 216 ). It then proceeds going through a photo-lithography process to initiate the patterning so that the n-FET region is covered while the p-FET region opens up.
  • FIG. 12 is an illustration of the etch of n-type MOSFET workfunction metal ( 212 ) from the p-type MOSFET region.
  • Most of the workfunction metal shows a certain level of strain but only favorable for one type of transistor carrier transport.
  • a TaN film has a tensile strain up to 2 GPa which is beneficial to the electron transport but is detrimental to the hole transport.
  • ALD ALD
  • PVD 140 A
  • the ‘Fin-only’ structure shows no strain.
  • An amorphous silicon deposition added to annealing induces a strain in the opposite direction to TiN.
  • the amorphous silicon layer itself induces no strain. Based on the aforementioned results, the most significant contributors are the TiN deposition and the annealing of the amorphous silicon.
  • the purpose of removing the n-type workfunction metal not only provides the right threshold voltage in the p-type MOSFET region, but it also reduces the impact of an unfavorable strain in the p-type MOSFET region.
  • Another added benefit is to free the space between the fins as only a single layer workfunction metal instead of two to be deposited. This leads to a potentially large volume of the ensuing W gate contact to further enhance the strain effect using W as a film known for strain generation.
  • FIG. 13 is an illustration of the deposition of p-type MOSFET workfunction metal ( 214 ) in the p-type MOSFET region.
  • the patterning process enables individual tuning of a strain level and a type of strain in both n-type and p-type MOSFET.
  • FIG. 14 is an illustration of the deposition of W gate contact ( 218 ) in both, the n-type and the p-type MOSFET regions.
  • W is a film known for generating a strain, but the presence of multiple layers, e.g., such as a high-k dielectric, interfacial layer, and workfunction metal layer(s) underneath sandwiched between the W film and the silicon channel leads to a poor stress coupling from the W gate contact to the channel.
  • Having the aforementioned patterning process frees the space in the p-type MOSFET region, which can create a large volume of W deposited and which potentially can lead to a better stress coupling, is believed to improve the strain effect from the gate.
  • the hole mobility and the short channel resistance reduction leads to an overall mobility enhancement because of the Tungsten applying a compressive strain and the workfunction displaying a tensile strain.
  • the compressive strain can be applied to the channel in both the in-plane ( 220 ) and the off-plane ( 002 ) direction that is favorable for a hole transport.
  • the stress level measured in the blanket films can be enhanced from 2.3 GPa to 3.5 GPa, while in a contact array, the improvement ranges from 1.6 GPa to 2.2 GPa.
  • FIG. 15 shows a cross-sectional view of multiple layers of the gate stack in both the n-type and p-type MOSFET regions.
  • the n-FET first patterning freezes up the space for the W fill to induce the channel strain from the gate, where the p-type FET consists of only a very thin TiN metal that leaves sufficient room for the W fill along the PC and the fin direction.
  • Experimental data suggests the proposed device structure improves long-channel and short-channel mobility significantly in an advanced CMOS technology.
  • the high stress W film can also be incorporated to further boost the performance.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A FinFet formed by depositing a thin layer of polycrystalline silicon followed by depositing a stress containing material, including a high Ge percentage silicon germanium film and/or a high stress W film on top of a polycrystalline silicon film. Freeing space between fins allows stressor films to be deposited closer to the transistor channel, improving the proximity of the stress containing material to the transistor channel and enhancing the stress coupling efficiency by defining a ratio between stress level in the stressor film and stress transferred to the channel for a mobility enhancement. The stress level is enhanced by patterning by removing the n-type workfunction metal from the p-FinFET. After stripping off the soft or hard mask, the p-type workfunction metal is deposited in the n- and p-FinFET regions. The freed space specifically for p-FinFet between the fins achieves an even higher stressor coupling to further boost the carrier mobility.

Description

    FIELD OF THE INVENTION
  • The present invention relates to the fabrication of semiconductor devices, and more particularly, to the formation of a gate stack of an n-type FET and a p-type FET to enhance the strain level in the channel to generate carrier mobility and drive current performance benefits in multigates or trigates.
  • BACKGROUND AND RELATED ART
  • Current techniques of forming gate stack in the FinFET device structure starts with depositing high-k metal dielectric material such as HfO2, Al2O3, or La2O3 by ALD process. The process can be done either following the fin formation, referenced as the “gate first” scheme (also referenced as the metal inserted polysilicon), or it can be done after the formation of the source and drain in a process referred to as “gate last”, (also referenced as “replacement metal gate” (RMG) scheme). The gate first and gate last refer to whether a metal electrode is deposited before or after the high temperature activation anneals of the flow. It is then followed by a workfunction metal deposition to set the transistor threshold voltage. Typical materials that are employed include TiN, TiC, or TiAl.
  • Since the requirement for a threshold voltage between an n-type MOSFET transistor and p-type MOSFET differs, the gate stack formation can involve a certain patterning process, e.g., to deposit either one type of workfunction metal first on both n-type and p-type FETs, followed by removing it from one side, and then depositing the desired workfunction metal to set the right threshold voltage for both types of transistors. The next step consists in depositing the gate contact material to lower the gate resistance. In the gate first scheme, the process is followed by an offset space deposition, and an epitaxial process to form the source and drain of the transistors. While in the gate last (RMG) scheme, the process is followed by the middle-of-the-line (MOL) source/drain contact formation such as by a contact etch, TiN barrier layer and W film deposition.
  • As the device continues to the nanometer scaling, the requirement for the transistor drive current performance becomes increasingly more difficult to meet. One difficulty that encountered resides in the conventional stress effect, such as an embedded silicon germanium, embedded silicon carbon source drain, and dual stress silicon nitride liner set to boost the carrier mobility that diminishes significantly with the scaling of the gate contact pitch.
  • The process typically starts with the recess of a silicon source and the drain region, followed by a SiGe or SiC film epitaxial growth in the trench region. Typically, it consists of three different layers, the first layer referenced as the buffer layer which is usually lightly doped to provide the junction gradient control and device short channel electrostatic benefit. Then, the process continues with the main layer heavily doped to lower the source and drain sheet resistance. A typical dopant is boron for a p-type MOSFET, and phosphorus or arsenic for the n-type transistor. The incorporation of the germanium for a p-type MOSFET and carbon for the n-type MOSFET is by introducing the strain from the source and drain region to the channel region. The fact that germanium atom has a larger lattice constant than silicon atom produces a tensile strain in the SiGe film itself when it creates bonding with the silicon atom. The stress is then transferred to the channel region to generate a compressive strain that is favorable for the transport of holes and thus enhances the p-type MOSFET drive current. The effect of the carbon atom is just the opposite. The fact that carbon atom has a smaller lattice constant than silicon atom produces a compressive strain in the SiC film itself when it creates bonding with the silicon atom. The stress is then transferred to the channel region to generate the tensile strain that is favorable for the transport of electrons and thus enhances the n-type MOSFET drive current. The stress effect from the embedded source drain either is made of SiGe or SiC that drops significantly when the device gate pitch continues to scale. The pitch scaling is necessary because of the chip area reduction set to improve the cost structure of the semiconductor. However, because of the pitch scaling, the available space for the source and drain stressor formation becoming smaller and smaller, this leading to the decrease of the stressor volume and a greatly reduction of the stress effect from the source and the drain region. The stress effect has been estimated to be about only 20-30% left when the technology feature size shrinks from the 22 nm node technology to the 14 nm node technology.
  • Another factor that limits the usage of source and drain extrinsic stressor is the transition from the planar device structure to the FinFFT type of the transistor structure. The fact that FinFET device is provided of a thin slab of silicon as the channel (typical dimensions are 10 nm width and 30 nm tall) which signifies that the area for stress coupling is much reduced compared to the planar device structure which makes the stress coupling efficiency, defined as the ratio between stress level in the source and drain region to the stress level in the device channel region that becomes significantly less compared to the planar device. The reduction of the aforementioned two effects (stressor volume and stress coupling) makes the stress engineering of the FinFET device structure ever more challenging compared to that of previous generations.
  • The challenge for the gate induced strain, however, is how to implement it through the selection of gate stack materials to meet not only the channel strain requirement for carrier mobility boost, but also a workfunction setting to meet the transistor threshold voltage requirement. It further has to have minimized gate stack inversion thickness impact so that the transistor drive current benefit from gate induced strain will not be compromised. Gate stack materials such as TiN, TaN, and TiC have a certain level of strain itself, preferably in the range of 2 GPa to 3 GPa which is quite significant in terms of producing channel strain when the coupling ratio is sufficiently high. The contact material such as W is also known for having a tensile strain favorable for the transistor mobility enhancement.
  • The challenge is, as previously mentioned, how to design the gate stack thickness and structure such that the stress benefit can be maximized, but at the same time, without affecting other device parametrics, such as the transistor threshold voltage and the inversion gate stack thickness.
  • SUMMARY
  • In one aspect, embodiments of the invention provide a method and a FinFET device structure that form a gate stack stress of a finFET to increase the mobility and drive current.
  • In an embodiment, a method is described of forming a FinFET that includes depositing high-k dielectric on a patterned fin structure with recess shallow trench isolation. A workfunction metal and a very thin layer of poly crystalline silicon are then deposited on top of the high-k materials. A stress containing material such as high Ge percentage silicon germanium film and/or highly stress W film is then deposited on top of the poly crystalline silicon film. In the case of a high Ge percentage silicon film, the film can be formed either in-situ or ex-situ doped with dopant to lower the gate resistance. The in-situ doped film can be achieved by incorporating dopants during the silicon germanium epitaxial growth, whereas the ex-situ doped film can be achieved by ion-implantation following a silicon germanium epitaxial growth. Preferably, the gate stack has thick poly-crystalline silicon on top of the workfunction metal that occupies the space between the fins, leaving no room for strain producing material to impart a stress in the transistor channel for mobility enhancement.
  • In an embodiment, a method and structure are described freeing up the space between the fins to allow the stressor films being deposited closer to the channel, thus improving the proximity of the stress containing material to the transistor channel, thereby enhancing the stress coupling efficiency defined as the ratio between the stress level in the stressor film and the stress transferred to the channel for mobility enhancement.
  • In an embodiment, a method is described forming a semiconductor device that includes depositing high-k dielectric on the patterned fin structure with recess shallow trench isolation. A workfunction metal for n-type MOSFET is deposited on top of high-k materials. Next, a patterning process can remove the n-type workfunction metal from the p-type MOSFET. After stripping off the soft or the hard mask, a p-type workfunction metal is deposited in both the n-type and p-type MOSFET regions. The stress containing material can be a high percentage Ge silicon germanium film and/or a highly stress W film is then deposited on top of the poly-crystalline silicon film.
  • In an embodiment, the structure is provided with fins on a substrate and includes workfunction metal and a highly stressed Tungsten (W), wherein the workfunction metal and the highly stressed W create a strain in the channel, with the workfunction metal wrapping around the fins. Notably, a highly stress W would fail to achieve the same results.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The foregoing and other objects, features and advantages described herein will be apparent from the following more particular descriptions of example embodiments as illustrated in the accompanying drawings wherein like reference numbers generally represent like parts of exemplary embodiments.
  • FIG. 1 is a cross-sectional view of a semiconductor device having a substrate and fin structures formed thereon;
  • FIG. 2 shows a cross-sectional view of a semiconductor device having a substrate and fin structures formed thereon, with a shallow trench isolation oxide deposited between the fins;
  • FIG. 3 is a cross-sectional view of a semiconductor device structure on a substrate where fin structures are formed with a shallow trench isolation oxide recessed between the fins;
  • FIG. 4 illustrates a cross-sectional view of a semiconductor device having a substrate and fin structures formed and covered with a high-k dielectric;
  • FIG. 5 is a cross-sectional view of a semiconductor device having a substrate and fin structures formed and covered with high-k dielectric and a workfunction metal;
  • FIG. 6 shows a cross-sectional view of a semiconductor device having a substrate and fin structures formed and covered with high-k dielectric, a workfunction metal, to which is added a very thin layer of poly-crystalline silicon film, according to an embodiment of the invention;
  • FIG. 7 is a cross-sectional view of a semiconductor device having a substrate and a plurality of fin structures formed and covered with a high-k dielectric, a workfunction metal, a very thin layer of poly-crystalline silicon film, and a high Ge percentage silicon germanium film or highly stress W film, according to one embodiment of the invention;
  • FIG. 8 illustrates a cross-sectional view of a semiconductor device structure having a substrate and fin structures formed with shallow trench isolation oxide recessed in between the fins;
  • FIG. 9 shows another cross-sectional view of a semiconductor device having a substrate and fin structures formed and covered with high-k dielectric;
  • FIG. 10 is a cross-sectional view of a semiconductor device having a substrate and fin structures formed and covered with high-k dielectric and n-type MOSFET workfunction;
  • FIG. 11 depicts a cross-sectional view of a semiconductor device having a substrate and fin structures formed and covered with high-k dielectric and an n-type MOSFET workfunction, with an n-type MOSFET region being covered by soft or hardmask for pattering, according to an embodiment of the invention;
  • FIG. 12 shows a cross-sectional view of a semiconductor device having a substrate and fin structures formed and covered with high-k dielectric with n-type MOSFET workfunction etched away in the p-type MOSFET region according to another embodiment of the invention;
  • FIG. 13 is a cross-sectional view of a semiconductor device having a substrate and fin structures formed thereon and covered with a high-k dielectric, an n-type MOSFET workfunction and p-type MOSFET according to a further embodiment of the invention;
  • FIG. 14 illustrates a cross-sectional view of a semiconductor device having a substrate and fin structures formed thereon covered with high-k dielectric, n-type MOSFET workfunction, p-type MOSFET and a high Ge percentage silicon germanium film or a highly stress Tungsten film, according to still another embodiment of the invention; and
  • FIG. 15 depicts a cross-sectional view of multiple layers of gate stack in both, an n-type and a p-type MOSFET region to explain the structure provided with a potential mobility boost from the gate stack.
  • DETAILED DESCRIPTION
  • It will be appreciated that for simplicity and clarity of illustration, elements shown in the drawings are not necessarily drawn to scale. For example, the dimensions of some of the elements may be exaggerated relative to other elements for clarity. As previously stated, the present disclosure relates to a semiconductor structure including locally thinned semiconductor fins, and a method for manufacturing the same. Aspects of the present disclosure will now be described in detail with accompanying figures. It is noted that like reference numerals refer to like elements across different embodiments. As used herein, ordinals such as “first” and “second” are employed merely to distinguish similar elements, and different ordinals may be employed to designate a same element in the specification and/or claims.
  • In a first exemplary semiconductor structure according to a first embodiment of the present disclosure can be formed by providing a semiconductor substrate, which can be a bulk semiconductor substrate or a semiconductor-on-insulator (SOI) substrate. At least an upper portion of the semiconductor substrate includes a semiconductor material, which can be selected from elemental semiconductor materials (e.g., silicon, germanium, carbon, or alloys thereof), III-V semiconductor materials, or II-VI semiconductor materials. In one embodiment, the semiconductor substrate can include a single crystalline semiconductor material.
  • Generally, an upper portion of the semiconductor substrate can be patterned by a combination of lithographic methods and an anisotropic etch used to form a plurality of semiconductor fins. The plurality of fins can include two outermost semiconductor fins and at least one nested semiconductor fin located between the two outermost semiconductor fins.
  • As used herein, the semiconductor fin refers to a semiconductor material portion having a pair of parallel vertical sidewalls laterally spaced by a uniform dimension. In one embodiment, each semiconductor fin can have a rectangular horizontal cross-sectional area such that the spacing between the pair of parallel vertical sidewalls is the same as the length of the shorter sides of the shape of the rectangular horizontal cross-sectional area. As used herein, a fin field effect transistor (finFet) refers to a field effect transistor in which at least a channel region is located within a semiconductor fin. As used herein, an “outermost semiconductor fin” refers to a semiconductor fin within a plurality of semiconductor fins located at an outermost location. Furthermore, a “nested semiconductor fin” refers to a semiconductor fin within a plurality of semiconductor fins located between the two outermost semiconductor fins of the plurality of semiconductor fins.
  • By way of example, a photoresist layer (not shown) can be applied over the top surface of the semiconductor substrate and lithographically patterned to mask portions of the semiconductor substrate, in which a plurality of semiconductor fins is subsequently formed. The pattern in the photoresist layer can be transferred to an upper portion of the semiconductor substrate to form the plurality of semiconductor fins. If the semiconductor substrate is a bulk substrate, the remaining portion of the semiconductor substrate underlying the plurality of semiconductor fins is referred to as a semiconductor material layer. In this case, the semiconductor material layer is a substrate on which the semiconductor fins are formed. The semiconductor material layer functions as a substrate mechanically supporting the plurality of semiconductor fins. The plurality of semiconductor fins and the semiconductor material layer collectively constitute a contiguous semiconductor material portion. In one embodiment, the entirety of the contiguous semiconductor material portion can be single crystalline. Alternatively, if the semiconductor substrate is a semiconductor-on-insulator (SOI) substrate, a vertical stack of a buried insulator layer and a handle substrate layer can be present underneath the plurality of semiconductor fins in lieu of the semiconductor material layer. In this case, the vertical stack of the buried insulator layer and the handle substrate layer is the substrate on which the semiconductor fins are formed.
  • The height of the semiconductor fins can range from 5 nm to 1,000 nm, although lesser and greater heights can also be employed. The plurality of semiconductor fins and the semiconductor material layer can be doped with electrical dopants, i.e., p-type dopants or n-type dopants, or be intrinsic.
  • Referring now to FIG. 1, a fin formation, preferably on a bulk substrate (106) is illustrated. The substrate material can be either silicon, silicon germanium, or III-V materials such as InGaAs, InAs or GaN. The fin is preferably formed by a sidewall image transfer (SIT) process to produce small dimensional features beyond the capability of the current lithography tools. The silicon substrate is first deposited with the amorphous silicon, then patterned to form mandrels. Next, the SIT spacer is formed by first depositing either a silicon oxide (Si2) or silicon nitride Si3N4 layer, followed by etching the SIT spacer, leaving material only on the side of the mandrels. The mandrels are then pulled away by wet etch process, leaving the SIT spacer standing alone on top of the silicon substrate, serving as a hardmask (102). A silicon fin etch process is then performed to fabricate silicon fins with the dimension of 10 nm wide and 30 nm deep (104).
  • FIG. 2 illustrates a shallow trench isolation material (STI) deposition (108) that can be formed among the plurality of semiconductor fins. The shallow trench isolation layer includes a dielectric material such as silicon oxide or silicon nitride or a combination thereof, referenced as a hybrid STI with good conformality and gap fill capability to fill the space between the fins. The shallow trench isolation layer can be formed by depositing a dielectric material over the semiconductor fins and the semiconductor material layer. The deposition of the dielectric material can be performed, for example, by chemical vapor deposition (CVD) or spin coating. Excess portions of the deposited dielectric material can be removed from above the top surfaces of the semiconductor in, e.g., by planarization preferably employing a chemical mechanical planarization (CMP). The shallow trench isolation layer laterally surrounds the plurality of semiconductor fins. The top surface of the shallow trench isolation layer can be coplanar with the top surfaces of the plurality of semiconductor fins. The material lying above the fin cap (102) is then polished, as previously described.
  • Referring to FIG. 3, an STI etch back follows. The etching process can be achieved by a dry etch process with chemistry to etch away either the silicon oxide or the silicon nitride or a combination thereof (i.e., hybrid STI). The target etch depth is 30 nm, so that the same number fin (104) is exposed above the remaining STI (108).
  • FIG. 4 shows a high-k material deposition (110). The material serves as the gate dielectric to provide a high-k value to achieve a better device drive current benefit, but without increasing the physical thickness which is prone to cause additional leakage current. Typical high-k materials include HfO2, Al2O3, and La2O3. The process is followed by performing a post-deposition anneal at 700° C. in a furnace to densify the film following the deposition. Usually because of the oxygen effect, there is a 1 nm interfacial SiOx layer formed between the high-k material and the silicon substrate. The deposition is achieved by an atomic layer deposition (ALD) to achieve the thickness control and good conformality from the top of the fin to the bottom.
  • FIG. 5 is an illustration of the deposition of the workfunction metal (112). A typical workfunction metal can consists of TiN, TaN, TiAlN, and TiC. The deposition is preferably achieved by the atomic layer deposition (ALD) to obtain thickness control and good conformality from the top of the fin to the bottom. The purpose of the workfunction metal is to provide the right threshold voltage of the transistor so that the device can produce enough drive current for switching the circuit.
  • FIG. 6 is an illustration of the deposition of a very thin layer of polycrystalline silicon (114) with dimensions preferably ranging between 5-10 nm. It is deposited first in the furnace as amorphous silicon, and then annealed at a 1025° C. spike temperature to form the crystalline structure. The purpose of the thin polycrystalline silicon layer is to provide a template of silicon atoms to facilitate the ensuing silicon germanium epitaxial process.
  • FIG. 7 is an illustration of an epitaxial growth of silicon germanium film (116) atop of the polycrystalline silicon. The epitaxial process can be preferably achieved in an epitaxial chamber, starting with a pre-clean by HF or pre-bake to ensure an oxide free surface, followed by silane and other ambient with carrier gas to grow the high Ge concentration silicon germanium films. The Ge percentage can advantageously rise to 52%. The purpose of using SiGe as the gate contact material is because the Ge has a larger lattice constant compared to silicon, indicative of the SiGe film having a tensile strain in the film itself. Simulation results shows that with 35% Ge silicon germanium film, the intrinsic stress level is 2 GPa. Stress can be induced to the channel to achieve 500 MPa along the fin length and a fin height direction and 400 MPa compressive stress along the fin width direction. When placed atop and on the sidewall of the fin channel, it produces strain in the channel region which is favorable for the n-type MOSFET electron carrier transport. The SiGe film also needs to be heavily doped with either boron or phosphorus up to 5e20/cm3 to ensure that the gate resistance is not an issue during the logic circuit or RF microwave transistor operation.
  • FIG. 8 illustrates an embodiment (200) starting from the STI etch back process. The etching can be achieved by a dry etch process with chemistry etching away either the silicon oxide or silicon nitride or the combination thereof (i.e., a hybrid STI scheme). The target etch depth can be 30 nm, such that the same number of fins (204) is exposed above the remaining STI (208).
  • FIG. 9 illustrates the high-k material deposition (210). The material serves as the gate dielectric to provide a higher-K value for better device drive current benefit but without increasing the physical thickness that may cause additional leakage current. The typical high-k material used can include HfO2, Al2O3, and La2O3. The process proceeds preferably with a post-deposition anneal at 700° C. in the furnace to densify the film after deposition. Usually, because of the oxygen effect, there is a 1 nm interfacial SiOx layer formed between the high-k material and the silicon substrate. Deposition is achieved by the atomic layer deposition (ALD) to achieve thickness control and good conformality from the top of the fin to the bottom.
  • FIG. 10 illustrates the deposition of workfunction metal (212). A typical workfunction metal includes TiN, TaN, TiAlN, and TiC. The deposition is achieved by an atomic layer deposition (ALD) to achieve thickness control and good conformality from the top of the fin to its bottom. The purpose of the workfunction metal is to provide a right threshold voltage of the transistor to ensure that the device can produce enough drive current for switching the circuit.
  • FIG. 11 is an illustration of the gate stack patterning process. The structure is first covered with either a soft or a hard mask (216). It then proceeds going through a photo-lithography process to initiate the patterning so that the n-FET region is covered while the p-FET region opens up.
  • FIG. 12 is an illustration of the etch of n-type MOSFET workfunction metal (212) from the p-type MOSFET region. Most of the workfunction metal shows a certain level of strain but only favorable for one type of transistor carrier transport. By way of example, a TaN film has a tensile strain up to 2 GPa which is beneficial to the electron transport but is detrimental to the hole transport. Furthermore, experimentally, from several dark field holography strain measurement results, one can observe the TiN, either by ALD (25A) or PVD (140A) that induces a significant strain in the silicon channel. The ‘Fin-only’ structure shows no strain. An amorphous silicon deposition added to annealing induces a strain in the opposite direction to TiN. The amorphous silicon layer itself induces no strain. Based on the aforementioned results, the most significant contributors are the TiN deposition and the annealing of the amorphous silicon. The purpose of removing the n-type workfunction metal not only provides the right threshold voltage in the p-type MOSFET region, but it also reduces the impact of an unfavorable strain in the p-type MOSFET region. Another added benefit is to free the space between the fins as only a single layer workfunction metal instead of two to be deposited. This leads to a potentially large volume of the ensuing W gate contact to further enhance the strain effect using W as a film known for strain generation.
  • FIG. 13 is an illustration of the deposition of p-type MOSFET workfunction metal (214) in the p-type MOSFET region. As previously mentioned, the patterning process enables individual tuning of a strain level and a type of strain in both n-type and p-type MOSFET.
  • FIG. 14 is an illustration of the deposition of W gate contact (218) in both, the n-type and the p-type MOSFET regions. As previously mentioned, W is a film known for generating a strain, but the presence of multiple layers, e.g., such as a high-k dielectric, interfacial layer, and workfunction metal layer(s) underneath sandwiched between the W film and the silicon channel leads to a poor stress coupling from the W gate contact to the channel. Having the aforementioned patterning process frees the space in the p-type MOSFET region, which can create a large volume of W deposited and which potentially can lead to a better stress coupling, is believed to improve the strain effect from the gate. Experimentally, it has been observed that with the new scheme, the hole mobility and the short channel resistance reduction leads to an overall mobility enhancement because of the Tungsten applying a compressive strain and the workfunction displaying a tensile strain. By removing the first layer of workfunction metal in the p-type MOSFET region, the compressive strain can be applied to the channel in both the in-plane (220) and the off-plane (002) direction that is favorable for a hole transport. There is also a highly stress W film available if a further boost is required for an improved performance. The stress level measured in the blanket films can be enhanced from 2.3 GPa to 3.5 GPa, while in a contact array, the improvement ranges from 1.6 GPa to 2.2 GPa.
  • FIG. 15 shows a cross-sectional view of multiple layers of the gate stack in both the n-type and p-type MOSFET regions. The n-FET first patterning freezes up the space for the W fill to induce the channel strain from the gate, where the p-type FET consists of only a very thin TiN metal that leaves sufficient room for the W fill along the PC and the fin direction. Experimental data suggests the proposed device structure improves long-channel and short-channel mobility significantly in an advanced CMOS technology. The high stress W film can also be incorporated to further boost the performance.
  • While the present invention has been particularly described, in conjunction with a specific preferred embodiment, it is evident that many alternatives, modifications and variations will be apparent to those skilled in the art in light of the foregoing description. It is therefore contemplated that the appended claims will embrace any such alternatives, modifications and variations as falling within the true scope and spirit of the present invention.

Claims (17)

What is claimed is:
1. A method of forming a semiconductor device structure comprising:
forming fins on a substrate;
forming a gate stack over said fins, leaving a source region and a drain region exposed;
forming a thin layer of polycrystalline silicon over said fins;
epitaxially growing a high GE percentage silicon germanium film on top of said polycrystalline silicon; and
forming a transistor channel by wrapping said gate stack around said fins.
2. The method as recited in claim 1, further comprising:
patterning a workfunction metal forming sequentially an n-type and p-type transistor, and
depositing a stress Tungsten (W) film in a space freed by patterning between said fins.
3. The method as recited in claim 1, further comprising depositing a high-k dielectric material in said gate stack.
4. The method of claim 3, wherein said high-k dielectric material is made of HfO2, Al2O3, or La2O3, and said deposition is followed by performing a post deposition anneal.
5. The method of claim 1 wherein said workfunction metal provides a threshold voltage of said transistor producing a drive current for switching a circuit.
6. The method of claim 1 further comprising:
depositing a thin layer of polycrystalline silicon;
depositing a stress film made of high Ge percentage silicon germanium film or a highly stress Tungsten film on top of said polycrystalline silicon layer;
freeing a space between said fins to position said stress films deposited closer to said transistor channel to improve a proximity of said stress containing material to said transistor channel; and
enhancing a stress coupling efficiency by way of a ratio between a stress level in said stress film, and said stress transferred to said transistor channel for mobility enhancement.
7. The method of claim 6 wherein said stress is enhanced by patterning to remove an n-type workfunction metal from a p-type transistor.
8. The method of claim 7, further comprising stripping off a soft or a hard mask of said deposited p-type workfunction metal.
9. The method of claim 7 wherein freeing said space for said p-type transistor between said fins achieves a higher stressor and better coupling from said stressor to said channel.
10. The method of claim 1, wherein growing said high Ge percentage silicon germanium film on top of said polycrystalline silicon is doped in-situ or ex-situ, said dopant lowering a gate resistance.
11. The method of claim 10, wherein said in-situ doped film is achieved by incorporating said dopants during said silicon germanium epitaxial growth, and wherein said ex-situ doped film is achieved by an ion-implantation followed by said epitaxial growing of said silicon germanium film.
12. The method of claim 1, wherein a stress coupling efficiency is determined by a ratio between a stress level in said stressor film and a stress transferred to said channel for mobility enhancement.
13. The method of claim 8 further comprising stripping a mask of said p-type workfunction metal deposited in both n-type and p-type MOSFET regions, and wherein freeing said space for said p-type transistor between said fins provides a higher stressor coupling, and boosts a carrier mobility.
13. A FinFET device structure comprising:
a substrate provided with fins;
a gate stack on top of said fins and an exposed source region and an exposed drain region;
a thin layer of polycrystalline silicon on top of said fins;
a high Ge percentage silicon germanium film on top of said polycrystalline silicon; and
a transistor channel with said gate stack wrapped around said fins.
14. The FinFet device structure of claim 13 further comprising: a high-k dielectric material on a patterned fin structure with a recess shallow trench isolation (STI); and
a workfunction metal and a thin layer of a high percentage Ge polycrystalline silicon on top of said high-k dielectric material.
15. The FinFet device structure of claim 13, wherein a space between said fins and stressor films are in a proximity to said channel.
16. The FinFet device structure of claim 14 further comprising a stripped mask of said p-type workfunction metal in both n-type and p-type transistor regions, wherein a freed space for said p-type transistor between said fins provides a higher stressor coupling, for boosting carrier mobility.
US14/161,745 2014-01-23 2014-01-23 Method and structure to enhance gate induced strain effect in multigate device Active 2034-02-28 US9105662B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US14/161,745 US9105662B1 (en) 2014-01-23 2014-01-23 Method and structure to enhance gate induced strain effect in multigate device
US14/705,171 US9293464B2 (en) 2014-01-23 2015-05-06 Structure to enhance gate induced strain effect in multigate devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/161,745 US9105662B1 (en) 2014-01-23 2014-01-23 Method and structure to enhance gate induced strain effect in multigate device

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/705,171 Division US9293464B2 (en) 2014-01-23 2015-05-06 Structure to enhance gate induced strain effect in multigate devices

Publications (2)

Publication Number Publication Date
US20150206953A1 true US20150206953A1 (en) 2015-07-23
US9105662B1 US9105662B1 (en) 2015-08-11

Family

ID=53545542

Family Applications (2)

Application Number Title Priority Date Filing Date
US14/161,745 Active 2034-02-28 US9105662B1 (en) 2014-01-23 2014-01-23 Method and structure to enhance gate induced strain effect in multigate device
US14/705,171 Expired - Fee Related US9293464B2 (en) 2014-01-23 2015-05-06 Structure to enhance gate induced strain effect in multigate devices

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/705,171 Expired - Fee Related US9293464B2 (en) 2014-01-23 2015-05-06 Structure to enhance gate induced strain effect in multigate devices

Country Status (1)

Country Link
US (2) US9105662B1 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9431486B1 (en) * 2015-11-30 2016-08-30 International Business Machines Corporation Channel strain and controlling lateral epitaxial growth of the source and drain in FinFET devices
US9559014B1 (en) * 2015-09-04 2017-01-31 International Business Machines Corporation Self-aligned punch through stopper liner for bulk FinFET
DE102015113081A1 (en) * 2015-07-31 2017-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor with multiple threshold voltages and manufacturing method therefor
US9673108B1 (en) 2015-12-14 2017-06-06 International Business Machines Corporation Fabrication of higher-K dielectrics
CN107492522A (en) * 2016-06-13 2017-12-19 中芯国际集成电路制造(上海)有限公司 The forming method of cmos device, PMOS device and nmos device
EP3258500A1 (en) * 2016-06-13 2017-12-20 Semiconductor Manufacturing International Corporation (Shanghai) Fin-fet devices and fabrication methods thereof
US20180240908A1 (en) * 2009-12-28 2018-08-23 Sony Corporation Semiconductor component and manufacturing method thereof
US20190115346A1 (en) * 2017-10-13 2019-04-18 Globalfoundries Inc. Cut inside replacement metal gate trench to mitigate n-p proximity effect
US20190189755A1 (en) * 2016-09-30 2019-06-20 Intel Corporation Transistors including source/drain employing double-charge dopants
US10384933B2 (en) * 2013-12-20 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming micro electromechanical system sensor
KR20200050324A (en) * 2018-10-31 2020-05-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Fin field-effect transistor device and method
JP2020519006A (en) * 2017-05-01 2020-06-25 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation Vertical transport transistor with equal gate stack thickness

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9105662B1 (en) 2014-01-23 2015-08-11 International Business Machines Corporation Method and structure to enhance gate induced strain effect in multigate device
US9859286B2 (en) * 2014-12-23 2018-01-02 International Business Machines Corporation Low-drive current FinFET structure for improving circuit density of ratioed logic in SRAM devices
US10431583B2 (en) 2016-02-11 2019-10-01 Samsung Electronics Co., Ltd. Semiconductor device including transistors with adjusted threshold voltages
US10879240B2 (en) * 2016-11-18 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure
US9960254B1 (en) * 2017-02-06 2018-05-01 International Business Machines Corporation Replacement metal gate scheme with self-alignment gate for vertical field effect transistors

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6703688B1 (en) 2001-03-02 2004-03-09 Amberwave Systems Corporation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US7173312B2 (en) 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US7282402B2 (en) 2005-03-30 2007-10-16 Freescale Semiconductor, Inc. Method of making a dual strained channel semiconductor device
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7915112B2 (en) 2008-09-23 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stress film for mobility enhancement in FinFET device
US8202768B2 (en) * 2009-10-07 2012-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a semiconductor device
US8368146B2 (en) 2010-06-15 2013-02-05 International Business Machines Corporation FinFET devices
US9245979B2 (en) * 2013-05-24 2016-01-26 GlobalFoundries, Inc. FinFET semiconductor devices with local isolation features and methods for fabricating the same
US9105662B1 (en) 2014-01-23 2015-08-11 International Business Machines Corporation Method and structure to enhance gate induced strain effect in multigate device

Cited By (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11848380B2 (en) 2009-12-28 2023-12-19 Sony Group Corporation Semiconductor component and manufacturing method thereof
US10727335B2 (en) * 2009-12-28 2020-07-28 Sony Corporation Semiconductor component and manufacturing method thereof
US11043590B2 (en) 2009-12-28 2021-06-22 Sony Corporation Semiconductor component and manufacturing method thereof
US20180240908A1 (en) * 2009-12-28 2018-08-23 Sony Corporation Semiconductor component and manufacturing method thereof
US11014805B2 (en) 2013-12-20 2021-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor package and semiconductor package
US10384933B2 (en) * 2013-12-20 2019-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming micro electromechanical system sensor
US9837416B2 (en) 2015-07-31 2017-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Multi-threshold voltage field effect transistor and manufacturing method thereof
DE102015113081B4 (en) * 2015-07-31 2017-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor structure with multiple threshold voltages and manufacturing method therefor
DE102015113081A1 (en) * 2015-07-31 2017-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Field effect transistor with multiple threshold voltages and manufacturing method therefor
US10276575B2 (en) 2015-07-31 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-threshold voltage field effect transistor and manufacturing method thereof
US9805987B2 (en) * 2015-09-04 2017-10-31 International Business Machines Corporation Self-aligned punch through stopper liner for bulk FinFET
US10586739B2 (en) 2015-09-04 2020-03-10 International Business Machines Corporation Self-aligned punch through stopper liner for bulk FinFET
US9559014B1 (en) * 2015-09-04 2017-01-31 International Business Machines Corporation Self-aligned punch through stopper liner for bulk FinFET
US9431486B1 (en) * 2015-11-30 2016-08-30 International Business Machines Corporation Channel strain and controlling lateral epitaxial growth of the source and drain in FinFET devices
US9905421B2 (en) 2015-11-30 2018-02-27 International Business Machines Corporation Improving channel strain and controlling lateral epitaxial growth of the source and drain in FinFET devices
US9673108B1 (en) 2015-12-14 2017-06-06 International Business Machines Corporation Fabrication of higher-K dielectrics
CN107492522A (en) * 2016-06-13 2017-12-19 中芯国际集成电路制造(上海)有限公司 The forming method of cmos device, PMOS device and nmos device
EP3258500A1 (en) * 2016-06-13 2017-12-20 Semiconductor Manufacturing International Corporation (Shanghai) Fin-fet devices and fabrication methods thereof
US10090306B2 (en) 2016-06-13 2018-10-02 Semiconductor Manufacturing International (Shanghai) Corporation Fin-FET devices and fabrication methods thereof
US20190189755A1 (en) * 2016-09-30 2019-06-20 Intel Corporation Transistors including source/drain employing double-charge dopants
US11757004B2 (en) * 2016-09-30 2023-09-12 Intel Corporation Transistors including source/drain employing double-charge dopants
JP7004742B2 (en) 2017-05-01 2022-01-21 インターナショナル・ビジネス・マシーンズ・コーポレーション Vertical transport transistors with equal gate stack thickness
JP2020519006A (en) * 2017-05-01 2020-06-25 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation Vertical transport transistor with equal gate stack thickness
US20190115346A1 (en) * 2017-10-13 2019-04-18 Globalfoundries Inc. Cut inside replacement metal gate trench to mitigate n-p proximity effect
US10446550B2 (en) * 2017-10-13 2019-10-15 Globalfoundries Inc. Cut inside replacement metal gate trench to mitigate N-P proximity effect
US10658363B2 (en) * 2017-10-13 2020-05-19 Globalfoundries Inc. Cut inside replacement metal gate trench to mitigate N-P proximity effect
KR102187713B1 (en) * 2018-10-31 2020-12-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Fin field-effect transistor device and method
US10872826B2 (en) 2018-10-31 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US11527447B2 (en) 2018-10-31 2022-12-13 Taiwan Semiconductor Manufacturing Company. Ltd. Fin field-effect transistor device and method
KR20200050324A (en) * 2018-10-31 2020-05-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Fin field-effect transistor device and method

Also Published As

Publication number Publication date
US20150236021A1 (en) 2015-08-20
US9293464B2 (en) 2016-03-22
US9105662B1 (en) 2015-08-11

Similar Documents

Publication Publication Date Title
US9293464B2 (en) Structure to enhance gate induced strain effect in multigate devices
US9716091B2 (en) Fin field effect transistor
TWI702657B (en) Finfet device and method for manufacturing the same
US9577071B2 (en) Method of making a strained structure of a semiconductor device
KR101729439B1 (en) Finfet with buried insulator layer and method for forming
US10868179B2 (en) Fin-type field effect transistor structure and manufacturing method thereof
KR101670558B1 (en) Nonplanar device and strain-generating channel dielectric
CN106033757B (en) High mobility device with anti-punch through layer and method of forming the same
US8658505B2 (en) Embedded stressors for multigate transistor devices
US8871584B2 (en) Replacement source/drain finFET fabrication
US8063449B2 (en) Semiconductor devices and methods of manufacture thereof
KR101584408B1 (en) Source/drain structure of semiconductor device
US9893181B1 (en) Uniform gate length in vertical field effect transistors
US10038075B2 (en) Silicon-on-nothing transistor semiconductor structure with channel epitaxial silicon-germanium region
US7772676B2 (en) Strained semiconductor device and method of making same
US8138523B2 (en) Semiconductor device having silicon on stressed liner (SOL)
WO2013078882A1 (en) Semiconductor device and manufacturing method therefor
KR102400361B1 (en) A semiconductor device and methods of manufacturing the same
CN106558493B (en) Method for forming fin field effect transistor
US20130302954A1 (en) Methods of forming fins for a finfet device without performing a cmp process
CN103594374B (en) Method, semi-conductor device manufacturing method

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:BASKER, VEERARAGHAVAN S.;KERBER, PRANITA;WANG, JUNLI;AND OTHERS;SIGNING DATES FROM 20140115 TO 20140116;REEL/FRAME:032025/0110

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8