US20150194311A1 - Method For Manufacturing Semiconductor Device - Google Patents

Method For Manufacturing Semiconductor Device Download PDF

Info

Publication number
US20150194311A1
US20150194311A1 US14/150,681 US201414150681A US2015194311A1 US 20150194311 A1 US20150194311 A1 US 20150194311A1 US 201414150681 A US201414150681 A US 201414150681A US 2015194311 A1 US2015194311 A1 US 2015194311A1
Authority
US
United States
Prior art keywords
substrate
ions
implantation
gate structure
implanting
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/150,681
Inventor
Tao Yuan Lin
I. Chen Yang
Yao Wen Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Macronix International Co Ltd
Original Assignee
Macronix International Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix International Co Ltd filed Critical Macronix International Co Ltd
Priority to US14/150,681 priority Critical patent/US20150194311A1/en
Assigned to MACRONIX INTERNATIONAL CO., LTD reassignment MACRONIX INTERNATIONAL CO., LTD ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, YAO WEN, LIN, TAO YUAN, YANG, I CHEN
Priority to TW103115781A priority patent/TWI527130B/en
Priority to CN201410351505.2A priority patent/CN104766791B/en
Publication of US20150194311A1 publication Critical patent/US20150194311A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02694Controlling the interface between substrate and epitaxial layer, e.g. by ion implantation followed by annealing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26593Bombardment with radiation with high-energy radiation producing ion implantation at a temperature lower than room temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species

Definitions

  • the disclosure relates to a method for manufacturing a semiconductor device and, more particularly, to a method for suppressing dopant diffusion during manufacture of a semiconductor device.
  • impurities may need to be doped into a certain region of a semiconductor layer to change the conductivity of that region. Parameters of such a doped region, for example, a boundary of the doped region, may affect characteristics of the manufactured semiconductor device. However, due to the diffusion of the doped impurities, it may be difficult to control a final doping profile, and thus difficult to control the boundary of the doped region.
  • MOS metal-on-semiconductor
  • p-MOS p-type MOS
  • I-V current-voltage
  • a method for forming a semiconductor device includes forming a gate structure over a substrate, performing a lightly-doped drain (LDD) implantation of first dopant ions into the substrate using the gate structure as a mask to form LDD regions in the substrate, performing, after the LDD implantation, a pre-amorphization implantation (PAI) into the substrate using the gate structure as a mask to pre-amorphize at least a portion of the LDD regions, and performing, after the PAI, a high-doping implantation of second dopant ions into the substrate using the gate structure as a mask to form highly-doped regions at least partially overlapping the LDD regions.
  • LDD lightly-doped drain
  • PAI pre-amorphization implantation
  • the semiconductor device includes a substrate including a first element, a gate structure formed over the silicon substrate, and a source region and a drain region formed in the silicon substrate at sides of the gate structure.
  • the source and drain regions contain a dopant including a second element different from the first element.
  • the first and second elements are from a same group of the periodic table.
  • FIGS. 1A-1H show a process for forming a semiconductor device according to an exemplary embodiment.
  • FIG. 2 shows an effect of pre-amorphization on distribution of dopant ions.
  • FIGS. 3A-3B show two-dimensional dopant distributions in a device manufactured using a conventional method and in a device manufactured using a method according to an exemplary embodiment, respectively.
  • FIG. 3C shows one-dimensional dopant distributions in devices shown in FIGS. 3A and 3B along the cut lines.
  • FIG. 4 shows current-voltage curves for a device manufactured using a conventional method and for a device manufactured using a method according to an exemplary embodiment.
  • Embodiments consistent with the disclosure include a method for suppressing dopant diffusion during a semiconductor device manufacturing process.
  • FIGS. 1A-1G schematically show an exemplary process for manufacturing a MOS transistor consistent with embodiments of the disclosure.
  • a p-MOS transistor is discussed as an example. It is noted that similar processes may be applied to other semiconductor devices, such as n-type MOS transistors.
  • a gate structure 104 is formed on a substrate 102 .
  • the substrate 102 may be, for example, an n-type silicon substrate.
  • the gate structure 104 may include different layers, such as a gate insulating layer and a control gate electrode, or may include additional layers such as, for example, a tunneling layer or a floating gate electrode.
  • a lightly-doped drain (LDD) implantation is performed by implanting dopant ions 106 into the substrate 102 , using the gate structure 104 as a mask. Since the gate structure 104 blocks part of the dopant ions 106 , LDD regions 108 are formed in the substrate 102 at the sides of the gate structure 104 , as shown in FIG. 1C .
  • LDD lightly-doped drain
  • the LDD implantation shown in FIG. 1B may include implanting p-type dopant ions into the substrate 102 .
  • the dopant ions 106 include Group-III ions, e.g., boron (B) ions.
  • the B ions may be implanted at a dose of about 1E13cm ⁇ 2 to about 1E14 cm ⁇ 2 and an implantation energy of about 10 KeV to about 30 KeV.
  • gate spacers 110 are formed on sidewalls of the gate structure 104 .
  • the gate spacers 110 include an insulating material, such as silicon nitride.
  • the gate spacers 110 are formed by, for example, depositing an insulating layer over the entire surface of the substrate 102 followed by an etch back.
  • a pre-amorphization implantation is performed by implanting ions 112 into the substrate 102 using the structure including the gate structure 104 and the gate spacers 110 as a mask.
  • pre-amorphization implantation refers to an implantation performed before an impurity doping step, such as a heavy doping step for forming source/drain regions of a field-effect transistor, during a process for fabricating a semiconductor device, where such implantation “amorphizes” a portion of the semiconductor device on which the pre-amophization implantation is performed.
  • the PAI amorphizes a portion of the substrate 102 , creating amorphous regions 113 at the sides of the structure including the gate structure 104 and the gate spacers 110 .
  • the PAI helps to reduce a dopant channeling effect, which refers to an effect in which doping impurities (impurities doped in the subsequent doping step after the PAI, such as boron (B), described later) channel through spaces in a crystal lattice structure of a substrate and reach a depth greater than desired.
  • the PAl reduces the dopant channeling effect by amorphizing the substrate 102 , and thus reducing the spaces in the crystal lattice structure of the substrate 102 , through which subsequently doped impurities may channel. As a consequence, a doping depth of the subsequently doped impurities is reduced and a doping profile thereof can be better controlled.
  • the number of excessive point defects and excessive interstitials i.e., end-of-range (EOR) defects
  • EOR end-of-range
  • the subsequently doped impurities are less likely to form dopant-interstitial pairings and dopant-interstitial clusters, such as boron-interstitial pairs and boron-interstitial clusters when B is the dopant in the subsequent doping step. Consequently, transient enhanced diffusion of the subsequently doped impurities is suppressed and more dopant impurities can be activated.
  • dopant activation is improved, and a lower sheet resistance R S can be achieved.
  • conditions for the PAI can be controlled to control a depth of the amorphous regions 113 (also referred to as an amorphization depth, i.e., a distance from a surface of the substrate 102 to a bottom of the amorphous regions 113 ).
  • a depth of the amorphous regions 113 also referred to as an amorphization depth, i.e., a distance from a surface of the substrate 102 to a bottom of the amorphous regions 113 .
  • a larger amorphization depth results in fewer excessive point defects, fewer excessive interstitials, i.e., EOR defects, more dopant impurities being activated, and reduced TED.
  • the amorphization depth is controlled to be about 300 ⁇ to about 1000 ⁇ , which is larger than a depth of a highly-doped region described below.
  • the ions 112 may be ions from the same group in the periodic table as the element of which the substrate 102 is primarily composed.
  • the substrate 102 includes a silicon substrate, and thus the ions 112 can be Group-IV ions, such as carbon (C) or germanium (Ge).
  • C ions may be implanted at a dose of about 1E15cm ⁇ 2 to about 5E15 cm ⁇ 2 and an implantation energy of about 10 KeV to about 50 KeV.
  • Ge ions may be implanted at a dose of about 1E15 cm ⁇ 2 to about 5E15 m ⁇ 2 and an implantation energy of about 10 KeV to about 50 KeV.
  • the PAI can be performed at a room temperature, i.e., about 21° C., or at a temperature lower than the room temperature.
  • the PAI can be performed at a low temperature of about 0° C. to about ⁇ 100° C.
  • An implantation at a low temperature is also referred to as a cryogenic implantation.
  • the low temperature helps to reduce a dynamic annealing effect and lower a threshold dose needed to amorphize the crystal lattice of a substrate.
  • an implantation at a lower temperature may result in a larger amorphous depth.
  • a high-doping implantation is performed by implanting dopant ions 114 into the substrate 102 , using the structure including the gate structure 104 and the gate spacers 110 as a mask.
  • highly-doped regions 116 are formed in the substrate 102 at both sides of the gate structure 104 , as shown in FIG. 1H .
  • the highly-doped regions 116 at least partially overlap the LDD regions 108 , and the highly-doped regions 116 and the LDD regions 108 together form the source/drain regions of the transistor being manufactured.
  • the high-doping implantation shown in FIG. 1G can include implanting p-type dopant ions into the substrate 102 .
  • the dopant ions 106 include ions of Group-Ill elements, e.g., B ions or indium (In) ions, or ion clusters of Group-III elements and other elements, e.g., BF 2 ion clusters.
  • the B ions can be implanted at a dose of about 5E14 cm ⁇ 2 to about 5E15 cm ⁇ 2 and an implantation energy of about 10 KeV to about 50 KeV.
  • an annealing is performed, for example, to fix defects in the substrate 102 created by the implantation processes discussed above, and to activate the implanted dopant ions, such as the B ions.
  • the annealing process can be performed at a temperature of about 900° C. to about 1200° C.
  • an implantation direction may be tilted by, e.g., about 7°, i.e., an angle between the implantation direction and a normal direction to the surface of the substrate 102 may be about 7°.
  • the semiconductor device formed includes a substrate 102 such as a Si substrate, a gate structure 104 , gate spacers 110 formed on both sides of the gate structure 104 , and source/drain regions each including a LDD region 108 and a heavily-doped region 116 .
  • the source/drain regions contain dopant ions introduced by the PAI, which include, for example, C or Ge.
  • FIG. 2 illustrates an example of the effect of PAI on the diffusion of dopant ions.
  • the diffusion profiles in FIG. 2 are obtained before an annealing is performed.
  • the dashed curve represents the diffusion of B when B ions are implanted using a conventional method, i.e., a process without PAl (hereinafter referred to as a “conventional implantation”).
  • the solid curve represents the diffusion of B when B ions are implanted using a method consistent with embodiments of the disclosure, i.e., a process including PAI, such as PAl using Cat a low temperature (hereinafter referred to as a “cryogenic C-implantation”) before the high-doping B implantation. It is seen from FIG, 2 that, the diffusion of B is suppressed when a cryogenic C-implantation has been performed.
  • FIGS. 3A-3C show the comparison between a net-doping distribution in a device according to a conventional method and a net-doping distribution in a device according to a method consistent with embodiments of the disclosure, assuming all other conditions are the same.
  • FIGS. 3A-3C are simulation results, in which FIG. 3A shows the net-doping distribution with a conventional implantation, FIG. 3B shows the net-doping distribution with a cryogenic C-implantation, and FIG. 3C shows the net-doping along a cut line in each of the two devices shown in FIGS. 3A and 3B .
  • FIG. 3A shows the net-doping distribution with a conventional implantation
  • FIG. 3B shows the net-doping distribution with a cryogenic C-implantation
  • FIG. 3C shows the net-doping along a cut line in each of the two devices shown in FIGS. 3A and 3B .
  • FIG. 3A shows the net-doping distribution with a conventional implantation
  • the dashed curve represents a doping profile corresponding to the conventional method
  • the solid curve represents a doping profile corresponding to a method consistent with embodiments of the disclosure. It is seen from FIGS. 3A-3C that the diffusion of dopant ions is suppressed with cryogenic C-implantation and thus the highly-doped regions are better defined.
  • FIG. 4 shows I D -V D curves for a device manufactured with conventional implantation (the dashed curve) and a device manufactured with cryogenic C-implantation (the solid curve) measured when a voltage applied to the control gate electrode of the device, V G , equals 0V.
  • V D refers to a voltage applied to a drain of the device
  • I D refers to a current flowing through the drain of the device.
  • a voltage applied to a source of the device is 0V, i.e., the source is grounded. It is seen from FIG. 4 that the absolute value of the voltage V D at which the current I D in the device manufactured with cryogenic C-implantation sharply increases is larger than the absolute value of the voltage V D at which the current I D in the device manufactured with conventional implantation sharply increases. That is, the device manufactured with cryogenic C-implantation has a larger breakdown voltage than the device manufactured with conventional implantation.

Abstract

A method for forming a semiconductor device includes forming a gate structure over a substrate, performing a lightly-doped drain (LDD) implantation of first dopant ions into the substrate using the gate structure as a mask to form LDD regions in the substrate, performing, after the LDD implantation, a pre-amorphization implantation (PAI) into the substrate using the gate structure as a mask to pre-amorphize at least a portion of the LDD regions, and performing, after the PAI, a high-doping implantation of second dopant ions into the substrate using the gate structure as a mask to form highly-doped regions at least partially overlapping the LDD regions.

Description

    TECHNOLOGY FIELD
  • The disclosure relates to a method for manufacturing a semiconductor device and, more particularly, to a method for suppressing dopant diffusion during manufacture of a semiconductor device.
  • BACKGROUND
  • During the manufacture of a semiconductor device, impurities may need to be doped into a certain region of a semiconductor layer to change the conductivity of that region. Parameters of such a doped region, for example, a boundary of the doped region, may affect characteristics of the manufactured semiconductor device. However, due to the diffusion of the doped impurities, it may be difficult to control a final doping profile, and thus difficult to control the boundary of the doped region.
  • For example, when manufacturing a metal-on-semiconductor (MOS) transistor, e.g., a p-type MOS (p-MOS) transistor, on a substrate, e.g., a silicon substrate, impurities need to be doped into the substrate in regions on both sides of a gate structure to form source/drain regions. Profiles of the source/drain regions may affect a current-voltage (I-V) characteristic of the MOS transistor, and thus affect a breakdown voltage of the MOS transistor.
  • SUMMARY
  • In accordance with the disclosure, there is provided a method for forming a semiconductor device. The method includes forming a gate structure over a substrate, performing a lightly-doped drain (LDD) implantation of first dopant ions into the substrate using the gate structure as a mask to form LDD regions in the substrate, performing, after the LDD implantation, a pre-amorphization implantation (PAI) into the substrate using the gate structure as a mask to pre-amorphize at least a portion of the LDD regions, and performing, after the PAI, a high-doping implantation of second dopant ions into the substrate using the gate structure as a mask to form highly-doped regions at least partially overlapping the LDD regions.
  • Also in accordance with the disclosure, there is provided a semiconductor device. The semiconductor device includes a substrate including a first element, a gate structure formed over the silicon substrate, and a source region and a drain region formed in the silicon substrate at sides of the gate structure. The source and drain regions contain a dopant including a second element different from the first element. The first and second elements are from a same group of the periodic table.
  • Features and advantages consistent with the disclosure will be set forth in part in the description which follows, and in part will be obvious from the description, or may be learned by practice of the disclosure. Such features and advantages will be realized and attained by means of the elements and combinations particularly pointed out in the appended claims.
  • It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the invention, as claimed.
  • The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate several embodiments of the invention and together with the description, serve to explain the principles of the invention.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1H show a process for forming a semiconductor device according to an exemplary embodiment.
  • FIG. 2 shows an effect of pre-amorphization on distribution of dopant ions.
  • FIGS. 3A-3B show two-dimensional dopant distributions in a device manufactured using a conventional method and in a device manufactured using a method according to an exemplary embodiment, respectively.
  • FIG. 3C shows one-dimensional dopant distributions in devices shown in FIGS. 3A and 3B along the cut lines.
  • FIG. 4 shows current-voltage curves for a device manufactured using a conventional method and for a device manufactured using a method according to an exemplary embodiment.
  • DESCRIPTION OF THE EMBODIMENTS
  • Embodiments consistent with the disclosure include a method for suppressing dopant diffusion during a semiconductor device manufacturing process.
  • Hereinafter, embodiments consistent with the disclosure will be described with reference to the drawings. Wherever possible, the same reference numbers will be used throughout the drawings to refer to the same or like parts.
  • FIGS. 1A-1G schematically show an exemplary process for manufacturing a MOS transistor consistent with embodiments of the disclosure. In the description herein of the exemplary process shown in FIGS. 1A-1G, a p-MOS transistor is discussed as an example. It is noted that similar processes may be applied to other semiconductor devices, such as n-type MOS transistors.
  • As shown in FIG. 1A, a gate structure 104 is formed on a substrate 102. The substrate 102 may be, for example, an n-type silicon substrate. Depending on the type of transistor being manufactured, the gate structure 104 may include different layers, such as a gate insulating layer and a control gate electrode, or may include additional layers such as, for example, a tunneling layer or a floating gate electrode.
  • As shown in FIG. 1B, a lightly-doped drain (LDD) implantation is performed by implanting dopant ions 106 into the substrate 102, using the gate structure 104 as a mask. Since the gate structure 104 blocks part of the dopant ions 106, LDD regions 108 are formed in the substrate 102 at the sides of the gate structure 104, as shown in FIG. 1C.
  • The LDD implantation shown in FIG. 1B may include implanting p-type dopant ions into the substrate 102. In some embodiments, the dopant ions 106 include Group-III ions, e.g., boron (B) ions. The B ions may be implanted at a dose of about 1E13cm−2 to about 1E14 cm−2 and an implantation energy of about 10 KeV to about 30 KeV.
  • Referring to FIG. 1D, gate spacers 110 are formed on sidewalls of the gate structure 104. The gate spacers 110 include an insulating material, such as silicon nitride. The gate spacers 110 are formed by, for example, depositing an insulating layer over the entire surface of the substrate 102 followed by an etch back.
  • Referring to FIG. 1E, a pre-amorphization implantation (PAI) is performed by implanting ions 112 into the substrate 102 using the structure including the gate structure 104 and the gate spacers 110 as a mask. As understood by one skilled in the art, “pre-amorphization implantation” refers to an implantation performed before an impurity doping step, such as a heavy doping step for forming source/drain regions of a field-effect transistor, during a process for fabricating a semiconductor device, where such implantation “amorphizes” a portion of the semiconductor device on which the pre-amophization implantation is performed. As shown in FIG. 1 F, the PAI amorphizes a portion of the substrate 102, creating amorphous regions 113 at the sides of the structure including the gate structure 104 and the gate spacers 110.
  • The PAI helps to reduce a dopant channeling effect, which refers to an effect in which doping impurities (impurities doped in the subsequent doping step after the PAI, such as boron (B), described later) channel through spaces in a crystal lattice structure of a substrate and reach a depth greater than desired. The PAl reduces the dopant channeling effect by amorphizing the substrate 102, and thus reducing the spaces in the crystal lattice structure of the substrate 102, through which subsequently doped impurities may channel. As a consequence, a doping depth of the subsequently doped impurities is reduced and a doping profile thereof can be better controlled. Further, by performing the PAl before an impurity doping step, the number of excessive point defects and excessive interstitials, i.e., end-of-range (EOR) defects, can be reduced. Therefore, the subsequently doped impurities are less likely to form dopant-interstitial pairings and dopant-interstitial clusters, such as boron-interstitial pairs and boron-interstitial clusters when B is the dopant in the subsequent doping step. Consequently, transient enhanced diffusion of the subsequently doped impurities is suppressed and more dopant impurities can be activated. Thus, dopant activation is improved, and a lower sheet resistance RS can be achieved.
  • Consistent with embodiments of the disclosure, conditions for the PAI can be controlled to control a depth of the amorphous regions 113 (also referred to as an amorphization depth, i.e., a distance from a surface of the substrate 102 to a bottom of the amorphous regions 113). In general, a larger amorphization depth results in fewer excessive point defects, fewer excessive interstitials, i.e., EOR defects, more dopant impurities being activated, and reduced TED. In some embodiments, the amorphization depth is controlled to be about 300 Å to about 1000 Å, which is larger than a depth of a highly-doped region described below.
  • Consistent with embodiments of the disclosure, the ions 112 may be ions from the same group in the periodic table as the element of which the substrate 102 is primarily composed. In some embodiments, the substrate 102 includes a silicon substrate, and thus the ions 112 can be Group-IV ions, such as carbon (C) or germanium (Ge). For example, C ions may be implanted at a dose of about 1E15cm−2 to about 5E15 cm−2 and an implantation energy of about 10 KeV to about 50 KeV. Alternatively, Ge ions may be implanted at a dose of about 1E15 cm−2 to about 5E15 m−2 and an implantation energy of about 10 KeV to about 50 KeV.
  • The PAI can be performed at a room temperature, i.e., about 21° C., or at a temperature lower than the room temperature. For example, the PAI can be performed at a low temperature of about 0° C. to about −100° C. An implantation at a low temperature is also referred to as a cryogenic implantation. The low temperature helps to reduce a dynamic annealing effect and lower a threshold dose needed to amorphize the crystal lattice of a substrate. As a consequence, with other conditions being the same, an implantation at a lower temperature may result in a larger amorphous depth.
  • After the PAI is performed, as shown in FIG. 1G, a high-doping implantation is performed by implanting dopant ions 114 into the substrate 102, using the structure including the gate structure 104 and the gate spacers 110 as a mask. As a result of the high-doping implantation, highly-doped regions 116 are formed in the substrate 102 at both sides of the gate structure 104, as shown in FIG. 1H. The highly-doped regions 116 at least partially overlap the LDD regions 108, and the highly-doped regions 116 and the LDD regions 108 together form the source/drain regions of the transistor being manufactured.
  • The high-doping implantation shown in FIG. 1G can include implanting p-type dopant ions into the substrate 102. In some embodiments, the dopant ions 106 include ions of Group-Ill elements, e.g., B ions or indium (In) ions, or ion clusters of Group-III elements and other elements, e.g., BF2 ion clusters. The B ions can be implanted at a dose of about 5E14 cm−2 to about 5E15 cm−2 and an implantation energy of about 10 KeV to about 50 KeV.
  • In some embodiments, after the high-doping implantation, an annealing is performed, for example, to fix defects in the substrate 102 created by the implantation processes discussed above, and to activate the implanted dopant ions, such as the B ions. The annealing process can be performed at a temperature of about 900° C. to about 1200° C.
  • It is noted that in FIGS. 1B, 1E, and 1G, the implantations are represented by arrows pointing downward. This is for illustrative purpose and is not intended to indicate the actual implantation directions. That is, the directions at which ions are implanted towards the substrate 102 (also referred to as “implantation directions”) are not necessarily perpendicular to the surface of the substrate 102. For example, an implantation direction may be tilted by, e.g., about 7°, i.e., an angle between the implantation direction and a normal direction to the surface of the substrate 102 may be about 7°.
  • As a result of the above-described process, a semiconductor device is formed, such as the semiconductor device shown in FIG. 1H. The semiconductor device formed consistent with embodiments of the disclosure includes a substrate 102 such as a Si substrate, a gate structure 104, gate spacers 110 formed on both sides of the gate structure 104, and source/drain regions each including a LDD region 108 and a heavily-doped region 116. The source/drain regions contain dopant ions introduced by the PAI, which include, for example, C or Ge.
  • FIG. 2 illustrates an example of the effect of PAI on the diffusion of dopant ions. The diffusion profiles in FIG. 2 are obtained before an annealing is performed. In the example shown in FIG. 2, the dashed curve represents the diffusion of B when B ions are implanted using a conventional method, i.e., a process without PAl (hereinafter referred to as a “conventional implantation”). The solid curve represents the diffusion of B when B ions are implanted using a method consistent with embodiments of the disclosure, i.e., a process including PAI, such as PAl using Cat a low temperature (hereinafter referred to as a “cryogenic C-implantation”) before the high-doping B implantation. It is seen from FIG, 2 that, the diffusion of B is suppressed when a cryogenic C-implantation has been performed.
  • FIGS. 3A-3C show the comparison between a net-doping distribution in a device according to a conventional method and a net-doping distribution in a device according to a method consistent with embodiments of the disclosure, assuming all other conditions are the same. Specifically, FIGS. 3A-3C are simulation results, in which FIG. 3A shows the net-doping distribution with a conventional implantation, FIG. 3B shows the net-doping distribution with a cryogenic C-implantation, and FIG. 3C shows the net-doping along a cut line in each of the two devices shown in FIGS. 3A and 3B. In FIG. 3C, the dashed curve represents a doping profile corresponding to the conventional method, while the solid curve represents a doping profile corresponding to a method consistent with embodiments of the disclosure. It is seen from FIGS. 3A-3C that the diffusion of dopant ions is suppressed with cryogenic C-implantation and thus the highly-doped regions are better defined.
  • Due to the suppression of the dopant diffusion and thus better defined highly-doped regions, a device manufactured according to a method consistent with embodiments of the disclosure has a better breakdown performance, as compared to a device manufactured according to a conventional method. FIG. 4 shows ID-VD curves for a device manufactured with conventional implantation (the dashed curve) and a device manufactured with cryogenic C-implantation (the solid curve) measured when a voltage applied to the control gate electrode of the device, VG, equals 0V. As used herein, VD refers to a voltage applied to a drain of the device, and ID refers to a current flowing through the drain of the device. Note that a voltage applied to a source of the device is 0V, i.e., the source is grounded. It is seen from FIG. 4 that the absolute value of the voltage VD at which the current ID in the device manufactured with cryogenic C-implantation sharply increases is larger than the absolute value of the voltage VD at which the current ID in the device manufactured with conventional implantation sharply increases. That is, the device manufactured with cryogenic C-implantation has a larger breakdown voltage than the device manufactured with conventional implantation.
  • Other embodiments of the disclosure will be apparent to those skilled in the art from consideration of the specification and practice of the invention disclosed herein. It is intended that the specification and examples be considered as exemplary only, with a true scope and spirit of the invention being indicated by the following claims.

Claims (20)

1. A method for forming a semiconductor device, comprising:
forming a gate structure over a substrate;
performing a lightly-doped drain (LDD) implantation of first dopant ions into the substrate using the gate structure as a mask to form LDD regions in the substrate;
performing, after the LDD implantation, a pre-amorphization implantation (PAI) into the substrate using the gate structure as a mask to pre-amorphize at least a portion of the LDD regions to form amorphous regions; and
performing, after the PAI, a high-doping implantation of second dopant ions into the substrate using the gate structure as a mask to form highly-doped regions at least partially overlapping the LDD regions,
wherein a depth of the amorphous regions is larger than a depth of the highly-doped regions.
2. The method of claim 1, wherein forming the gate structure over the substrate includes forming the gate structure over an n-type silicon substrate.
3. The method of claim 1, wherein performing the PAI includes implanting Ge ions into the substrate.
4. The method of claim 3, wherein implanting Ge ions into the substrate includes implanting Ge ions at a dose of about 1E15 cm−2 to about 5E15 cm−2.
5. The method of claim 3, wherein implanting Ge ions into the substrate includes implanting Ge ions at an implantation energy of about 10 KeV to about 50 KeV.
6. The method of claim 1, wherein performing the PAI includes implanting C ions into the substrate.
7. The method of claim 6, wherein implanting C ions into the substrate includes implanting C ions at a dose of about 1E15 cm−2 to about 5E15 cm−2.
8. The method of claim 6, wherein implanting C ions into the substrate includes implanting C ions at an implantation energy of about 10 KeV to about 50 KeV.
9. The method of claim 6, wherein implanting C ions into the substrate includes implanting C ions at an environmental temperature of about a room temperature to about −100° C.
10. The method of claim 9, where in implanting C ions into the substrate includes implanting C ions at an environmental temperature of about 0° C. to about −100° C.
11. The method of claim 1, wherein performing the LDD implantation includes implanting the first dopant ions as B ions into the substrate at a dose of about 1E13 cm−2 to about 1E14 cm−2.
12. The method of claim 1, wherein performing the LDD implantation includes implanting the first dopant ions as B ions into the substrate at an implantation energy of about 10 KeV to about 30 KeV.
13. The method of claim 1, wherein performing the high-doping implantation includes implanting the second dopant ions as B ions into the substrate at a dose of about 5E14 cm−2 to about 5E15 cm−2.
14. The method of claim 1, wherein performing the high-doping implantation includes implanting the second dopant ions as B ions into the substrate at an implantation energy of about 10 KeV to about 50 KeV.
15. The method of claim 1, wherein forming the gate structure comprises:
forming a gate insulating layer over the substrate; and
forming a gate electrode over the gate insulating layer.
16. The method of claim 1, further comprising:
forming, after the LDD implantation, gate spacers on sidewalls of the gate structure.
17. The method of claim 16, wherein:
performing the PAI includes performing the PAI using a structure including the gate structure and the gate spacers as a mask, and
performing the high-doping implantation includes performing the high-doping implantation using the structure including the gate structure and the gate spacers as a mask.
18. The method of claim 1, further comprising:
performing, after the high-doping implantation, an annealing.
19. A semiconductor device comprising:
a substrate including a first element;
a gate structure formed over the silicon substrate;
a source region and a drain region formed in the silicon substrate at sides of the gate structure, the source and drain regions containing a dopant including a second element different from the first element, and the first and second elements being from a same group of the periodic table.
20. The semiconductor device of claim 19, wherein:
the first element is silicon, and
the second element is one of carbon or germanium.
US14/150,681 2014-01-08 2014-01-08 Method For Manufacturing Semiconductor Device Abandoned US20150194311A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US14/150,681 US20150194311A1 (en) 2014-01-08 2014-01-08 Method For Manufacturing Semiconductor Device
TW103115781A TWI527130B (en) 2014-01-08 2014-05-02 Method for manufacturing semiconductor device
CN201410351505.2A CN104766791B (en) 2014-01-08 2014-07-23 Semiconductor device and forming method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/150,681 US20150194311A1 (en) 2014-01-08 2014-01-08 Method For Manufacturing Semiconductor Device

Publications (1)

Publication Number Publication Date
US20150194311A1 true US20150194311A1 (en) 2015-07-09

Family

ID=53495759

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/150,681 Abandoned US20150194311A1 (en) 2014-01-08 2014-01-08 Method For Manufacturing Semiconductor Device

Country Status (3)

Country Link
US (1) US20150194311A1 (en)
CN (1) CN104766791B (en)
TW (1) TWI527130B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109390398A (en) * 2017-08-04 2019-02-26 旺宏电子股份有限公司 Semiconductor structure
CN111129141A (en) * 2018-10-31 2020-05-08 长鑫存储技术有限公司 Preparation method of semiconductor device and semiconductor device obtained by preparation method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6855592B2 (en) * 2001-12-15 2005-02-15 Hynix Semiconductor Inc. Method for manufacturing semiconductor device
US7101743B2 (en) * 2004-01-06 2006-09-05 Chartered Semiconductor Manufacturing L.T.D. Low cost source drain elevation through poly amorphizing implant technology
US7741699B2 (en) * 2006-06-09 2010-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having ultra-shallow and highly activated source/drain extensions
US20120309150A1 (en) * 2011-06-02 2012-12-06 Semiconductor Manufacturing International(Beijing) Corporation Method of fabricating semiconductor devices

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100552974C (en) * 2006-06-09 2009-10-21 台湾积体电路制造股份有限公司 Semiconductor element and forming method thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6855592B2 (en) * 2001-12-15 2005-02-15 Hynix Semiconductor Inc. Method for manufacturing semiconductor device
US7101743B2 (en) * 2004-01-06 2006-09-05 Chartered Semiconductor Manufacturing L.T.D. Low cost source drain elevation through poly amorphizing implant technology
US7741699B2 (en) * 2006-06-09 2010-06-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having ultra-shallow and highly activated source/drain extensions
US20120309150A1 (en) * 2011-06-02 2012-12-06 Semiconductor Manufacturing International(Beijing) Corporation Method of fabricating semiconductor devices

Also Published As

Publication number Publication date
TW201528382A (en) 2015-07-16
TWI527130B (en) 2016-03-21
CN104766791B (en) 2017-09-15
CN104766791A (en) 2015-07-08

Similar Documents

Publication Publication Date Title
US10347762B1 (en) Field effect transistor contact with reduced contact resistance using implantation process
US7479431B2 (en) Strained NMOS transistor featuring deep carbon doped regions and raised donor doped source and drain
CN100552974C (en) Semiconductor element and forming method thereof
US20060284249A1 (en) Impurity co-implantation to improve transistor performance
US7741699B2 (en) Semiconductor device having ultra-shallow and highly activated source/drain extensions
US8039375B2 (en) Shallow junction formation and high dopant activation rate of MOS devices
US9246006B2 (en) Recrystallization of source and drain blocks from above
US8318571B2 (en) Method for forming P-type lightly doped drain region using germanium pre-amorphous treatment
US9577040B2 (en) FinFET conformal junction and high epi surface dopant concentration method and device
CN108962987B (en) Semiconductor device and method for manufacturing the same
US9865505B2 (en) Method for reducing N-type FinFET source and drain resistance
US8993424B2 (en) Method for forming a semiconductor transistor device with optimized dopant profile
US7795101B2 (en) Method of forming a MOS transistor
US8927375B2 (en) Forming silicon-carbon embedded source/drain junctions with high substitutional carbon level
US20160079389A1 (en) Preparation method of semiconductor device
US7151032B2 (en) Methods of fabricating semiconductor devices
US20110097868A1 (en) Method for fabricating p-channel field-effect transistor (fet)
US20150194311A1 (en) Method For Manufacturing Semiconductor Device
US20170278949A1 (en) Stress memorization and defect suppression techniques for nmos transistor devices
US20080258178A1 (en) Method of forming a MOS transistor
US9741853B2 (en) Stress memorization techniques for transistor devices
US8664073B2 (en) Method for fabricating field-effect transistor
US20150004767A1 (en) Method of forming nickel salicide on a silicon-germanium layer
TWI469225B (en) Method of forming a mos transistor
CN111129141A (en) Preparation method of semiconductor device and semiconductor device obtained by preparation method

Legal Events

Date Code Title Description
AS Assignment

Owner name: MACRONIX INTERNATIONAL CO., LTD, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIN, TAO YUAN;YANG, I CHEN;CHANG, YAO WEN;SIGNING DATES FROM 20131230 TO 20131231;REEL/FRAME:031969/0806

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION