US20140072915A1 - Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof - Google Patents

Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof Download PDF

Info

Publication number
US20140072915A1
US20140072915A1 US14/081,057 US201314081057A US2014072915A1 US 20140072915 A1 US20140072915 A1 US 20140072915A1 US 201314081057 A US201314081057 A US 201314081057A US 2014072915 A1 US2014072915 A1 US 2014072915A1
Authority
US
United States
Prior art keywords
methyl
pentanol
hexanol
photoresist composition
photoresist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/081,057
Inventor
Kuang-Jung Chen
Wu-Song Huang
Wai-kin Li
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US14/081,057 priority Critical patent/US20140072915A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HUANG, WU-SONG S., LI, WAI-KIN, CHEN, KUANG-JUNG
Publication of US20140072915A1 publication Critical patent/US20140072915A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/016Diazonium salts or compounds
    • G03F7/021Macromolecular diazonium compounds; Macromolecular additives, e.g. binders
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions

Definitions

  • This invention relates generally to photolithography, and more particularly to a photoresist composition capable of negative development using an organic solvent as the developer. This invention is also directed to a pattern forming method of using such a photoresist composition.
  • Photolithography is widely used in semiconductor industry to fabricate electronic devices. Photolithography is a process which uses light to transfer a geometric pattern from a photomask to a substrate such as a silicon wafer.
  • a photoresist layer is first formed on the substrate.
  • the substrate is baked to remove any solvent remained in the photoresist layer.
  • the photoresist is then exposed through a photomask with a desired pattern to a source of actinic radiation.
  • the radiation exposure causes a chemical reaction in the exposed areas of the photoresist and creates a latent image corresponding to the mask pattern in the photoresist layer.
  • the photoresist is next developed in a developer solution, usually an aqueous base solution, to form a pattern in the photoresist layer.
  • a developer solution usually an aqueous base solution
  • the patterned photoresist can then be used as a mask for subsequent fabrication processes on the substrate, such as deposition, etching, or ion implantation processes.
  • a positive resist is initially insoluble in the developer solution. After exposure, the exposed region of the resist becomes soluble in the developer solution and is then selectively removed by the developer solution during the subsequent development step. The unexposed region of the positive resist remains on the substrate to form a pattern in the photoresist layer. The selective removal of the exposed region of a photoresist is thus called “positive development”.
  • a negative resist behaves in the opposite manner.
  • the negative resist is initially soluble in the developer solution.
  • Exposure to radiation typically initiates a crosslinking reaction which causes the exposed region of the negative resist to become insoluble in the developer solution.
  • the unexposed region of the negative resist is selectively removed by the developer solution, leaving the exposed region on the substrate to form a pattern.
  • a “negative development” refers to a process that selectively removes the unexposed region of a photoresist.
  • the present invention provides a photoresist composition which is capable of negative development using an organic solvent developer.
  • This invention also provides a pattern forming method which is capable of printing trenches and vias of small dimensions.
  • the present invention relates to a photoresist composition capable of negative development.
  • the photoresist composition includes an imaging polymer, a crosslinking agent and a radiation sensitive acid generator.
  • the imaging polymer includes a monomeric unit having an acid-labile moiety-substituted hydroxyl group.
  • the present invention relates to a method of forming a patterned material structure on a substrate.
  • the method includes the steps of: providing a substrate with a layer of the material; applying a photoresist composition to the substrate to form a photoresist layer over the material layer, the photoresist composition comprising an imaging polymer, a crosslinking agent and a radiation sensitive acid generator, the imaging polymer comprising a monomeric unit having an acid labile moiety-substituted hydroxyl group; patternwise exposing the substrate to radiation whereby acid is generated by the radiation sensitive acid generator; and contacting the photoresist layer with a developer comprising an organic solvent whereby an unexposed region of the photoresist layer is selectively removed by the developer to form a patterned structure in the photoresist layer.
  • the developer in the method above may be selected from the group consisting of an ether, a glycol ether, an aromatic hydrocarbon, a ketone, an ester and a combination of two or more of the foregoing solvents.
  • the method above may further include at least one of the following steps: baking the substrate at a temperature from about 70° C. to about 150° C., after the patternwise exposing step and before the contacting step; rinsing the photoresist layer with a second organic solvent after the contacting step; and transferring the patterned structure to the material layer.
  • the second organic solvent may be selected from the group consisting of 1-butanol, methanol, ethanol, 1-propanol, ethylene glycol, 1,2-butanediol, 1,3-butanediol, 1,4-butanediol, 1,2-propanediol, 1-methyl-2-butanol, 1-pentanol, 2-pentanol, 3-pentanol, 1-hexanol, 2-hexanol, 3-hexanol, 1-heptanol, 2-heptanol, 3-heptanol, 4-heptanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 2,4-dimethyl-3-pentanol, 3-e
  • the acid-labile moiety of the imaging polymer may be selected from the group consisting of a tertiary alkyl carbonate, a tertiary alkyl ether, an acetal, a ketal and an orthoester.
  • the acid-labile moiety is an acetal or a ketal group.
  • the monomeric unit of the imaging polymer preferably contains an alicyclic structure.
  • the imaging polymer may further include a second monomeric unit having a lactone group.
  • the crosslinking agent of the photoresist composition is preferably a glycoluril compound.
  • the radiation sensitive acid generator of the photoresist composition may include at least one of an onium salt, a succinimide derivative, a diazo compound, and a nitrobenzyl compound.
  • the photoresist composition may further include at least one of a solvent, a quencher, and a surfactant.
  • the solvent is preferably at least one of an ether, a glycol ether, an aromatic hydrocarbon, a ketone, and an ester.
  • the photoresist composition may contain about 1 to about 30 wt. % of the imaging polymer; about 1 to about 30 wt. % of the crosslinking agent, based on the total weight of the imaging polymer; about 0.5 to about 30 wt. % of the radiation sensitive acid generator, based on the total weight of the imaging polymer; and about 70 to about 99 wt. % of the solvent.
  • the present invention provides a photoresist composition for negative development which can be used to print trenches and vias of small dimensions.
  • the composition in the present invention combines the deprotection mechanism with the crosslinking mechanism to achieve a high dissolution contrast between the exposed and unexposed regions and to prevent thickness loss of the photoresist layer during the development step. This is accomplished by including an imaging polymer with an acid labile moiety-substituted hydroxyl group and a crosslinkable agent in the photoresist composition.
  • the photoresist composition of the present invention includes an imaging polymer, a crosslinking agent and a radiation sensitive acid generator.
  • the imaging polymer includes a monomeric unit having an acid-labile moiety-substituted hydroxyl group.
  • the monomeric unit is derived from monomers having a polymerizable moiety. Examples of the polymerizable moiety may include:
  • R 1 represents hydrogen, a linear or branched alkyl group of 1 to 20 carbons, a semi- or perfluorinated linear or branched alkyl group of 1 to 20 carbons, or CN;
  • t is an integer from 0 to 3.
  • the acid labile moiety in the monomeric unit may be a tertiary alkyl carbonate, a tertiary alkyl ether, an acetal, a ketal or an orthoester.
  • the acid-labile moiety is an acetal or a ketal group.
  • the monomeric unit of the imaging polymer preferably contains an alicyclic structure. More preferably, the alicyclic structure is an adamantane ring.
  • the hydroxyl group of the monomer unit is protected with the acid-labile moiety after the imaging polymer is formed.
  • Examples of monomers which the monomeric unit can derive from may include, but are not limited to:
  • R 2 is an acid labile moiety such as a tertiary alkyl carbonate, a tertiary alkyl ether, an acetal, a ketal or an orthoester group. More preferably, R 2 is an acetal or a ketal group.
  • the imaging polymer may further include a second monomeric unit having a lactone group.
  • the second monomeric unit of the polymer of the imaging polymer may allow for further regulation of, for example, dissolution properties, thermal properties, and etch resistance of the photoresist composition.
  • Examples of monomers which the second monomeric unit can derive from may include, but are not limited to:
  • the photoresist composition also includes a crosslinking agent.
  • a photoresist layer formed from the photoresist composition is exposed, the acid-labile moiety-substituted hydroxyl group may be de-protected in the exposed areas.
  • the de-protection of the acid-labile moiety-substituted hydroxyl group creates a solubility difference in an organic developer between the exposed and unexposed regions of the photoresist layer.
  • the crosslinking agent can react with the de-protected hydroxyl group of the imaging polymer in a manner which is catalyzed by acid and/or by heating to interlink or crosslink the imaging polymer chains.
  • the crosslinking of the imaging polymer chains further reduces the solubility of the exposed regions in the organic developer and thus enhances the solubility difference in the organic developer between the exposed and unexposed regions of the photoresist layer.
  • the crosslinking of the imaging polymer chains also reduces or eliminates the thickness loss of the exposed regions.
  • the crosslinking agent of the photoresist composition of the present invention is any suitable crosslinking agent known in the negative photoresist art which is compatible with the other selected components of the photoresist composition.
  • the crosslinking agent typically acts to crosslink the imaging polymer in the presence of a generated acid.
  • Typical crosslinking agents are glycoluril compounds such as tetramethoxymethyl glycoluril, methylpropyltetramethoxymethyl glycoluril, and methylphenyltetramethoxymethyl glycoluril, available under the POWDERLINK® trademark from Cytec Industries.
  • crosslinking agents include: 2,6-bis(hydroxymethyl)-p-cresol compounds such as those disclosed in Japanese Laid-Open Patent Application (Kokai) No. 1-293339, etherified amino resins, for example, methylated or butylated melamine resins (N-methoxymethyl- or N-butoxymethyl-melamine respectively), and methylated/butylated glycolurils, for example as disclosed in Canadian Patent No. 1 204 547.
  • Other crosslinking agents such as bis-epoxies or bis-phenols (e.g., bisphenol-A) may also be used. Combinations of two or more crosslinking agents may be preferred in some embodiments.
  • crosslinking agents suitable for use in the photoresist composition according to the present invention include, but are not limited to:
  • the photoresist composition of the present invention also includes a third component—a radiation sensitive acid generator.
  • the radiation sensitive acid generator also known as photoacid generator (PAG)
  • PAG photoacid generator
  • the PAG of the present invention may be one of an onium salt, a succinimide derivative, a diazo compound, a nitrobenzyl compound, and the like.
  • the PAGs may be such that they generate bulky acids upon exposure to radiation. Such bulky acids may include at least 4 carbon atoms.
  • a preferred PAG that may be employed in the present invention is an onium salt, such as an iodonium salt or a sulfonium salt, and/or a succinimide derivative.
  • the preferred PAG may include 4-(1-butoxynaphthyl) tetrahydrothiophenium perfluorobutanesulfonate, triphenyl sulfonium perfluorobutanesulfonate, t-butylphenyl diphenyl sulfonium perfluorobutanesulfonate, 4-(1-butoxynaphthyl) tetrahydrothiophenium perfluorooctanesulfonate, triphenyl sulfonium perfluorooctanesulfonate, t-butylphenyl diphenyl sulfonium perfluorooctanesulfonate, di(t-butbutoxynaphthy
  • the specific PAG selected will depend on the irradiation being used for patterning the photoresist. PAGs are currently available for a variety of different wavelengths of light from the visible range to the extreme UV range. Preferably, the PAG is one suitable for use in 193 nm (ArF) lithography.
  • ArF 193 nm
  • the photoresist composition of the present invention may further include a solvent, and other performance enhancing additives, for example, a quencher and a surfactant.
  • Solvents well known to those skilled in the art may be employed in the photoresist composition of various exemplary embodiments of the present invention. Such solvents may be used to dissolve the imaging polymer and other components of the photoresist composition. Illustrative examples of such solvents may include, but are not limited to: ethers, glycol ethers, aromatic hydrocarbons, ketones, esters and the like. A solvent system including a mixture of the aforementioned solvents is also contemplated.
  • Suitable glycol ethers include: 2-methoxyethyl ether (diglyme), ethylene glycol monomethyl ether, propylene glycol monomethyl ether, propylene glycol monomethylether acetate (PGMEA) and the like.
  • Suitable aromatic hydrocarbon solvents include: toluene, xylene, and benzene.
  • ketones include: methylisobutylketone, 2-heptanone, cycloheptanone, and cyclohexanone.
  • An example of an ether solvent is tetrahydrofuran, whereas ethyl lactate and ethoxy ethyl propionate are examples of ester solvents that may be employed in the present invention.
  • the quencher that may be used in the photoresist composition of the present invention may comprise a weak base that scavenges trace acids, while not having an excessive impact on the performance of the positive photoresist.
  • Illustrative examples of quenchers that can be employed in the present invention include, but are not limited to: aliphatic amines, aromatic amines, carboxylates, hydroxides, or combinations thereof and the like.
  • the optional surfactants that can be employed in the photoresist compositions include any surfactant that is capable of improving the coating homogeneity of the chemically amplified photoresist composition of the present invention.
  • Illustrative examples include: fluorine-containing surfactants such as 3M's FC-4430® and siloxane-containing surfactants such as Union Carbide's Silwet® series.
  • the photoresist composition may also include other components such as photosensitizers and/or other additives. If desired, combinations or mixtures of the components may be used (e.g., a photosensitizer and a base).
  • the optional photosensitizer is preferably one containing chromophores that are capable of absorbing irradiation in 193 nm (ArF) lithography.
  • Illustrative examples of such compounds include, but are not limited to: 9-anthracene methanol, coumarins, 9,10-bis(trimethoxysily ethynyl) anthracene and polymers containing these chromophores.
  • the photoresist composition of the invention may include: about 1 to about 30 wt. % of the imaging polymer, more preferably about 3 to about 15 wt. %; about 1 to about 30 wt. % of the crosslinking agent, based on the total weight of the imaging polymer, more preferably about 2 to about 20 wt. %; about 0.5 to about 30 wt. % of the radiation sensitive acid generator, based on the total weight of the imaging polymer, more preferably about 0.5 to about 15 wt. %; and a solvent, which may typically be present in amounts of about 70 to about 99 wt. % of the composition, more preferably about 85 to about 97 wt. %.
  • the photoresist composition may further comprise a quencher, which may typically be present in amounts of about 0.1 to about 10.0 wt. % based on the total weight of the imaging polymer, and a surfactant, which may typically be present in amounts of about 0.001 to about 1.0 wt. %, based on the total weight of the imaging polymer.
  • a photosensitizer When a photosensitizer is employed, it is preferably present in amounts of about 0.001 to about 8 weight %, based on the total weight of the imaging polymer.
  • the present invention also encompasses a method of using the photoresist composition described above to form patterned material features on a substrate.
  • a method includes the steps of: providing a substrate with a layer of the material; applying a photoresist composition to the substrate to form a photoresist layer over the material layer, the photoresist composition comprising an imaging polymer, a crosslinking agent and a radiation sensitive acid generator, the imaging polymer comprising a monomeric unit having an acid labile moiety-substituted hydroxyl group; patternwise exposing the substrate to radiation whereby acid is generated by the radiation sensitive acid generator; and contacting the photoresist layer with a developer comprising an organic solvent whereby an unexposed region of the photoresist layer is selectively removed by the developer to form a patterned structure in the photoresist layer.
  • the substrate is suitably any substrate conventionally used in processes involving photoresists.
  • the substrate can be silicon, silicon oxide, aluminum-aluminum oxide, gallium arsenide, ceramic, quartz, copper or any combination thereof, including multilayers.
  • the substrate can include one or more semiconductor layers or structures and can include active or operable portions of semiconductor devices.
  • the material layer may be a metal conductor layer, a ceramic insulator layer, a semiconductor layer or other material depending on the stage of the manufacture process and the desired material set for the end product.
  • the photoresist compositions of the invention are especially useful for lithographic processes used in the manufacture of integrated circuits on semiconductor substrates.
  • the photoresist compositions of the invention can be used in lithographic processes to create patterned material layer structures such as metal wiring lines, holes for contacts or vias, insulation sections (e.g., damascene trenches or shallow trench isolation), trenches for capacitor structures, ion implanted semiconductor structures for transistors, etc. as might be used in integrated circuit devices.
  • a bottom antireflective coating and/or underlayer coating may be applied between the photoresist layer and the material layer.
  • a top antireflective coating layer may be applied over the photoresist layer.
  • the invention is not limited to the use of antireflective reflective coatings and/or underlayer materials, nor specific compositions of those coatings or materials.
  • the photoresist layer may be formed by virtually any standard means including spin coating.
  • the photoresist layer may be baked (post applying bake (PAB)) to remove any solvent from the photoresist and improve the coherence of the photoresist layer.
  • PAB post applying bake
  • the preferred range of the PAB temperature for the photoresist layer is from about 70° C. to about 150° C., more preferably from about 90° C. to about 130° C.
  • the preferred range of thickness of the first layer is from about 20 nm to about 400 nm, more preferably from about 30 nm to about 300 nm.
  • the photoresist layer is then patternwise exposed to the desired radiation.
  • the radiation employed in the present invention can be visible light, ultraviolet (UV), extreme ultraviolet (EUV) and electron beam (E-beam). It is preferred that the imaging wavelength of the radiation is about 248 nm, 193 nm or 13 nm. It is more preferred that the imaging wavelength of the radiation is about 193 nm (ArF laser).
  • the patternwise exposure is conducted through a mask which is placed over the photoresist layer.
  • the photoresist layer is typically baked (post exposure bake (PEB)) to further complete the acid-catalyzed reaction and to enhance the contrast of the exposed pattern.
  • PEB post exposure bake
  • the preferred range of the PEB temperature is from about 70° C. to about 150° C., more preferably from about 90° C. to about 130° C. In some instances, it is possible to avoid the PEB step since for certain chemistries, such as acetal and ketal chemistries, deprotection of the resist polymer proceeds at room temperature.
  • the post-exposure bake is preferably conducted for about 30 seconds to 5 minutes.
  • the photoresist layer with the desired pattern is obtained (developed) by contacting the photoresist layer with a developer comprising an organic solvent.
  • the developer is selected from the group consisting of ethers, glycol ethers, aromatic hydrocarbons, ketones, esters and a combination of two or more of the foregoing solvents.
  • Suitable glycol ethers include: 2-methoxyethyl ether (diglyme), ethylene glycol monomethyl ether, propylene glycol monomethyl ether, propylene glycol monomethylether acetate (PGMEA) and the like.
  • Suitable aromatic hydrocarbon solvents include: toluene, xylene, and benzene.
  • ketones include: methylisobutylketone, 2-heptanone, cycloheptanone, and cyclohexanone.
  • An example of an ether solvent is tetrahydrofuran, whereas ethyl lactate, n-butyl acetate and ethoxy ethyl propionate (EEP) are examples of ester solvents that may be employed in the present invention.
  • the developer is 2-heptanone, PGMEA, or EEP. The developer selectively dissolves the areas of the photoresist which were unexposed to the radiation.
  • the development step in the present invention is a “negative development” step.
  • the method of the present invention may further include a step of rinsing the photoresist layer with a second organic solvent after the development step.
  • the second organic solvent has a slightly higher polarity than the developer.
  • Examples of such a second organic solvent may include, but are not limited to: 1-butanol, methanol, ethanol, 1-propanol, ethylene glycol, 1,2-butanediol, 1,3-butanediol, 1,4-butanediol, 1,2-propanediol, 1-methyl-2-butanol, 1-pentanol, 2-pentanol, 3-pentanol, 1-hexanol, 2-hexanol, 3-hexanol, 1-heptanol, 2-heptanol, 3-heptanol, 4-heptanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl
  • the pattern from the photoresist layer may then be transferred to the exposed portions of underlying material layer of the substrate by etching with a suitable etchant using techniques known in the art; preferably the transfer is done by reactive ion etching or by wet etching. Once the desired pattern transfer has taken place, any remaining photoresist may be removed using conventional stripping techniques. Alternatively, the pattern may be transferred by ion implantation to form a pattern of ion implanted material.
  • Polymer A was dissolved in PGMEA with 7 wt % triphenyl-sulfonium 1,1,2,2,3,3,4,4,4-nonafluoro-butane-1-sulfonate (TPSN) and 0.73 wt % of tert-butyl 2-phenyl-1,3-benzodiazole-1-carboxylate (bockbim) (all wt % are relative to the polymer) to make a solution with 4 wt % of solid content. The resulting solution was filtered through a 0.2 ⁇ m filter.
  • TPSN triphenyl-sulfonium 1,1,2,2,3,3,4,4,4-nonafluoro-butane-1-sulfonate
  • Bockbim tert-butyl 2-phenyl-1,3-benzodiazole-1-carboxylate
  • the resist was spin coated on a 12′′ silicon wafer with 42 nm thickness coating of Dow Chemical AR40 anti-reflective layer on top of LTO (low temperature oxide) and SiCOH (low k dielectric).
  • the resist was post-applying baked (PAB) at 100° C. for 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (0.93NA, 0.84 outer and 0.59 inner ⁇ annular illumination).
  • the wafer was then post-exposure baked (PEB) at 90° C. for 60 seconds. It was developed using a single puddle develop process for 30 seconds with PGMEA solvent as the developer. A 2 ⁇ m trench feature was resolved using a bright field mask.
  • Polymer B was dissolved in PGMEA with 7 wt % TPSN and 0.81 wt % of tetrabutylammonium hydroxide (TBAH) (all wt % are relative to polymer) to make a solution with 4 wt % of solid content.
  • TBAH tetrabutylammonium hydroxide
  • the resulting solution was filtered through a 0.2 lam filter.
  • the resist was spin coated on a 12′′ silicon wafer with 42 nm thickness coating of Dow Chemical AR40 anti-reflective layer on top of LTO (low temperature oxide) and SiCOH (low k dielectric).
  • the resist was post-applying baked (PAB) at 95° C.
  • Polymer C was dissolved in PGMEA with 7 wt % TPSN and 0.81 wt % of TBAH (all wt % are relative to polymer) to make a solution with 4 wt % of solid content.
  • the resulting solution was filtered through a 0.2 ⁇ m filter.
  • the resist was spin coated on a 12′′ silicon wafer with 42 nm thickness coating of Dow Chemical AR40 anti-reflective layer on top of LTO (low temperature oxide) and SiCOH (low k dielectric).
  • the resist was post-applying baked (PAB) at 95° C. for 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (0.93 NA, 0.84 outer and 0.59 inner ⁇ annular illumination).
  • the wafer was then post-exposure baked (PEB) at 95° C. for 60 seconds.
  • Polymer D was dissolved in PGMEA with 5 wt % TPSN and 0.5 wt % of bockbim (all wt % are relative to polymer) to make a solution with 4 wt % of solid content.
  • the resulting solution was filtered through a 0.2 ⁇ m filter.
  • the resist was spin coated on a 12′′ silicon wafer with 42 nm thickness coating of Dow Chemical AR40 anti-reflective layer on top of LTO (low temperature oxide) and SiCOH (low k dielectric).
  • the resist was post-applying baked (PAB) at 95° C. for 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (0.93 NA, 0.84 outer and 0.59 inner ⁇ annular illumination).
  • the wafer was then post-exposure baked (PEB) at 90° C. for 60 seconds. It was developed using a single puddle develop process for 30 seconds with 2-heptanone solvent as the developer. A 0.4 ⁇ m trench feature was resolved using a bright field mask.
  • PEB post-exposure baked
  • Polymer D was dissolved in PGMEA with 5 wt % TPSN, 0.5 wt % of bockbim and 6 wt % of powderlink PL-1174 (all wt % are relative to polymer) to make a solution with 4 wt % of solid content.
  • the resulting solution was filtered through a 0.2 ⁇ m filter.
  • the resist was spin coated on a 12′′ silicon wafer with 42 nm thickness coating of Dow Chemical AR40 anti-reflective layer on top of LTO (low temperature oxide) and SiCOH (low k dielectric).
  • the resist was post-applying baked (PAB) at 95° C.

Landscapes

  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Abstract

The present invention relates to a photoresist composition capable of negative development and a pattern forming method using the photoresist composition. The photoresist composition includes an imaging polymer, a crosslinking agent and a radiation sensitive acid generator. The imaging polymer includes a monomeric unit having an acid-labile moiety-substituted hydroxyl group. The patterning forming method utilizes an organic solvent developer to selectively remove an unexposed region of a photoresist layer of the photoresist composition to form a patterned structure in the photoresist layer. The photoresist composition and the pattern forming method are especially useful for forming material patterns on a semiconductor substrate using 193 nm (ArF) lithography.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of and claims priority from U.S. application Ser. No. 13/457,735 filed on Apr. 27, 2012, the entire contents of which are incorporated by reference herein.
  • FIELD OF THE INVENTION
  • This invention relates generally to photolithography, and more particularly to a photoresist composition capable of negative development using an organic solvent as the developer. This invention is also directed to a pattern forming method of using such a photoresist composition.
  • BACKGROUND OF THE INVENTION
  • Photolithography is widely used in semiconductor industry to fabricate electronic devices. Photolithography is a process which uses light to transfer a geometric pattern from a photomask to a substrate such as a silicon wafer. In a photolithography process, a photoresist layer is first formed on the substrate. The substrate is baked to remove any solvent remained in the photoresist layer. The photoresist is then exposed through a photomask with a desired pattern to a source of actinic radiation. The radiation exposure causes a chemical reaction in the exposed areas of the photoresist and creates a latent image corresponding to the mask pattern in the photoresist layer. The photoresist is next developed in a developer solution, usually an aqueous base solution, to form a pattern in the photoresist layer. The patterned photoresist can then be used as a mask for subsequent fabrication processes on the substrate, such as deposition, etching, or ion implantation processes.
  • Two types of photoresist have been used in photolithography: positive resist and negative resist. A positive resist is initially insoluble in the developer solution. After exposure, the exposed region of the resist becomes soluble in the developer solution and is then selectively removed by the developer solution during the subsequent development step. The unexposed region of the positive resist remains on the substrate to form a pattern in the photoresist layer. The selective removal of the exposed region of a photoresist is thus called “positive development”.
  • A negative resist behaves in the opposite manner. The negative resist is initially soluble in the developer solution. Exposure to radiation typically initiates a crosslinking reaction which causes the exposed region of the negative resist to become insoluble in the developer solution. During the subsequent development step, the unexposed region of the negative resist is selectively removed by the developer solution, leaving the exposed region on the substrate to form a pattern. Contrary to the “positive development”, a “negative development” refers to a process that selectively removes the unexposed region of a photoresist.
  • Most commercial photoresists for 193 nm photolithography are positive resists. However, as semiconductor ground rule continues to shrink, it has become ever more challenging to print small features, especially spaces such as trenches and vias of small dimensions using traditional positive resist with aqueous base developer due to the poor optical image contrast of the dark field masks used to create the trenches and vias. Therefore, there is a need for a photoresist composition and a pattern forming method that can print small features, particularly spaces of small dimensions.
  • SUMMARY OF THE INVENTION
  • The present invention provides a photoresist composition which is capable of negative development using an organic solvent developer. This invention also provides a pattern forming method which is capable of printing trenches and vias of small dimensions.
  • In one aspect, the present invention relates to a photoresist composition capable of negative development. The photoresist composition includes an imaging polymer, a crosslinking agent and a radiation sensitive acid generator. The imaging polymer includes a monomeric unit having an acid-labile moiety-substituted hydroxyl group.
  • In another aspect, the present invention relates to a method of forming a patterned material structure on a substrate. The method includes the steps of: providing a substrate with a layer of the material; applying a photoresist composition to the substrate to form a photoresist layer over the material layer, the photoresist composition comprising an imaging polymer, a crosslinking agent and a radiation sensitive acid generator, the imaging polymer comprising a monomeric unit having an acid labile moiety-substituted hydroxyl group; patternwise exposing the substrate to radiation whereby acid is generated by the radiation sensitive acid generator; and contacting the photoresist layer with a developer comprising an organic solvent whereby an unexposed region of the photoresist layer is selectively removed by the developer to form a patterned structure in the photoresist layer.
  • The developer in the method above may be selected from the group consisting of an ether, a glycol ether, an aromatic hydrocarbon, a ketone, an ester and a combination of two or more of the foregoing solvents.
  • The method above may further include at least one of the following steps: baking the substrate at a temperature from about 70° C. to about 150° C., after the patternwise exposing step and before the contacting step; rinsing the photoresist layer with a second organic solvent after the contacting step; and transferring the patterned structure to the material layer. The second organic solvent may be selected from the group consisting of 1-butanol, methanol, ethanol, 1-propanol, ethylene glycol, 1,2-butanediol, 1,3-butanediol, 1,4-butanediol, 1,2-propanediol, 1-methyl-2-butanol, 1-pentanol, 2-pentanol, 3-pentanol, 1-hexanol, 2-hexanol, 3-hexanol, 1-heptanol, 2-heptanol, 3-heptanol, 4-heptanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 2,4-dimethyl-3-pentanol, 3-ethyl-2-pentanol, 1-methylcyclopentanol, 2-methyl-1-hexanol, 2-methyl-2-hexanol, 2-methyl-3-hexanol, 3-methyl-3-hexanol, 4-methyl-3-hexanol, 5-methyl-1-hexanol, 5-methyl-2-hexanol, 5-methyl-3-hexanol, 4-methylcyclohexanol, 1,3-propanediol, and a combination of two or more of the foregoing solvents.
  • The acid-labile moiety of the imaging polymer may be selected from the group consisting of a tertiary alkyl carbonate, a tertiary alkyl ether, an acetal, a ketal and an orthoester. Preferably, the acid-labile moiety is an acetal or a ketal group. In addition, the monomeric unit of the imaging polymer preferably contains an alicyclic structure. The imaging polymer may further include a second monomeric unit having a lactone group.
  • The crosslinking agent of the photoresist composition is preferably a glycoluril compound.
  • The radiation sensitive acid generator of the photoresist composition may include at least one of an onium salt, a succinimide derivative, a diazo compound, and a nitrobenzyl compound.
  • The photoresist composition may further include at least one of a solvent, a quencher, and a surfactant. The solvent is preferably at least one of an ether, a glycol ether, an aromatic hydrocarbon, a ketone, and an ester. The photoresist composition may contain about 1 to about 30 wt. % of the imaging polymer; about 1 to about 30 wt. % of the crosslinking agent, based on the total weight of the imaging polymer; about 0.5 to about 30 wt. % of the radiation sensitive acid generator, based on the total weight of the imaging polymer; and about 70 to about 99 wt. % of the solvent.
  • DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS
  • The terms “a” and “an” herein do not denote a limitation of quantity, but rather denote the presence of at least one of the referenced item.
  • “Optional” or “optionally” means that the subsequently described event or circumstance may or may not occur, and the description includes instances where the subsequently described event or circumstance occurs and instances where it does not.
  • When an element, such as a layer, is referred to as being “on” or “over” another element, it can be directly on the other element or intervening elements may also be present. In contrast, when an element is referred to as being “directly on” or “directly over” another element, there are no intervening elements present.
  • As discussed above, most commercial photoresists for 193 nm photolithography are positive resists. As the feature size continues to shrink, it has become more difficult to print spaces such as trenches and vias of small dimensions using traditional positive resists. To create trenches and vias with positive resists, dark field masks need to be used. However, the optical image contrast of the dark field masks diminishes as the feature sizes of the trenches and vias decrease.
  • Recently, a pattern forming method using traditional positive resists for negative development has gained much attention. Like traditional approaches using positive resists, this method relies on the de-protection mechanism to create dissolution contrast between exposed and unexposed regions in the photoresist layer. However, instead of aqueous alkaline developer, an organic solvent is then used in this method as the developer for negative development which selectively removes the unexposed regions of the photoresist layer. Since the resist in the exposed regions often has some solubility in the organic solvent developer, this method may suffer from thickness loss after the development step.
  • The present invention provides a photoresist composition for negative development which can be used to print trenches and vias of small dimensions. The composition in the present invention combines the deprotection mechanism with the crosslinking mechanism to achieve a high dissolution contrast between the exposed and unexposed regions and to prevent thickness loss of the photoresist layer during the development step. This is accomplished by including an imaging polymer with an acid labile moiety-substituted hydroxyl group and a crosslinkable agent in the photoresist composition.
  • In one embodiment, the photoresist composition of the present invention includes an imaging polymer, a crosslinking agent and a radiation sensitive acid generator. The imaging polymer includes a monomeric unit having an acid-labile moiety-substituted hydroxyl group. The monomeric unit is derived from monomers having a polymerizable moiety. Examples of the polymerizable moiety may include:
  • Figure US20140072915A1-20140313-C00001
  • where R1 represents hydrogen, a linear or branched alkyl group of 1 to 20 carbons, a semi- or perfluorinated linear or branched alkyl group of 1 to 20 carbons, or CN; and
  • Figure US20140072915A1-20140313-C00002
  • where t is an integer from 0 to 3.
  • The acid labile moiety in the monomeric unit may be a tertiary alkyl carbonate, a tertiary alkyl ether, an acetal, a ketal or an orthoester. Preferably, the acid-labile moiety is an acetal or a ketal group. In addition, the monomeric unit of the imaging polymer preferably contains an alicyclic structure. More preferably, the alicyclic structure is an adamantane ring. In one preferred embodiment, the hydroxyl group of the monomer unit is protected with the acid-labile moiety after the imaging polymer is formed.
  • Examples of monomers which the monomeric unit can derive from may include, but are not limited to:
  • Figure US20140072915A1-20140313-C00003
    Figure US20140072915A1-20140313-C00004
    Figure US20140072915A1-20140313-C00005
  • wherein R2 is an acid labile moiety such as a tertiary alkyl carbonate, a tertiary alkyl ether, an acetal, a ketal or an orthoester group. More preferably, R2 is an acetal or a ketal group.
  • The imaging polymer may further include a second monomeric unit having a lactone group. The second monomeric unit of the polymer of the imaging polymer may allow for further regulation of, for example, dissolution properties, thermal properties, and etch resistance of the photoresist composition. Examples of monomers which the second monomeric unit can derive from may include, but are not limited to:
  • Figure US20140072915A1-20140313-C00006
    Figure US20140072915A1-20140313-C00007
  • The photoresist composition also includes a crosslinking agent. When a photoresist layer formed from the photoresist composition is exposed, the acid-labile moiety-substituted hydroxyl group may be de-protected in the exposed areas. The de-protection of the acid-labile moiety-substituted hydroxyl group creates a solubility difference in an organic developer between the exposed and unexposed regions of the photoresist layer. In addition, in the exposed regions, the crosslinking agent can react with the de-protected hydroxyl group of the imaging polymer in a manner which is catalyzed by acid and/or by heating to interlink or crosslink the imaging polymer chains. The crosslinking of the imaging polymer chains further reduces the solubility of the exposed regions in the organic developer and thus enhances the solubility difference in the organic developer between the exposed and unexposed regions of the photoresist layer. The crosslinking of the imaging polymer chains also reduces or eliminates the thickness loss of the exposed regions.
  • Generally, the crosslinking agent of the photoresist composition of the present invention is any suitable crosslinking agent known in the negative photoresist art which is compatible with the other selected components of the photoresist composition. The crosslinking agent typically acts to crosslink the imaging polymer in the presence of a generated acid. Typical crosslinking agents are glycoluril compounds such as tetramethoxymethyl glycoluril, methylpropyltetramethoxymethyl glycoluril, and methylphenyltetramethoxymethyl glycoluril, available under the POWDERLINK® trademark from Cytec Industries. Other possible crosslinking agents include: 2,6-bis(hydroxymethyl)-p-cresol compounds such as those disclosed in Japanese Laid-Open Patent Application (Kokai) No. 1-293339, etherified amino resins, for example, methylated or butylated melamine resins (N-methoxymethyl- or N-butoxymethyl-melamine respectively), and methylated/butylated glycolurils, for example as disclosed in Canadian Patent No. 1 204 547. Other crosslinking agents such as bis-epoxies or bis-phenols (e.g., bisphenol-A) may also be used. Combinations of two or more crosslinking agents may be preferred in some embodiments.
  • Some particular examples of crosslinking agents suitable for use in the photoresist composition according to the present invention include, but are not limited to:
  • Figure US20140072915A1-20140313-C00008
    Figure US20140072915A1-20140313-C00009
    Figure US20140072915A1-20140313-C00010
    Figure US20140072915A1-20140313-C00011
  • The photoresist composition of the present invention also includes a third component—a radiation sensitive acid generator. The radiation sensitive acid generator, also known as photoacid generator (PAG), is a compound that generates an acid upon exposure to radiation. The PAG of the present invention may be one of an onium salt, a succinimide derivative, a diazo compound, a nitrobenzyl compound, and the like. To minimize acid diffusion for high resolution capability, the PAGs may be such that they generate bulky acids upon exposure to radiation. Such bulky acids may include at least 4 carbon atoms.
  • A preferred PAG that may be employed in the present invention is an onium salt, such as an iodonium salt or a sulfonium salt, and/or a succinimide derivative. In various exemplary embodiments of the present invention, the preferred PAG may include 4-(1-butoxynaphthyl) tetrahydrothiophenium perfluorobutanesulfonate, triphenyl sulfonium perfluorobutanesulfonate, t-butylphenyl diphenyl sulfonium perfluorobutanesulfonate, 4-(1-butoxynaphthyl) tetrahydrothiophenium perfluorooctanesulfonate, triphenyl sulfonium perfluorooctanesulfonate, t-butylphenyl diphenyl sulfonium perfluorooctanesulfonate, di(t-butylphenyl) iodonium perfluorobutane sulfonate, di(t-butylphenyl) iodonium perfluorohexane sulfonate, di(t-butylphenyl) iodonium perfluoroethylcyclohexane sulfonate, di(t-buylphenyl)iodonium camphoresulfonate, and perfluorobutylsulfonyloxybicylo[2.2.1]-hept-5-ene-2,3-dicarboximide. Any of these PAGs may be used singly or in a mixture of two or more. Both fluorinated and fluorine-free PAGs can be used in the present invention.
  • The specific PAG selected will depend on the irradiation being used for patterning the photoresist. PAGs are currently available for a variety of different wavelengths of light from the visible range to the extreme UV range. Preferably, the PAG is one suitable for use in 193 nm (ArF) lithography.
  • The photoresist composition of the present invention may further include a solvent, and other performance enhancing additives, for example, a quencher and a surfactant. Solvents well known to those skilled in the art may be employed in the photoresist composition of various exemplary embodiments of the present invention. Such solvents may be used to dissolve the imaging polymer and other components of the photoresist composition. Illustrative examples of such solvents may include, but are not limited to: ethers, glycol ethers, aromatic hydrocarbons, ketones, esters and the like. A solvent system including a mixture of the aforementioned solvents is also contemplated. Suitable glycol ethers include: 2-methoxyethyl ether (diglyme), ethylene glycol monomethyl ether, propylene glycol monomethyl ether, propylene glycol monomethylether acetate (PGMEA) and the like. Suitable aromatic hydrocarbon solvents include: toluene, xylene, and benzene. Examples of ketones include: methylisobutylketone, 2-heptanone, cycloheptanone, and cyclohexanone. An example of an ether solvent is tetrahydrofuran, whereas ethyl lactate and ethoxy ethyl propionate are examples of ester solvents that may be employed in the present invention.
  • The quencher that may be used in the photoresist composition of the present invention may comprise a weak base that scavenges trace acids, while not having an excessive impact on the performance of the positive photoresist. Illustrative examples of quenchers that can be employed in the present invention include, but are not limited to: aliphatic amines, aromatic amines, carboxylates, hydroxides, or combinations thereof and the like.
  • The optional surfactants that can be employed in the photoresist compositions include any surfactant that is capable of improving the coating homogeneity of the chemically amplified photoresist composition of the present invention. Illustrative examples include: fluorine-containing surfactants such as 3M's FC-4430® and siloxane-containing surfactants such as Union Carbide's Silwet® series.
  • In addition to the above components, the photoresist composition may also include other components such as photosensitizers and/or other additives. If desired, combinations or mixtures of the components may be used (e.g., a photosensitizer and a base). The optional photosensitizer is preferably one containing chromophores that are capable of absorbing irradiation in 193 nm (ArF) lithography. Illustrative examples of such compounds include, but are not limited to: 9-anthracene methanol, coumarins, 9,10-bis(trimethoxysily ethynyl) anthracene and polymers containing these chromophores.
  • In various exemplary embodiments of the present invention, the photoresist composition of the invention may include: about 1 to about 30 wt. % of the imaging polymer, more preferably about 3 to about 15 wt. %; about 1 to about 30 wt. % of the crosslinking agent, based on the total weight of the imaging polymer, more preferably about 2 to about 20 wt. %; about 0.5 to about 30 wt. % of the radiation sensitive acid generator, based on the total weight of the imaging polymer, more preferably about 0.5 to about 15 wt. %; and a solvent, which may typically be present in amounts of about 70 to about 99 wt. % of the composition, more preferably about 85 to about 97 wt. %.
  • In various exemplary embodiments, the photoresist composition may further comprise a quencher, which may typically be present in amounts of about 0.1 to about 10.0 wt. % based on the total weight of the imaging polymer, and a surfactant, which may typically be present in amounts of about 0.001 to about 1.0 wt. %, based on the total weight of the imaging polymer. When a photosensitizer is employed, it is preferably present in amounts of about 0.001 to about 8 weight %, based on the total weight of the imaging polymer.
  • Note that the amounts given above are exemplary and that other amounts of each of the above components, which are typically employed in the photolithography industry, can also be employed herein.
  • The present invention also encompasses a method of using the photoresist composition described above to form patterned material features on a substrate. In one embodiment, such a method includes the steps of: providing a substrate with a layer of the material; applying a photoresist composition to the substrate to form a photoresist layer over the material layer, the photoresist composition comprising an imaging polymer, a crosslinking agent and a radiation sensitive acid generator, the imaging polymer comprising a monomeric unit having an acid labile moiety-substituted hydroxyl group; patternwise exposing the substrate to radiation whereby acid is generated by the radiation sensitive acid generator; and contacting the photoresist layer with a developer comprising an organic solvent whereby an unexposed region of the photoresist layer is selectively removed by the developer to form a patterned structure in the photoresist layer.
  • The substrate is suitably any substrate conventionally used in processes involving photoresists. For example, the substrate can be silicon, silicon oxide, aluminum-aluminum oxide, gallium arsenide, ceramic, quartz, copper or any combination thereof, including multilayers. The substrate can include one or more semiconductor layers or structures and can include active or operable portions of semiconductor devices.
  • The material layer may be a metal conductor layer, a ceramic insulator layer, a semiconductor layer or other material depending on the stage of the manufacture process and the desired material set for the end product. The photoresist compositions of the invention are especially useful for lithographic processes used in the manufacture of integrated circuits on semiconductor substrates. The photoresist compositions of the invention can be used in lithographic processes to create patterned material layer structures such as metal wiring lines, holes for contacts or vias, insulation sections (e.g., damascene trenches or shallow trench isolation), trenches for capacitor structures, ion implanted semiconductor structures for transistors, etc. as might be used in integrated circuit devices.
  • In some cases, a bottom antireflective coating and/or underlayer coating (e.g., a planarizing underlayer) may be applied between the photoresist layer and the material layer. In other cases, a top antireflective coating layer may be applied over the photoresist layer. The invention is not limited to the use of antireflective reflective coatings and/or underlayer materials, nor specific compositions of those coatings or materials.
  • The photoresist layer may be formed by virtually any standard means including spin coating. The photoresist layer may be baked (post applying bake (PAB)) to remove any solvent from the photoresist and improve the coherence of the photoresist layer. The preferred range of the PAB temperature for the photoresist layer is from about 70° C. to about 150° C., more preferably from about 90° C. to about 130° C. The preferred range of thickness of the first layer is from about 20 nm to about 400 nm, more preferably from about 30 nm to about 300 nm.
  • The photoresist layer is then patternwise exposed to the desired radiation. The radiation employed in the present invention can be visible light, ultraviolet (UV), extreme ultraviolet (EUV) and electron beam (E-beam). It is preferred that the imaging wavelength of the radiation is about 248 nm, 193 nm or 13 nm. It is more preferred that the imaging wavelength of the radiation is about 193 nm (ArF laser). The patternwise exposure is conducted through a mask which is placed over the photoresist layer.
  • After the desired patternwise exposure, the photoresist layer is typically baked (post exposure bake (PEB)) to further complete the acid-catalyzed reaction and to enhance the contrast of the exposed pattern. The preferred range of the PEB temperature is from about 70° C. to about 150° C., more preferably from about 90° C. to about 130° C. In some instances, it is possible to avoid the PEB step since for certain chemistries, such as acetal and ketal chemistries, deprotection of the resist polymer proceeds at room temperature. The post-exposure bake is preferably conducted for about 30 seconds to 5 minutes.
  • After PEB, if any, the photoresist layer with the desired pattern is obtained (developed) by contacting the photoresist layer with a developer comprising an organic solvent. Preferably, the developer is selected from the group consisting of ethers, glycol ethers, aromatic hydrocarbons, ketones, esters and a combination of two or more of the foregoing solvents. Suitable glycol ethers include: 2-methoxyethyl ether (diglyme), ethylene glycol monomethyl ether, propylene glycol monomethyl ether, propylene glycol monomethylether acetate (PGMEA) and the like. Suitable aromatic hydrocarbon solvents include: toluene, xylene, and benzene. Examples of ketones include: methylisobutylketone, 2-heptanone, cycloheptanone, and cyclohexanone. An example of an ether solvent is tetrahydrofuran, whereas ethyl lactate, n-butyl acetate and ethoxy ethyl propionate (EEP) are examples of ester solvents that may be employed in the present invention. More preferably, the developer is 2-heptanone, PGMEA, or EEP. The developer selectively dissolves the areas of the photoresist which were unexposed to the radiation. Hence, the development step in the present invention is a “negative development” step.
  • Optionally, the method of the present invention may further include a step of rinsing the photoresist layer with a second organic solvent after the development step.
  • After resist development, some residues may remain on the substrate due to its low solubility in developer. Rinse with the second organic solvent removes these residues and provides clean resist images. Preferably, the second organic solvent has a slightly higher polarity than the developer. Examples of such a second organic solvent may include, but are not limited to: 1-butanol, methanol, ethanol, 1-propanol, ethylene glycol, 1,2-butanediol, 1,3-butanediol, 1,4-butanediol, 1,2-propanediol, 1-methyl-2-butanol, 1-pentanol, 2-pentanol, 3-pentanol, 1-hexanol, 2-hexanol, 3-hexanol, 1-heptanol, 2-heptanol, 3-heptanol, 4-heptanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 2,4-dimethyl-3-pentanol, 3-ethyl-2-pentanol, 1-methylcyclopentanol, 2-methyl-1-hexanol, 2-methyl-2-hexanol, 2-methyl-3-hexanol, 3-methyl-3-hexanol, 4-methyl-3-hexanol, 5-methyl-1-hexanol, 5-methyl-2-hexanol, 5-methyl-3-hexanol, 4-methylcyclohexanol, 1,3-propanediol, and a combination of two or more of the foregoing solvents.
  • The pattern from the photoresist layer may then be transferred to the exposed portions of underlying material layer of the substrate by etching with a suitable etchant using techniques known in the art; preferably the transfer is done by reactive ion etching or by wet etching. Once the desired pattern transfer has taken place, any remaining photoresist may be removed using conventional stripping techniques. Alternatively, the pattern may be transferred by ion implantation to form a pattern of ion implanted material.
  • Examples of general lithographic processes where the composition of the invention may be useful are disclosed in U.S. Pat. Nos. 4,855,017; 5,362,663; 5,429,710; 5,562,801; 5,618,751; 5,744,376; 5,801,094; 5,821,469 and 5,948,570. Other examples of pattern transfer processes are described in Chapters 12 and 13 of “Semiconductor Lithography, Principles, Practices, and Materials” by Wayne Moreau, Plenum Press, (1988). It should be understood that the invention is not limited to any specific lithography technique or device structure.
  • The invention is further described by the examples below. The invention is not limited to the specific details of the examples.
  • Monomer Structures:
  • Figure US20140072915A1-20140313-C00012
  • Example 1 Synthesis of Acid Labile Groups Protected Polymer of 3-hydroxy-1-adamantyl methacrylate (Polymer A)
  • To a round bottom flask equipped with a condenser, a thermometer, an argon inlet and a magnetic stirrer bar, 3-hydroxy-1-adamantyl methacrylate (HAdMA) monomer (3.546 g, 0.015 mole), 2,2′-azobis(2-methylpropionitrile (AIBN) (0.172 g, 7% of total moles of monomer), and ˜15 g of tetrahydrofuran (THF) were added. The reaction mixture was stirred at room temperature and bubbled with Ar flow for 45 minutes prior to turning on the heating mantle. The reaction was carried out at 72° C. under Ar inert atmosphere overnight. Then the reaction solution was cooled to room temperature and precipitated in hexane. The precipitated polymer was filtered and washed with hexane. The collected solid was dried by vacuum oven at 40° C. overnight.
  • 1.4 g of the above obtained polymer was dissolved in 18.9 g of PGMEA in a round bottom flask. To the polymer solution approximately 40 mg of p-toluenesulfonic acid was added. After the acid was dissolved, 1.7 g of 1-methoxycyclohexene, 1.1 g of 2-methoxypropene and 0.5 g of dihydropyrane were added to the solution. The reaction was carried out at room temperature for a few days until the solution was clear. The reaction was then quenched with 5 g of basic active aluminum oxide. The quenched solution was filtered through 0.2 μm filter into a glass bottle.
  • Example 2 Synthesis of Dihydropyrane Protected Copolymer of 3-(2-hydroxyethoxy)-1-adamantyl methacrylate and 5-methacryloyloxy-2,6-norbornanecarbo-gamma-lactone (Polymer B)
  • To a round bottom flask equipped with a condenser, a thermometer, an argon inlet and a magnetic stirrer bar, 3-(2-hydroxyethoxy)-1-adamantyl methacrylate (HEAdMA) monomer (4.44 g, 0.02 mole), 5-methacryloyloxy-2,6-norbornanecarbo-gamma-lactone (NLM) monomer (5.61 g, 0.02 mole), AIBN (0.328 g, 5% of total moles of monomers), and ˜40 g of THF were added. The reaction mixture was stirred at room temperature and bubbled with Ar flow for 45 minutes prior to turning on the heating mantle. The reaction was carried out at 72° C. under Ar inert atmosphere overnight. Then the reaction solution was cooled to room temperature and precipitated in DI water. The precipitated polymer was filtered and washed with DI water. The collected solid was dried by vacuum oven at 65° C. overnight.
  • 2 g of the above obtained polymer was dissolved in 18 g of PGMEA in a round bottom flask. To the polymer solution approximately 10 mg of p-toluenesulfonic acid was added. After the acid was dissolved, 1.5 g of dihydropyrane was added to the solution, and the reaction was carried out at room temperature overnight. The solution became clear. The reaction was then quenched with 3 g of basic active aluminum oxide. The quenched solution was filtered through 0.2 μm filter into a glass bottle.
  • Example 3 Synthesis of Dihydropyrane Protected Copolymer of 3-hydroxy-1-adamantyl methacrylate and 5-methacryloyloxy-2,6-norbornanecarbo-gamma-lactone (Polymer C)
  • To a round bottom flask equipped with a condenser, a thermometer, an argon inlet and a magnetic stirrer bar, HAdMA monomer (3.552 g, 0.016 mole), NLM monomer (5.674 g, 0.024 mole), AIBN (0.394 g, 6% of total moles of monomers), and ˜30 g of THF were added. The reaction mixture was stirred at room temperature and bubbled with Ar flow for 45 minutes prior to turning on the heating mantle. The reaction was carried out at 72° C. under Ar inert atmosphere overnight. Then the reaction solution was cooled to room temperature and precipitated in DI water. The precipitated polymer was filtered and washed with DI water. The collected solid was dried by vacuum oven at 65° C. overnight.
  • 3 g of the above obtained polymer was dissolved in 17 g of PGMEA in a round bottom flask. To the polymer solution approximately 3.7 mg of p-toluenesulfonic acid was added. After the acid was dissolved, 2.17 g of dihydropyrane was added to the solution, and the reaction was carried out at room temperature overnight. The solution became clear. The reaction was then quenched with 5 g of basic active aluminum oxide. The quenched solution was filtered through 0.2 μm filter into a glass bottle.
  • Example 4 Synthesis of Dihydropyrane Protected Polymer of 3-(2-hydroxyethoxy)-1-adamantyl methacrylate (Polymer D)
  • To a round bottom flask equipped with a condenser, a thermometer, an argon inlet and a magnetic stirrer bar, HEAdMA monomer (11.22 g, 0.04 mole), AIBN (0.459 g, 7% of total moles of monomer), and ˜45 g of THF were added. The reaction mixture was stirred at room temperature and bubbled with Ar flow for 45 minutes prior to turning on the heating mantle. The reaction was carried out at 72° C. under Ar inert atmosphere overnight. Then the reaction solution was cooled to room temperature and precipitated in DI water. The precipitated polymer was filtered and washed with DI water. The collected solid was dried by vacuum oven at 65° C. overnight.
  • 5 g of the above obtained polymer was dissolved in 18 g of THF in a round bottom flask. To the polymer solution approximately 36 mg of p-toluenesulfonic acid was added. After the acid was dissolved, 7 g of dihydropyrane was added to the solution, and the reaction was carried out at room temperature overnight. The reaction was then quenched with 2 ml of concentrated ammonium hydroxide. Then the reaction solution was precipitated in DI water with small amount of ammonium hydroxide. The precipitated polymer was filtered and washed with DI water. The collected solid was dried by vacuum oven at 50° C. overnight.
  • Example 5 Resist E Formulation
  • Polymer A was dissolved in PGMEA with 7 wt % triphenyl-sulfonium 1,1,2,2,3,3,4,4,4-nonafluoro-butane-1-sulfonate (TPSN) and 0.73 wt % of tert-butyl 2-phenyl-1,3-benzodiazole-1-carboxylate (bockbim) (all wt % are relative to the polymer) to make a solution with 4 wt % of solid content. The resulting solution was filtered through a 0.2 μm filter. The resist was spin coated on a 12″ silicon wafer with 42 nm thickness coating of Dow Chemical AR40 anti-reflective layer on top of LTO (low temperature oxide) and SiCOH (low k dielectric). The resist was post-applying baked (PAB) at 100° C. for 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (0.93NA, 0.84 outer and 0.59 inner σ annular illumination). The wafer was then post-exposure baked (PEB) at 90° C. for 60 seconds. It was developed using a single puddle develop process for 30 seconds with PGMEA solvent as the developer. A 2 μm trench feature was resolved using a bright field mask.
  • Example 6 Resist F Formulation
  • Polymer B was dissolved in PGMEA with 7 wt % TPSN and 0.81 wt % of tetrabutylammonium hydroxide (TBAH) (all wt % are relative to polymer) to make a solution with 4 wt % of solid content. The resulting solution was filtered through a 0.2 lam filter. The resist was spin coated on a 12″ silicon wafer with 42 nm thickness coating of Dow Chemical AR40 anti-reflective layer on top of LTO (low temperature oxide) and SiCOH (low k dielectric). The resist was post-applying baked (PAB) at 95° C. for 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (0.93 NA, 0.84 outer and 0.59 inner σ annular illumination). The wafer was then post-exposure baked (PEB) at 95° C. for 60 seconds. It was developed using a single puddle develop process for 30 seconds with PGMEA solvent as the developer. A 5 μm trench feature was resolved using a bright field mask.
  • Example 7 Resist G Formulation
  • Polymer C was dissolved in PGMEA with 7 wt % TPSN and 0.81 wt % of TBAH (all wt % are relative to polymer) to make a solution with 4 wt % of solid content. The resulting solution was filtered through a 0.2 μm filter. The resist was spin coated on a 12″ silicon wafer with 42 nm thickness coating of Dow Chemical AR40 anti-reflective layer on top of LTO (low temperature oxide) and SiCOH (low k dielectric). The resist was post-applying baked (PAB) at 95° C. for 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (0.93 NA, 0.84 outer and 0.59 inner σ annular illumination). The wafer was then post-exposure baked (PEB) at 95° C. for 60 seconds.
  • It was developed using a single puddle develop process for 30 seconds with PGMEA solvent developer. No features were resolved using a bright field mask.
  • Example 8 Resist H Formulation
  • Polymer D was dissolved in PGMEA with 5 wt % TPSN and 0.5 wt % of bockbim (all wt % are relative to polymer) to make a solution with 4 wt % of solid content. The resulting solution was filtered through a 0.2 μm filter. The resist was spin coated on a 12″ silicon wafer with 42 nm thickness coating of Dow Chemical AR40 anti-reflective layer on top of LTO (low temperature oxide) and SiCOH (low k dielectric). The resist was post-applying baked (PAB) at 95° C. for 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (0.93 NA, 0.84 outer and 0.59 inner σ annular illumination). The wafer was then post-exposure baked (PEB) at 90° C. for 60 seconds. It was developed using a single puddle develop process for 30 seconds with 2-heptanone solvent as the developer. A 0.4 μm trench feature was resolved using a bright field mask.
  • Example 9 Resist I Formulation
  • Polymer D was dissolved in PGMEA with 5 wt % TPSN, 0.5 wt % of bockbim and 6 wt % of powderlink PL-1174 (all wt % are relative to polymer) to make a solution with 4 wt % of solid content. The resulting solution was filtered through a 0.2 μm filter. The resist was spin coated on a 12″ silicon wafer with 42 nm thickness coating of Dow Chemical AR40 anti-reflective layer on top of LTO (low temperature oxide) and SiCOH (low k dielectric). The resist was post-applying baked (PAB) at 95° C. for 60 seconds and exposed to 193 nm wavelength light on an ASML stepper (0.93 NA, 0.84 outer and 0.59 inner σ annular illumination). The wafer was then post-exposure baked (PEB) at 95° C. for 60 seconds. It was developed using a single puddle develop process for 30 seconds with 2-heptanone solvent as the developer. A 3.5 μm trench feature was resolved using a bright field mask.
  • While the present invention has been particularly shown and described with respect to preferred embodiments, it will be understood by those skilled in the art that the foregoing and other changes in forms and details may be made without departing from the spirit and scope of the invention. It is therefore intended that the present invention not be limited to the exact forms and details described and illustrated but fall within the scope of the appended claims.

Claims (10)

What is claimed is:
1. A method of forming a patterned material structure on a substrate, the method comprising:
providing a substrate with a layer of the material;
applying a photoresist composition to the substrate to form a photoresist layer over the material layer, the photoresist composition comprising an imaging polymer, a crosslinking agent and a radiation sensitive acid generator, the imaging polymer comprising a monomeric unit having an acid labile moiety-substituted hydroxyl group;
patternwise exposing the substrate to radiation whereby acid is generated by the radiation sensitive acid generator; and
contacting the photoresist layer with a developer comprising an organic solvent whereby an unexposed region of the photoresist layer is selectively removed by the developer to form a patterned structure in the photoresist layer.
2. The method of claim 1, further comprising:
transferring the patterned structure to the material layer.
3. The method of claim 1, wherein the developer is selected from the group consisting of an ether, a glycol ether, an aromatic hydrocarbon, a ketone, an ester and a combination of two or more of the foregoing solvents.
4. The method of claim 1, further comprising:
rinsing the photoresist layer with a second organic solvent after the contacting step.
5. The method of claim 4, wherein the second organic solvent is selected from the group consisting of 1-butanol, methanol, ethanol, 1-propanol, ethylene glycol, 1,2-butanediol, 1,3-butanediol, 1,4-butanediol, 1,2-propanediol, 1-methyl-2-butanol, 1-pentanol, 2-pentanol, 3-pentanol, 1-hexanol, 2-hexanol, 3-hexanol, 1-heptanol, 2-heptanol, 3-heptanol, 4-heptanol, 2-methyl-1-pentanol, 2-methyl-2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol, 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 2,4-dimethyl-3-pentanol, 3-ethyl-2-pentanol, 1-methylcyclopentanol, 2-methyl-1-hexanol, 2-methyl-2-hexanol, 2-methyl-3-hexanol, 3-methyl-3-hexanol, 4-methyl-3-hexanol, 5-methyl-1-hexanol, 5-methyl-2-hexanol, 5-methyl-3-hexanol, 4-methylcyclohexanol, 1,3-propanediol, and a combination of two or more of the foregoing solvents.
6. The method of claim 1, further comprising, after the patternwise exposing step and before the contacting step, baking the substrate at a temperature from about 70° C. to about 150° C.
7. The method of claim 1, wherein the acid-labile moiety is selected from the group consisting of a tertiary alkyl carbonate, a tertiary alkyl ether, an acetal, a ketal and an orthoester.
8. The method of claim 7, wherein the acid-labile moiety is an acetal or a ketal group.
9. The method of claim 1, wherein the photoresist composition further comprises at least one of a solvent, a quencher, and a surfactant.
10. The method of claim 9, wherein the photoresist composition comprises:
about 1 to about 30 wt. % of the imaging polymer;
about 1 to about 30 wt. % of the crosslinking agent, based on the total weight of the imaging polymer;
about 0.5 to about 30 wt. % of the radiation sensitive acid generator, based on the total weight of the imaging polymer; and
about 70 to about 99 wt. % of the solvent.
US14/081,057 2012-04-27 2013-11-15 Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof Abandoned US20140072915A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/081,057 US20140072915A1 (en) 2012-04-27 2013-11-15 Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/457,735 US8846295B2 (en) 2012-04-27 2012-04-27 Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof
US14/081,057 US20140072915A1 (en) 2012-04-27 2013-11-15 Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/457,735 Division US8846295B2 (en) 2012-04-27 2012-04-27 Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof

Publications (1)

Publication Number Publication Date
US20140072915A1 true US20140072915A1 (en) 2014-03-13

Family

ID=49461984

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/457,735 Expired - Fee Related US8846295B2 (en) 2012-04-27 2012-04-27 Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof
US14/081,057 Abandoned US20140072915A1 (en) 2012-04-27 2013-11-15 Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/457,735 Expired - Fee Related US8846295B2 (en) 2012-04-27 2012-04-27 Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof

Country Status (2)

Country Link
US (2) US8846295B2 (en)
CN (1) CN103376660B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160280675A1 (en) * 2014-02-18 2016-09-29 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, mask blank provided with actinic ray-sensitive or radiation-sensitive film, pattern forming method, method for manufacturing electronic device, electronic device, and compound
US9563122B2 (en) 2015-04-28 2017-02-07 International Business Machines Corporation Method to harden photoresist for directed self-assembly processes
US9659824B2 (en) 2015-04-28 2017-05-23 International Business Machines Corporation Graphoepitaxy directed self-assembly process for semiconductor fin formation
US10649339B2 (en) * 2016-12-13 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Resist material and method for forming semiconductor structure using resist layer

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6472129B2 (en) * 2013-12-03 2019-02-20 Hoya株式会社 Method for producing transfer mask and developer
JP6237551B2 (en) * 2014-09-18 2017-11-29 信越化学工業株式会社 Resist composition and pattern forming method
KR102492056B1 (en) * 2015-05-28 2023-01-26 인텔 코포레이션 A means to separate the diffusion and solubility switch mechanisms of the photoresist
KR102619528B1 (en) 2015-12-09 2023-12-29 삼성전자주식회사 Photoresist compositions, methods of forming patterns and methods of manufacturing semiconductor devices
JP6796534B2 (en) 2017-03-31 2020-12-09 東京応化工業株式会社 Resist composition and resist pattern forming method
JP6902905B2 (en) * 2017-03-31 2021-07-14 東京応化工業株式会社 Resist composition and resist pattern forming method
US10345702B2 (en) * 2017-08-24 2019-07-09 International Business Machines Corporation Polymer brushes for extreme ultraviolet photolithography
JP6875325B2 (en) * 2018-05-21 2021-05-19 信越化学工業株式会社 Pattern formation method
JP6933605B2 (en) * 2018-05-21 2021-09-08 信越化学工業株式会社 Pattern formation method
US11550220B2 (en) * 2019-10-31 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Negative tone photoresist for EUV lithography
US20210166937A1 (en) * 2019-12-02 2021-06-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and semiconductor device manufacturing tool

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6806026B2 (en) * 2002-05-31 2004-10-19 International Business Machines Corporation Photoresist composition
US20070077519A1 (en) * 2005-09-30 2007-04-05 Fuji Photo Film Co., Ltd. Pattern forming method and resist composition used therefor
US20080102407A1 (en) * 2006-10-27 2008-05-01 Shin-Etsu Chemical Co., Ltd. Sulfonium salt having polymerizable anion, polymer, resist composition, and patterning process
US20090061358A1 (en) * 2007-09-05 2009-03-05 Masaki Ohashi Novel photoacid generator, resist composition, and patterning process
US20110269071A1 (en) * 2010-04-28 2011-11-03 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition, chemical amplification resist composition, and resist film and pattern forming method using the composition

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
US5258257A (en) 1991-09-23 1993-11-02 Shipley Company Inc. Radiation sensitive compositions comprising polymer having acid labile groups
EP0605089B1 (en) 1992-11-03 1999-01-07 International Business Machines Corporation Photoresist composition
JP2654339B2 (en) 1992-11-24 1997-09-17 インターナショナル・ビジネス・マシーンズ・コーポレイション Photosensitive resist composition and method for forming resist image on substrate
US6232417B1 (en) 1996-03-07 2001-05-15 The B. F. Goodrich Company Photoresist compositions comprising polycyclic polymers with acid labile pendant groups
US6114082A (en) * 1996-09-16 2000-09-05 International Business Machines Corporation Frequency doubling hybrid photoresist having negative and positive tone components and method of preparing the same
US6037107A (en) 1997-08-28 2000-03-14 Shipley Company, L.L.C. Photoresist compositions
KR100520183B1 (en) 1999-08-23 2005-10-10 주식회사 하이닉스반도체 Photoresist copolymer containing crosslinker which has two double bonds
US6818376B2 (en) 1999-08-23 2004-11-16 Hynix Semiconductor Inc. Cross-linker monomer comprising double bond and photoresist copolymer containing the same
US6309797B1 (en) 2000-04-26 2001-10-30 Spectra Group Limited, Inc. Selectively colorable polymerizable compositions
DE60218342T2 (en) 2001-12-12 2007-10-31 Sumitomo Bakelite Co., Ltd. POLYMERIC COMPOSITIONS AND ITS USES
JP4410471B2 (en) 2003-01-10 2010-02-03 セントラル硝子株式会社 Fluorine-containing polymerizable monomer, fluorine-containing polymer compound, and resist material using them
US7081326B2 (en) 2004-03-11 2006-07-25 International Business Machines Corporation Negative photoresist and method of using thereof
US7217496B2 (en) 2004-11-12 2007-05-15 International Business Machines Corporation Fluorinated photoresist materials with improved etch resistant properties
US8530148B2 (en) 2006-12-25 2013-09-10 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
US8637229B2 (en) 2006-12-25 2014-01-28 Fujifilm Corporation Pattern forming method, resist composition for multiple development used in the pattern forming method, developer for negative development used in the pattern forming method, and rinsing solution for negative development used in the pattern forming method
JP4554665B2 (en) 2006-12-25 2010-09-29 富士フイルム株式会社 PATTERN FORMATION METHOD, POSITIVE RESIST COMPOSITION FOR MULTIPLE DEVELOPMENT USED FOR THE PATTERN FORMATION METHOD, NEGATIVE DEVELOPMENT SOLUTION USED FOR THE PATTERN FORMATION METHOD, AND NEGATIVE DEVELOPMENT RINSE SOLUTION USED FOR THE PATTERN FORMATION METHOD
US8034547B2 (en) 2007-04-13 2011-10-11 Fujifilm Corporation Pattern forming method, resist composition to be used in the pattern forming method, negative developing solution to be used in the pattern forming method and rinsing solution for negative development to be used in the pattern forming method
JP4562784B2 (en) 2007-04-13 2010-10-13 富士フイルム株式会社 PATTERN FORMING METHOD, RESIST COMPOSITION, DEVELOPER AND RINSE SOLUTION USED FOR THE PATTERN FORMING METHOD
WO2008140119A1 (en) 2007-05-15 2008-11-20 Fujifilm Corporation Method for pattern formation
JP4617337B2 (en) 2007-06-12 2011-01-26 富士フイルム株式会社 Pattern formation method
US7851140B2 (en) 2007-06-12 2010-12-14 Fujifilm Corporation Resist composition for negative tone development and pattern forming method using the same
JP4590431B2 (en) 2007-06-12 2010-12-01 富士フイルム株式会社 Pattern formation method
US8236476B2 (en) 2008-01-08 2012-08-07 International Business Machines Corporation Multiple exposure photolithography methods and photoresist compositions
JP5381298B2 (en) 2008-05-12 2014-01-08 信越化学工業株式会社 Resist protective film material and pattern forming method
US8017303B2 (en) 2009-02-23 2011-09-13 International Business Machines Corporation Ultra low post exposure bake photoresist materials
JP5375811B2 (en) 2010-01-18 2013-12-25 信越化学工業株式会社 Polymer compound, resist material, and pattern forming method
JP5440468B2 (en) 2010-01-20 2014-03-12 信越化学工業株式会社 Pattern formation method
JP5387601B2 (en) 2010-03-24 2014-01-15 信越化学工業株式会社 Acetal compound, polymer compound, resist material and pattern forming method
JP5708082B2 (en) 2010-03-24 2015-04-30 信越化学工業株式会社 Pattern forming method and negative resist composition
JP5639780B2 (en) * 2010-03-26 2014-12-10 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
JP5618625B2 (en) * 2010-05-25 2014-11-05 富士フイルム株式会社 Pattern forming method and actinic ray-sensitive or radiation-sensitive resin composition
IL213195A0 (en) * 2010-05-31 2011-07-31 Rohm & Haas Elect Mat Photoresist compositions and emthods of forming photolithographic patterns
JP5729171B2 (en) 2010-07-06 2015-06-03 信越化学工業株式会社 Pattern formation method
JP5533797B2 (en) 2010-07-08 2014-06-25 信越化学工業株式会社 Pattern formation method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6806026B2 (en) * 2002-05-31 2004-10-19 International Business Machines Corporation Photoresist composition
US20070077519A1 (en) * 2005-09-30 2007-04-05 Fuji Photo Film Co., Ltd. Pattern forming method and resist composition used therefor
US20080102407A1 (en) * 2006-10-27 2008-05-01 Shin-Etsu Chemical Co., Ltd. Sulfonium salt having polymerizable anion, polymer, resist composition, and patterning process
US20090061358A1 (en) * 2007-09-05 2009-03-05 Masaki Ohashi Novel photoacid generator, resist composition, and patterning process
US20110269071A1 (en) * 2010-04-28 2011-11-03 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition, chemical amplification resist composition, and resist film and pattern forming method using the composition

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160280675A1 (en) * 2014-02-18 2016-09-29 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, mask blank provided with actinic ray-sensitive or radiation-sensitive film, pattern forming method, method for manufacturing electronic device, electronic device, and compound
US10011576B2 (en) * 2014-02-18 2018-07-03 Fujifilm Corporation Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, mask blank provided with actinic ray-sensitive or radiation-sensitive film, pattern forming method, method for manufacturing electronic device, electronic device, and compound
US9563122B2 (en) 2015-04-28 2017-02-07 International Business Machines Corporation Method to harden photoresist for directed self-assembly processes
US9659824B2 (en) 2015-04-28 2017-05-23 International Business Machines Corporation Graphoepitaxy directed self-assembly process for semiconductor fin formation
US10649339B2 (en) * 2016-12-13 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Resist material and method for forming semiconductor structure using resist layer

Also Published As

Publication number Publication date
US20130288178A1 (en) 2013-10-31
US8846295B2 (en) 2014-09-30
CN103376660A (en) 2013-10-30
CN103376660B (en) 2017-12-05

Similar Documents

Publication Publication Date Title
US8846295B2 (en) Photoresist composition containing a protected hydroxyl group for negative development and pattern forming method using thereof
US10613436B2 (en) Resist composition and patterning process
US10101653B2 (en) Resist composition and patterning process
TWI533089B (en) Photoresist composition for negative development and pattern forming method using thereof
US9040225B2 (en) Developable bottom antireflective coating composition and pattern forming method using thereof
US7638264B2 (en) Positive photoresist composition with a polymer including a fluorosulfonamide group and process for its use
US8492078B2 (en) Patterning process
US8507175B2 (en) Patterning process and resist composition
US8790866B2 (en) Patterning process and resist composition
US9081290B2 (en) Patterning process and resist composition
US8557501B2 (en) Developable bottom antireflective coating compositions especially suitable for ion implant applications
US8053172B2 (en) Photoresists and methods for optical proximity correction
US9122152B2 (en) Patterning process and resist composition
US9086624B2 (en) Monomer, polymer, resist composition, and patterning process
JP2010061087A (en) Positive resist composition for electron beam, x-ray or euv, and pattern forming method using the same
US8986918B2 (en) Hybrid photoresist composition and pattern forming method using thereof
US8021828B2 (en) Photoresist compositions and methods related to near field masks
US9017931B2 (en) Patterning process and resist composition
US6770419B2 (en) Low silicon-outgassing resist for bilayer lithography

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, KUANG-JUNG;HUANG, WU-SONG S.;LI, WAI-KIN;SIGNING DATES FROM 20131031 TO 20131101;REEL/FRAME:031610/0160

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117