US20130309837A1 - Preventing shorting of adjacent devices - Google Patents

Preventing shorting of adjacent devices Download PDF

Info

Publication number
US20130309837A1
US20130309837A1 US13/471,487 US201213471487A US2013309837A1 US 20130309837 A1 US20130309837 A1 US 20130309837A1 US 201213471487 A US201213471487 A US 201213471487A US 2013309837 A1 US2013309837 A1 US 2013309837A1
Authority
US
United States
Prior art keywords
fins
layer
barrier structure
substrate
epitaxial film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US13/471,487
Other versions
US8586455B1 (en
Inventor
Josephine Chang
Michael A. Guillorn
Balasubramanian Pranatharthiharan
Jeffrey William Sleight
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: PRANATHARTHIHARAN, BALASUBRAMANIAN, CHANG, JOSEPHINE, GUILLORN, MICHAEL A., SLEIGHT, JEFFREY WILLIAM
Priority to US13/471,487 priority Critical patent/US8586455B1/en
Priority to GB1420180.0A priority patent/GB2516395B/en
Priority to CN201380025170.9A priority patent/CN104303276B/en
Priority to DE112013001404.1T priority patent/DE112013001404B4/en
Priority to PCT/US2013/034576 priority patent/WO2013172986A1/en
Priority to KR1020147031772A priority patent/KR101581153B1/en
Priority to JP2015512652A priority patent/JP2015517737A/en
Priority to TW102115891A priority patent/TWI529940B/en
Publication of US8586455B1 publication Critical patent/US8586455B1/en
Application granted granted Critical
Publication of US20130309837A1 publication Critical patent/US20130309837A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • H10B10/125Static random access memory [SRAM] devices comprising a MOSFET load element the MOSFET being a thin film transistor [TFT]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/936Specified use of nanostructure for electronic or optoelectronic application in a transistor or 3-terminal device
    • Y10S977/938Field effect transistors, FETS, with nanowire- or nanotube-channel region

Definitions

  • the present invention relates generally to the field of semiconductor device manufacturing, and in particular relates to method of preventing shorting of adjacent semiconductor devices and device structures formed thereby.
  • non-planar semiconductor devices are expected to play an ever increasing important role in the areas of field-effect-transistor (FET) beyond certain node size, such as beyond 22 nm node, for at least one simple reason: these devices demand less real estate for manufacturing.
  • FET field-effect-transistor
  • there are many different types of non-planar semiconductor devices including for example tri-gate devices, such as tri-gate static-random-access-memory (SRAM), and fin-type FET (FinFET).
  • FinFET transistors may include a p-type dopant doped FinFET (or PFET in short) and an n-type dopant doped FinFET (or NFET in short).
  • silicon-based epitaxial film is often used to form access to these devices as a means to lower access resistance.
  • Silicon-based epitaxial film may be used in forming conductive regions as well, where desirable dopants may be incorporated into the epitaxially grown film through, for example, in-situ doping.
  • borderless contacts to the devices may be favored and/or desirable beyond the 22 nm node as overlay tolerances shrink due to continued feature pitch scaling.
  • Silicon-based epitaxial film growth, through forming doped regions, may form a borderless contact to the source and drain of a non-planar FET device.
  • FIGS. 11A-11C are simplified illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure in a process of manufacturing thereof as is known in the art. More specifically, a plurality of fins such as fins 201 , 202 , 203 , and 204 are formed from a silicon-on-insulator (SOI) substrate 220 . During manufacturing, a silicon-based epitaxial film may be formed. Growth of the epitaxial film is selective to silicon material.
  • SOI silicon-on-insulator
  • the film will grow only on top of silicon material and not on other material such as, for example, silicon-oxide (SiO 2 ) or silicon-nitride (SiN). More specifically, the epitaxial film will not grow on top of oxide layer 200 of SOI substrate 220 .
  • the epitaxial film may grow from sidewall surfaces of fins 201 - 204 and the growth direction may depend upon the exposed facets of the fins. For the example being illustrated in FIG.
  • films 211 and 212 may grow from sidewalls of fin 201 ; films 213 and 214 may grow from sidewalls of fin 202 ; films 215 and 216 may grow from sidewalls of fin 203 ; and films 217 and 218 may grow from sidewalls of fin 204 .
  • films 214 and 215 may eventually grow sufficiently big to become in contact with each other, causing shorting of fin 202 with fin 203 .
  • the distance, or pitch, between neighboring fins have to be intentionally increased.
  • the thickness of epitaxial RSD may ultimately limit the density of the cell or preclude the use of epitaxial film as a borderless contact.
  • Embodiments of the present invention provide a method of preventing electrical shorting of adjacent semiconductor devices.
  • the method includes forming a plurality of fins of a plurality of field-effect-transistors on a substrate; forming at least one barrier structure between a first and a second fin of the plurality of fins; and growing an epitaxial film from the plurality of fins, the epitaxial film extending horizontally from sidewalls of at least the first and second fins and the barrier structure preventing the first and second fins from contacting each other through the epitaxial film.
  • forming the at least one barrier structure includes forming a sacrificial layer covering the plurality of fins; creating an opening in the sacrificial layer, the opening situating between the first fin and the second fin and exposing the substrate whereupon the first and second fins are formed; and filling the opening with a dielectric material.
  • the sacrificial layer includes a carbon-based material that is compatible with high temperature processing process, the carbon-based material is either amorphous carbon or amorphous carbon-nitride. In another embodiment, the sacrificial layer includes polyimide.
  • filling the opening includes depositing silicon-nitride in the opening through an atomic layer deposition (ALD) process performed at around 500 degree C., or depositing hafnium-oxide in the opening through the ALD process at round 250 to 400 degree C., or depositing aluminum-oxide in the opening.
  • ALD atomic layer deposition
  • the method further includes, before growing the epitaxial film, removing the sacrificial layer thereby exposing the plurality of fins underneath thereof and the barrier structure; and pre-cleaning the plurality of fins to remove contaminants and strange objects.
  • the method further includes depositing a dielectric layer covering the epitaxial film and the barrier structure; and creating conductive contacts, the contacts contacting at least one of the epitaxial film and the plurality of fins, through the dielectric layer.
  • the substrate is a silicon-on-insulator (SOI) substrate having a silicon layer on top of an oxide layer, and wherein forming the plurality of fins includes etching the silicon layer into the plurality of fins situating on top of the oxide layer.
  • SOI silicon-on-insulator
  • FIGS. 1A-1C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof according to an embodiment of the present invention
  • FIGS. 2A-2C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 1 , according to an embodiment of the present invention
  • FIGS. 3A-3C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 2 , according to an embodiment of the present invention
  • FIGS. 4A-4C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 3 , according to an embodiment of the present invention
  • FIGS. 5A-5C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 4 , according to an embodiment of the present invention
  • FIGS. 6A-6C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 5 , according to an embodiment of the present invention
  • FIGS. 7A-7C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 6 , according to an embodiment of the present invention
  • FIGS. 8A-8C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 7 , according to an embodiment of the present invention
  • FIGS. 9A-9C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 8 , according to an embodiment of the present invention.
  • FIGS. 10A-10C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 9 , according to an embodiment of the present invention.
  • FIGS. 11A-11C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof as is known in the art.
  • Embodiments of the present invention disclose a manufacturing process that provides limit in the lateral silicon epitaxial growth during manufacturing of FinFET devices and/or other tri-gate devices such as tri-gate SRAM cells.
  • a growth stopper or stopper that serves stopping lateral epitaxial growth (“epi-growth”) may be introduced between neighboring devices and in particular between n-type FinFET (NFET) and p-type FinFET (PFET).
  • the lateral epi-growth stopper may be made of a special barrier film such as a high temperature compatible carbon based film, which may be patterned through any conventional photo-lithographic processes and reactive-ion-etching (RIE) technique.
  • RIE reactive-ion-etching
  • the barrier film forming the epi-growth stopper may be deposited, for example, through an atomic layer deposition (ALD) technique or through a chemical vapor deposition (CVD) technique. According to one embodiment, patterning of the barrier film may be performed without compromising the integrity of underlying semiconductor devices.
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • FIGS. 1A-1C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof according to an embodiment of the present invention.
  • FIG. 1B , FIG. 1C references may be made only to the top and cross-sectional views ( FIG. 1B , FIG. 1C ) of the structure, and the perspective view of FIG. 1A of the semiconductor structure is provided mainly for the purpose of better understanding and clearer comprehension of present invention.
  • FIGS. 1A-1C may collectively be referred to as FIG. 1 , and similar references may be made to other figures.
  • Embodiment of present invention provides a method of manufacturing semiconductor structure 300 as being illustrated in FIG. 1 .
  • Semiconductor structure 300 may be, for example, a semiconductor chip, a semiconductor wafer, or a part thereof.
  • Embodiment of the method may start with providing a semiconductor substrate 109 , which may be a bulk silicon substrate, a doped silicon substrate, or a silicon-on-insulator (SOI) substrate. Other types of substrates of different materials may be provided as well as possible candidate substrate.
  • a bulk silicon substrate is used, for example, the substrate is normally passivated with a dielectric film in order to provide isolation between fins of transistors to be formed thereupon.
  • the passivating layer is generally formed after fin formation from the bulk silicon wafer.
  • the substrate is inherently covered by a buried oxide layer, commonly known as a BOX layer, while the fins may be formed from the top SOI layer of the SOI substrate. In fact, the fins may be remnants of a patterned SOI layer.
  • substrate 109 is a SOI substrate having a silicon layer on top of an oxide layer 100 .
  • Embodiment of present invention includes forming a plurality of SOI fins, for example fins 101 , 102 , 103 , and 104 as being illustrated in FIG. 1B and FIG. 1C , on top of oxide layer 100 .
  • the formation of fins 101 - 104 may be made through a standard lithographic patterning process followed by an etching process such as a reactive-ion-etching (RIE) process.
  • RIE reactive-ion-etching
  • fins 101 - 104 may be made of pure silicon, doped silicon, or other suitable semiconductor materials which is part of the SOI layer on top of oxide layer 100 .
  • FIG. 1B is a top view of semiconductor structure 300 with fins 101 - 104 situated on top of oxide layer 100 . In FIG. 1B , it is illustrated that fins 102 and 103 may have different lengths from fins 101 and 104 , and fin 102 may be placed strategically different from fin 103 .
  • FIG. 1C is a cross-sectional view of semiconductor structure 300 taken at a cross-section A-A′ as illustrated in FIG. 1A .
  • Cross-section A-A′ crosses all four fins 101 - 104 , which may be collectively referred to hereinafter as fin 110 . It is to be noted here that a person skilled in the art will appreciate that embodiments of the present invention are not limited to the above aspects. For example, more or less number of fins may be formed on top of oxide layer 100 .
  • FIGS. 2A-2C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 1 , according to an embodiment of the present invention.
  • one or more gate stacks 120 may be formed that cover across one or more fins.
  • Gate stacks 120 may include a thin gate dielectric layer (not shown) which is formed directly on top of the fins.
  • the gate dielectric layer may be made of silicon dioxide (SiO 2 ), hafnium-oxide (HfO), hafnium-silicon-nitride-oxide (HfSiO x N y ), or other suitable material.
  • a gate electrode 121 made of one or more conductive materials may be formed.
  • gate stacks 120 may be manufactured or formed through, for example, processes such as deposition, lithographic patterning, etching, and other currently existing and/or future developed processes.
  • a hard mask 122 may be used in patterning gate stacks 120 , which may be left on top of gate stacks 120 after the patterning.
  • Hard mask 122 may be made of dielectric material such as silicon-nitride (Si 3 N 4 ).
  • FIG. 2C is a cross-sectional view of semiconductor structure 300 taken at cross-section B-B′ as being illustrated in FIG. 2A . Taking as an example and to be different from cross-section A-A′ as in FIG.
  • FIG. 2C illustrates that two separate gate stacks 120 are formed with one crossing fins 101 and 102 and another one crossing fin 104 .
  • FIGS. 3A-3C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 2 , according to an embodiment of the present invention.
  • spacers 131 may be formed around gate stacks 120 .
  • the formation of spacers 131 may be made through, for example, depositing a layer of spacer material or spacer-suitable material such as dielectric material, blanket-covering oxide layer 100 and gate stacks 120 on top thereof, and subsequently etching the deposited layer, in a directional manner, to leave the spacer or spacer-suitable material only at areas adjacent to sidewalls of gate stacks 120 .
  • spacer material is preferably performed in a conformal manner but non-conformal deposition of the dielectric material may be used as well.
  • spacers 131 are formed surrounding the sidewalls of gate stacks 120 .
  • spacers 131 or the material used for making spacers 131 may be selected such that it will tolerate or withstand a pre-cleaning process that is normally performed before epitaxial silicon-growth, as being described below in more details.
  • FIGS. 4A-4C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 3 , according to an embodiment of the present invention.
  • embodiment of the present invention includes forming a sacrificial layer 141 covering fins 101 - 104 and gate stacks 120 .
  • the material of sacrificial layer 141 may be selected to be compatible with a high-temperature processing process, and may also be selected such that it provides high etch-selectivity to materials such as silicon, silicon-dioxide, and/or silicon-nitride.
  • a carbon based material that is compatible with high temperature processing may be used to form sacrificial layer 141 , which covers oxide layer 100 and the structures on top thereof such as fins 101 - 104 .
  • the high-temperature process compatible material for sacrificial layer 141 may include, as non-limiting examples, amorphous carbon, amorphous carbon nitride, and/or polyimide. Other types of high-temperature process compatible materials may be used as well.
  • sacrificial layer 141 may be formed through a spin-on process or through CVD based deposition process.
  • FIGS. 5A-5C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 4 , according to an embodiment of the present invention.
  • sacrificial layer 141 may be patterned to create openings in strategic areas where further features, according to embodiment of the present invention, are to be formed.
  • the top surface of layer 141 may be optionally planarized first.
  • the top surface of a CVD deposited sacrificial layer 141 may be made planar through, for example, a chemical-mechanic-polishing (CMP) process or other planarization processes.
  • CMP chemical-mechanic-polishing
  • a hard mask layer may first be deposited on top of sacrificial layer 141 followed by a photo-resist layer.
  • the photo-resist layer is then patterned through a standard lithographic process.
  • the photo-resist mask may be formed to have a pattern which represents openings to be made in desired locations of sacrificial layer 141 . More specifically, openings may be desired and therefore made in places where spacing between neighboring fins, such as between fin 102 and fin 103 , is relatively limited and where electrical shorting between neighboring fins is likely to happen during a follow-up step of forming an epitaxial film.
  • Embodiment of the present invention then applies the hard mask layer in a directional etching process, to create openings, such as openings 151 , 152 , and 153 inside sacrificial layer 141 at selected locations as being described above.
  • the directional etch process may be a reactive-ion-etching (RIE) process and may be adjusted to be highly selective to silicon (Si), silicon-nitride (SiN), silicon-oxide (SiO 2 ), and other materials in the hard mask layer and device structure.
  • RIE reactive-ion-etching
  • the RIE process may be tailored to be very effective particularly to carbon-based material of sacrificial layer 141 and significantly less effective and will etch very little to other materials in the device.
  • opening 152 is made through carbon-based sacrificial layer 141 and exposes at least a portion of top surface of oxide layer 100 underneath sacrificial layer 141 .
  • FIGS. 6A-6C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 5 , according to an embodiment of the present invention.
  • dielectric material may be used to effectively fill up openings 151 , 152 , and 153 , thereby creating barrier structures 161 , 162 , and 163 on top of oxide layer 100 . More specifically, for example, dielectric material may first be deposited on top of sacrificial layer 141 and into openings 151 - 153 .
  • a CMP process may be used to remove excess of the dielectric material such as those on top of sacrificial layer 141 leaving only those in the openings of 151 - 153 .
  • the CMP process may stop at the carbon-based sacrificial layer 141 .
  • suitable material for making barrier structures 161 - 163 may include, for example, silicon-nitride (SiN) deposited through an atomic layer deposition (ALD) process performed at around 500 degree C.; hafnium-oxide (HfO 2 ) deposited through the ALD process performed at around 250 ⁇ 400 degree C.; and/or aluminum-oxide (Al 2 O 2 ) deposited through the ALD process at around 250 ⁇ 400 degree C.
  • ALD atomic layer deposition
  • HfO 2 hafnium-oxide
  • Al 2 O 2 aluminum-oxide
  • FIGS. 7A-7C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 6 , according to an embodiment of the present invention.
  • sacrificial layer 141 may be removed to expose underneath oxide layer 100 , gate stacks 120 , as well as fins 101 - 104 .
  • the removal of sacrificial layer 141 may be made through a combination of wet and dry etching techniques.
  • the removal of sacrificial layer 141 may be made by a similar process as being used in creating openings 151 - 153 as being illustrated in FIG. 5 which is selective to the materials of barrier structures 161 - 163 .
  • any employed removal techniques that are used to remove sacrificial layer 141 are adjusted to be highly selective to materials of the device structure 300 other than the carbon-based sacrificial layer 141 .
  • a dry etching process may be made highly selective to silicon (Si), silicon-oxide (SiO 2 ), and silicon-nitride (SiN).
  • barrier structures or barrier film 161 - 163 have been created, on top of oxide layer 100 , in areas that are considered as critical to prevent shorting of neighboring fins.
  • barrier structure or barrier film 162 is formed between fins 102 and 103 to prevent electrical shorting of the two in a follow-up process.
  • FIGS. 8A-8C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 7 , according to an embodiment of the present invention.
  • silicon-based epitaxial film growth may be performed to create epitaxial films 181 , 182 , 183 , 184 , 185 , 186 , 187 , and 188 around fins 101 - 104 .
  • Epitaxial films 181 - 188 may be collectively a single epitaxial film or be part of a single epitaxial film, although they may be illustrated in FIG.
  • silicon epitaxial film does not normally grow on top of oxide layer such as oxide layer 100 of substrate 109 .
  • a pre-cleaning of substrate 109 , in particular surfaces of fins 101 - 104 formed on top of oxide layer 100 of substrate 109 may be performed to remove possible contaminants and/or strange objects on the silicon surface of fins.
  • the silicon-epitaxial films 181 - 188 may be used, for example, to lower external contact resistance by increasing silicon (Si) contact areas for forming silicide, and/or for forming local interconnects, for FinFET transistors 180 .
  • laterally grown epitaxial films 184 and 185 may reach barrier structure 162 and be arrested by the presence of barrier structure 162 .
  • epitaxial films 184 and 185 may grow laterally towards and may reach or not reach barrier structure 162 .
  • barrier structure 162 prevents or blocks fin 102 from contacting fin 103 through epitaxial films 184 and 185 .
  • barrier structure 162 may also be referred to herein as growth stopper 162 . As a result, potential electrical shorting between neighboring fins, and thus neighboring FETs, is prevented.
  • FIGS. 9A-9C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 8 , according to an embodiment of the present invention.
  • Semiconductor structure 300 is then encapsulated in another dielectric material.
  • a dielectric material 191 may be deposited to cover oxide layer 100 , fins 101 - 104 and the epitaxial films formed around the fins, gate stacks 120 , and barrier structures or growth stoppers 161 - 163 .
  • Dielectric material 191 may include silicon-oxide (SiO 2 ), silicon-nitride (SiN), and/or any other materials suitable as an inter-layer-dielectric (ILD) layer.
  • SiO 2 silicon-oxide
  • SiN silicon-nitride
  • ILD inter-layer-dielectric
  • FIGS. 10A-10C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 9 , according to an embodiment of the present invention.
  • conductive contacts to gate electrodes and/or sources/drains of FinFET transistors 180 may be formed.
  • the formation of contacts may be made through first creating contact openings in dielectric layer 191 to expose underneath gate stacks, as well as source/drain epitaxial films.
  • the gate stacks and/or source/drain epitaxial films may optionally be silicided in a previous step or steps.
  • openings in the dielectric layer 191 may be filled with one or more conductive materials such as, for example, aluminum (Al), copper (Cu), tungsten (W), titanium (Ti), tantalum (Ta), titanium-nitride (TiN), tantalum-nitride (TaN), or a combination of one or more the above or other suitable metal or doped semiconductor materials.
  • conductive materials such as, for example, aluminum (Al), copper (Cu), tungsten (W), titanium (Ti), tantalum (Ta), titanium-nitride (TiN), tantalum-nitride (TaN), or a combination of one or more the above or other suitable metal or doped semiconductor materials.
  • the filling of openings may be performed through deposition and other known or future developed techniques.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Semiconductor Memories (AREA)

Abstract

Embodiments of the present invention provide a method of preventing electrical shorting of adjacent semiconductor devices. The method includes forming a plurality of fins of a plurality of field-effect-transistors on a substrate; forming at least one barrier structure between a first and a second fin of the plurality of fins; and growing an epitaxial film from the plurality of fins, the epitaxial film extending horizontally from sidewalls of at least the first and second fins and reaching the barrier structure situating between the first and second fins.

Description

    FIELD OF THE INVENTION
  • The present invention relates generally to the field of semiconductor device manufacturing, and in particular relates to method of preventing shorting of adjacent semiconductor devices and device structures formed thereby.
  • BACKGROUND
  • With the continuing scaling down in real estate for semiconductor device manufacturing, non-planar semiconductor devices are expected to play an ever increasing important role in the areas of field-effect-transistor (FET) beyond certain node size, such as beyond 22 nm node, for at least one simple reason: these devices demand less real estate for manufacturing. There are many different types of non-planar semiconductor devices including for example tri-gate devices, such as tri-gate static-random-access-memory (SRAM), and fin-type FET (FinFET). FinFET transistors may include a p-type dopant doped FinFET (or PFET in short) and an n-type dopant doped FinFET (or NFET in short).
  • During manufacturing of non-planar devices as well as other types of devices, silicon-based epitaxial film is often used to form access to these devices as a means to lower access resistance. Silicon-based epitaxial film may be used in forming conductive regions as well, where desirable dopants may be incorporated into the epitaxially grown film through, for example, in-situ doping. On the other hand, borderless contacts to the devices may be favored and/or desirable beyond the 22 nm node as overlay tolerances shrink due to continued feature pitch scaling. Silicon-based epitaxial film growth, through forming doped regions, may form a borderless contact to the source and drain of a non-planar FET device.
  • Generally, silicon-based epitaxial film grows both vertically and laterally on FinFET devices due to the exposed sidewall facet of the fins. For example, for demonstrative purpose, FIGS. 11A-11C are simplified illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure in a process of manufacturing thereof as is known in the art. More specifically, a plurality of fins such as fins 201, 202, 203, and 204 are formed from a silicon-on-insulator (SOI) substrate 220. During manufacturing, a silicon-based epitaxial film may be formed. Growth of the epitaxial film is selective to silicon material. In other words, the film will grow only on top of silicon material and not on other material such as, for example, silicon-oxide (SiO2) or silicon-nitride (SiN). More specifically, the epitaxial film will not grow on top of oxide layer 200 of SOI substrate 220. The epitaxial film may grow from sidewall surfaces of fins 201-204 and the growth direction may depend upon the exposed facets of the fins. For the example being illustrated in FIG. 11, films 211 and 212 may grow from sidewalls of fin 201; films 213 and 214 may grow from sidewalls of fin 202; films 215 and 216 may grow from sidewalls of fin 203; and films 217 and 218 may grow from sidewalls of fin 204. As is demonstratively illustrated in FIG. 11C, with the lateral epitaxial growth, films 214 and 215, for example, may eventually grow sufficiently big to become in contact with each other, causing shorting of fin 202 with fin 203.
  • Conventionally, in order to avoid shorting of neighboring fins due to lateral growth of silicon-based epitaxial film, the distance, or pitch, between neighboring fins have to be intentionally increased. However, in high density SRAM cells where spacing between fins of n-type FinFET and p-type FinFET is a dominant factor in determining cell density, the thickness of epitaxial RSD (raised source/drain) may ultimately limit the density of the cell or preclude the use of epitaxial film as a borderless contact.
  • SUMMARY
  • Embodiments of the present invention provide a method of preventing electrical shorting of adjacent semiconductor devices. According to one embodiment, the method includes forming a plurality of fins of a plurality of field-effect-transistors on a substrate; forming at least one barrier structure between a first and a second fin of the plurality of fins; and growing an epitaxial film from the plurality of fins, the epitaxial film extending horizontally from sidewalls of at least the first and second fins and the barrier structure preventing the first and second fins from contacting each other through the epitaxial film.
  • In one embodiment, forming the at least one barrier structure includes forming a sacrificial layer covering the plurality of fins; creating an opening in the sacrificial layer, the opening situating between the first fin and the second fin and exposing the substrate whereupon the first and second fins are formed; and filling the opening with a dielectric material.
  • In one embodiment, the sacrificial layer includes a carbon-based material that is compatible with high temperature processing process, the carbon-based material is either amorphous carbon or amorphous carbon-nitride. In another embodiment, the sacrificial layer includes polyimide.
  • According to one embodiment, filling the opening includes depositing silicon-nitride in the opening through an atomic layer deposition (ALD) process performed at around 500 degree C., or depositing hafnium-oxide in the opening through the ALD process at round 250 to 400 degree C., or depositing aluminum-oxide in the opening.
  • According to one embodiment, the method further includes, before growing the epitaxial film, removing the sacrificial layer thereby exposing the plurality of fins underneath thereof and the barrier structure; and pre-cleaning the plurality of fins to remove contaminants and strange objects.
  • According to another embodiment, the method further includes depositing a dielectric layer covering the epitaxial film and the barrier structure; and creating conductive contacts, the contacts contacting at least one of the epitaxial film and the plurality of fins, through the dielectric layer.
  • In one embodiment, the substrate is a silicon-on-insulator (SOI) substrate having a silicon layer on top of an oxide layer, and wherein forming the plurality of fins includes etching the silicon layer into the plurality of fins situating on top of the oxide layer.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will be understood and appreciated more fully from the following detailed description of preferred embodiments, taken in conjunction with the accompanying drawings of which:
  • FIGS. 1A-1C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof according to an embodiment of the present invention;
  • FIGS. 2A-2C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 1, according to an embodiment of the present invention;
  • FIGS. 3A-3C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 2, according to an embodiment of the present invention;
  • FIGS. 4A-4C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 3, according to an embodiment of the present invention;
  • FIGS. 5A-5C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 4, according to an embodiment of the present invention;
  • FIGS. 6A-6C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 5, according to an embodiment of the present invention;
  • FIGS. 7A-7C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 6, according to an embodiment of the present invention;
  • FIGS. 8A-8C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 7, according to an embodiment of the present invention;
  • FIGS. 9A-9C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 8, according to an embodiment of the present invention;
  • FIGS. 10A-10C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 9, according to an embodiment of the present invention; and
  • FIGS. 11A-11C are demonstrative illustrations of perspective, top, and cross-sectional views of a semiconductor structure during a process of manufacturing thereof as is known in the art.
  • It will be appreciated that for the purpose of simplicity and clarity of illustration, elements in the drawings have not necessarily been drawn to scale. For example, dimensions of some of the elements may be exaggerated relative to those of other elements for clarity purpose.
  • DETAILED DESCRIPTION OF THE INVENTION
  • In the following detailed description, numerous specific details are set forth in order to provide a thorough understanding of various embodiments of the invention. However, it is to be understood that embodiments of the invention may be practiced without these specific details.
  • In the interest of not obscuring presentation of essences and/or embodiments of the invention, in the following detailed description, some processing steps and/or operations that are known in the art may have been combined together for presentation and/or for illustration purpose and in some instances may have not been described in detail. In other instances, some processing steps and/or operations that are known in the art may not be described at all. In addition, some well-known device processing techniques may have not been described in detail and, in some instances, may be referred to other published articles, patents, and/or published patent applications for reference in order not to obscure description of essence and/or embodiments of the invention. It is to be understood that the following descriptions may have rather focused on distinctive features and/or elements of various embodiments of the invention.
  • Embodiments of the present invention disclose a manufacturing process that provides limit in the lateral silicon epitaxial growth during manufacturing of FinFET devices and/or other tri-gate devices such as tri-gate SRAM cells. In one embodiment, for example, a growth stopper (or stopper) that serves stopping lateral epitaxial growth (“epi-growth”) may be introduced between neighboring devices and in particular between n-type FinFET (NFET) and p-type FinFET (PFET). Further in one embodiment, the lateral epi-growth stopper may be made of a special barrier film such as a high temperature compatible carbon based film, which may be patterned through any conventional photo-lithographic processes and reactive-ion-etching (RIE) technique. In one embodiment, the barrier film forming the epi-growth stopper may be deposited, for example, through an atomic layer deposition (ALD) technique or through a chemical vapor deposition (CVD) technique. According to one embodiment, patterning of the barrier film may be performed without compromising the integrity of underlying semiconductor devices.
  • More specifically, FIGS. 1A-1C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof according to an embodiment of the present invention. In the below detailed description of embodiment, references may be made only to the top and cross-sectional views (FIG. 1B, FIG. 1C) of the structure, and the perspective view of FIG. 1A of the semiconductor structure is provided mainly for the purpose of better understanding and clearer comprehension of present invention. For the same intended purpose, other figures throughout this application may also include perspective views of the semiconductor structure. Hereinafter, FIGS. 1A-1C may collectively be referred to as FIG. 1, and similar references may be made to other figures.
  • Embodiment of present invention provides a method of manufacturing semiconductor structure 300 as being illustrated in FIG. 1. Semiconductor structure 300 may be, for example, a semiconductor chip, a semiconductor wafer, or a part thereof. Embodiment of the method may start with providing a semiconductor substrate 109, which may be a bulk silicon substrate, a doped silicon substrate, or a silicon-on-insulator (SOI) substrate. Other types of substrates of different materials may be provided as well as possible candidate substrate. When a bulk silicon substrate is used, for example, the substrate is normally passivated with a dielectric film in order to provide isolation between fins of transistors to be formed thereupon. The passivating layer is generally formed after fin formation from the bulk silicon wafer. Further for example, when a SOI substrate is used, which is assumed here for description and illustration purpose of present invention without loosing generality, the substrate is inherently covered by a buried oxide layer, commonly known as a BOX layer, while the fins may be formed from the top SOI layer of the SOI substrate. In fact, the fins may be remnants of a patterned SOI layer.
  • In FIGS. 1A-1C, it is assumed that substrate 109 is a SOI substrate having a silicon layer on top of an oxide layer 100. Embodiment of present invention includes forming a plurality of SOI fins, for example fins 101, 102, 103, and 104 as being illustrated in FIG. 1B and FIG. 1C, on top of oxide layer 100. The formation of fins 101-104 may be made through a standard lithographic patterning process followed by an etching process such as a reactive-ion-etching (RIE) process. Depending upon the processes and material used in the processes, fins 101-104 may be made of pure silicon, doped silicon, or other suitable semiconductor materials which is part of the SOI layer on top of oxide layer 100. FIG. 1B is a top view of semiconductor structure 300 with fins 101-104 situated on top of oxide layer 100. In FIG. 1B, it is illustrated that fins 102 and 103 may have different lengths from fins 101 and 104, and fin 102 may be placed strategically different from fin 103. FIG. 1C is a cross-sectional view of semiconductor structure 300 taken at a cross-section A-A′ as illustrated in FIG. 1A. Cross-section A-A′ crosses all four fins 101-104, which may be collectively referred to hereinafter as fin 110. It is to be noted here that a person skilled in the art will appreciate that embodiments of the present invention are not limited to the above aspects. For example, more or less number of fins may be formed on top of oxide layer 100.
  • FIGS. 2A-2C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 1, according to an embodiment of the present invention. Following the formation of fins 101-104, one or more gate stacks 120 may be formed that cover across one or more fins. Gate stacks 120 may include a thin gate dielectric layer (not shown) which is formed directly on top of the fins. The gate dielectric layer may be made of silicon dioxide (SiO2), hafnium-oxide (HfO), hafnium-silicon-nitride-oxide (HfSiOxNy), or other suitable material. On top of the dielectric layer, a gate electrode 121 made of one or more conductive materials may be formed. In general, gate stacks 120 may be manufactured or formed through, for example, processes such as deposition, lithographic patterning, etching, and other currently existing and/or future developed processes. During manufacturing, a hard mask 122 may be used in patterning gate stacks 120, which may be left on top of gate stacks 120 after the patterning. Hard mask 122 may be made of dielectric material such as silicon-nitride (Si3N4). FIG. 2C is a cross-sectional view of semiconductor structure 300 taken at cross-section B-B′ as being illustrated in FIG. 2A. Taking as an example and to be different from cross-section A-A′ as in FIG. 1C, cross-section B-B′ crosses fins 101, 102, and 104 as is illustrated in FIG. 2C, and is partially over fin 103 as is illustrated in FIG. 2B. On the other hand, FIG. 2C illustrates that two separate gate stacks 120 are formed with one crossing fins 101 and 102 and another one crossing fin 104.
  • FIGS. 3A-3C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 2, according to an embodiment of the present invention. Following the formation of gate stacks 120, spacers 131 may be formed around gate stacks 120. The formation of spacers 131 may be made through, for example, depositing a layer of spacer material or spacer-suitable material such as dielectric material, blanket-covering oxide layer 100 and gate stacks 120 on top thereof, and subsequently etching the deposited layer, in a directional manner, to leave the spacer or spacer-suitable material only at areas adjacent to sidewalls of gate stacks 120. The deposition of spacer material is preferably performed in a conformal manner but non-conformal deposition of the dielectric material may be used as well. As being illustrated in FIG. 3B and FIG. 3C, after the directional etching, spacers 131 are formed surrounding the sidewalls of gate stacks 120.
  • According to one embodiment of the present invention, spacers 131 or the material used for making spacers 131 may be selected such that it will tolerate or withstand a pre-cleaning process that is normally performed before epitaxial silicon-growth, as being described below in more details.
  • FIGS. 4A-4C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 3, according to an embodiment of the present invention. Following the formation of spacers 131, embodiment of the present invention includes forming a sacrificial layer 141 covering fins 101-104 and gate stacks 120. The material of sacrificial layer 141 may be selected to be compatible with a high-temperature processing process, and may also be selected such that it provides high etch-selectivity to materials such as silicon, silicon-dioxide, and/or silicon-nitride. For example, a carbon based material that is compatible with high temperature processing may be used to form sacrificial layer 141, which covers oxide layer 100 and the structures on top thereof such as fins 101-104. According to one embodiment, the high-temperature process compatible material for sacrificial layer 141 may include, as non-limiting examples, amorphous carbon, amorphous carbon nitride, and/or polyimide. Other types of high-temperature process compatible materials may be used as well. Depending upon the type of material being used, sacrificial layer 141 may be formed through a spin-on process or through CVD based deposition process.
  • FIGS. 5A-5C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 4, according to an embodiment of the present invention. After the formation, sacrificial layer 141 may be patterned to create openings in strategic areas where further features, according to embodiment of the present invention, are to be formed. To create openings, depending upon the material used for sacrificial layer 141, the top surface of layer 141 may be optionally planarized first. For example, the top surface of a CVD deposited sacrificial layer 141 may be made planar through, for example, a chemical-mechanic-polishing (CMP) process or other planarization processes. Next, to pattern sacrificial layer 141, a hard mask layer may first be deposited on top of sacrificial layer 141 followed by a photo-resist layer. The photo-resist layer is then patterned through a standard lithographic process. The photo-resist mask may be formed to have a pattern which represents openings to be made in desired locations of sacrificial layer 141. More specifically, openings may be desired and therefore made in places where spacing between neighboring fins, such as between fin 102 and fin 103, is relatively limited and where electrical shorting between neighboring fins is likely to happen during a follow-up step of forming an epitaxial film.
  • The photo-resist pattern is then transferred to the hard mask layer underneath. Embodiment of the present invention then applies the hard mask layer in a directional etching process, to create openings, such as openings 151, 152, and 153 inside sacrificial layer 141 at selected locations as being described above. The directional etch process may be a reactive-ion-etching (RIE) process and may be adjusted to be highly selective to silicon (Si), silicon-nitride (SiN), silicon-oxide (SiO2), and other materials in the hard mask layer and device structure. In other words, the RIE process may be tailored to be very effective particularly to carbon-based material of sacrificial layer 141 and significantly less effective and will etch very little to other materials in the device. As being illustrated in FIG. 5C which is a cross-sectional view taken at A-A′, opening 152 is made through carbon-based sacrificial layer 141 and exposes at least a portion of top surface of oxide layer 100 underneath sacrificial layer 141.
  • FIGS. 6A-6C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 5, according to an embodiment of the present invention. Following the creation of openings 151-153 inside carbon-based sacrificial layer 141, dielectric material may be used to effectively fill up openings 151, 152, and 153, thereby creating barrier structures 161, 162, and 163 on top of oxide layer 100. More specifically, for example, dielectric material may first be deposited on top of sacrificial layer 141 and into openings 151-153. Subsequently, a CMP process may be used to remove excess of the dielectric material such as those on top of sacrificial layer 141 leaving only those in the openings of 151-153. The CMP process may stop at the carbon-based sacrificial layer 141.
  • According to one embodiment of the present invention, suitable material for making barrier structures 161-163 may include, for example, silicon-nitride (SiN) deposited through an atomic layer deposition (ALD) process performed at around 500 degree C.; hafnium-oxide (HfO2) deposited through the ALD process performed at around 250˜400 degree C.; and/or aluminum-oxide (Al2O2) deposited through the ALD process at around 250˜400 degree C. The high-temperature process compatible sacrificial layer 141 enables the above process of forming barrier structures 161-163 at their respective high temperature.
  • FIGS. 7A-7C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 6, according to an embodiment of the present invention. After openings, such as openings 151-153, in carbon-based sacrificial layer 141 have been filled up with dielectric material, sacrificial layer 141 may be removed to expose underneath oxide layer 100, gate stacks 120, as well as fins 101-104. The removal of sacrificial layer 141 may be made through a combination of wet and dry etching techniques. For example, the removal of sacrificial layer 141 may be made by a similar process as being used in creating openings 151-153 as being illustrated in FIG. 5 which is selective to the materials of barrier structures 161-163. Moreover, any employed removal techniques that are used to remove sacrificial layer 141 are adjusted to be highly selective to materials of the device structure 300 other than the carbon-based sacrificial layer 141. For example, a dry etching process may be made highly selective to silicon (Si), silicon-oxide (SiO2), and silicon-nitride (SiN).
  • Here, it is to be noted that barrier structures or barrier film 161-163 have been created, on top of oxide layer 100, in areas that are considered as critical to prevent shorting of neighboring fins. For example, barrier structure or barrier film 162 is formed between fins 102 and 103 to prevent electrical shorting of the two in a follow-up process.
  • FIGS. 8A-8C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 7, according to an embodiment of the present invention. After barrier structures 161-163 have been created, silicon-based epitaxial film growth may be performed to create epitaxial films 181, 182, 183, 184, 185, 186, 187, and 188 around fins 101-104. Epitaxial films 181-188 may be collectively a single epitaxial film or be part of a single epitaxial film, although they may be illustrated in FIG. 8 as being separated or isolated because silicon epitaxial film does not normally grow on top of oxide layer such as oxide layer 100 of substrate 109. Before performing epitaxial growth of the film or films, a pre-cleaning of substrate 109, in particular surfaces of fins 101-104 formed on top of oxide layer 100 of substrate 109 may be performed to remove possible contaminants and/or strange objects on the silicon surface of fins. The silicon-epitaxial films 181-188 may be used, for example, to lower external contact resistance by increasing silicon (Si) contact areas for forming silicide, and/or for forming local interconnects, for FinFET transistors 180. During the process of epitaxial growth, in one embodiment laterally grown epitaxial films 184 and 185, for example, may reach barrier structure 162 and be arrested by the presence of barrier structure 162. In another embodiment, epitaxial films 184 and 185 may grow laterally towards and may reach or not reach barrier structure 162. In any event, barrier structure 162 prevents or blocks fin 102 from contacting fin 103 through epitaxial films 184 and 185. For that reason, barrier structure 162 may also be referred to herein as growth stopper 162. As a result, potential electrical shorting between neighboring fins, and thus neighboring FETs, is prevented.
  • FIGS. 9A-9C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 8, according to an embodiment of the present invention. After growing epitaxial films 181-188, the rest steps of forming FinFET transistors 180 may be performed using known or future developed processes and/or techniques, Semiconductor structure 300 is then encapsulated in another dielectric material. For example, a dielectric material 191 may be deposited to cover oxide layer 100, fins 101-104 and the epitaxial films formed around the fins, gate stacks 120, and barrier structures or growth stoppers 161-163. Dielectric material 191 may include silicon-oxide (SiO2), silicon-nitride (SiN), and/or any other materials suitable as an inter-layer-dielectric (ILD) layer.
  • FIGS. 10A-10C are demonstrative illustrations of perspective, top, and cross-sectional views respectively of a semiconductor structure during a process of manufacturing thereof, following the steps shown in FIG. 9, according to an embodiment of the present invention. After covering oxide layer 100 and the device structure on top thereof with dielectric material 191, conductive contacts to gate electrodes and/or sources/drains of FinFET transistors 180 may be formed. The formation of contacts may be made through first creating contact openings in dielectric layer 191 to expose underneath gate stacks, as well as source/drain epitaxial films. The gate stacks and/or source/drain epitaxial films may optionally be silicided in a previous step or steps. Subsequently, openings in the dielectric layer 191 may be filled with one or more conductive materials such as, for example, aluminum (Al), copper (Cu), tungsten (W), titanium (Ti), tantalum (Ta), titanium-nitride (TiN), tantalum-nitride (TaN), or a combination of one or more the above or other suitable metal or doped semiconductor materials. The filling of openings may be performed through deposition and other known or future developed techniques.
  • While certain features of the invention have been illustrated and described herein, many modifications, substitutions, changes, and equivalents will now occur to those of ordinary skill in the art. It is, therefore, to be understood that the appended claims are intended to cover all such modifications and changes as fall within the spirit of the invention.

Claims (20)

1. A method comprising:
forming a plurality of fins of a plurality of field-effect-transistors on a substrate;
forming at least one barrier structure between a first and a second fin of said plurality of fins, said at least one barrier structure having a height measured from said substrate that is higher than that of said first and second fins; and
growing an epitaxial film from said plurality of fins, said epitaxial film extending horizontally from sidewalls of at least said first and second fins and said barrier structure preventing said first and second fins from contacting each other through said epitaxial film.
2. The method of claim 1, wherein forming said at least one barrier structure comprises:
forming a sacrificial layer covering said plurality of fins;
creating an opening in said sacrificial layer, said opening situating between said first fin and said second fin and exposing said substrate whereupon said first and second fins are formed; and
filling said opening with a dielectric material.
3. The method of claim 2, wherein said sacrificial layer comprises a carbon-based material that is compatible with high temperature processing process, said carbon-based material is either amorphous carbon or amorphous carbon-nitride.
4. The method of claim 2, wherein said sacrificial layer comprises polyimide that is compatible with high temperature processing process.
5. The method of claim 2, wherein filling said opening comprises depositing silicon-nitride in said opening through an atomic layer deposition (ALD) process performed at around 500 degree C., or depositing hafnium-oxide or aluminum-oxide in said opening through said ALD process at round 250 to 400 degree C.
6. The method of claim 2, further comprising, before growing said epitaxial film:
removing said sacrificial layer thereby exposing said plurality of fins underneath thereof and said barrier structure; and
pre-cleaning said plurality of fins to remove contaminants and strange objects.
7. The method of claim 1, further comprising:
depositing a dielectric layer covering said epitaxial film and said barrier structure; and
creating conductive contacts, said contacts contacting at least one of said epitaxial film and said plurality of fins, through said dielectric layer.
8. The method of claim 1, wherein said substrate is a silicon-on-insulator (SOI) substrate having a silicon layer on top of an oxide layer, and wherein forming said plurality of fins comprises etching said silicon layer into said plurality of fins situating on top of said oxide layer.
9. A method comprising:
forming a plurality of fins of a plurality of field-effect-transistors on a substrate;
covering said plurality of fins with a sacrificial layer;
forming at least one barrier structure inside said sacrificial layer between a first and a second fin of said plurality of fins; and
growing an epitaxial film from said plurality of fins, said epitaxial film growing towards said barrier structure from sidewalls of said first and second fins.
10. The method of claim 9, wherein forming said at least one barrier structure comprises:
creating an opening in said sacrificial layer, said opening situating between said first fin and said second fin and exposing said substrate whereupon said first and second fins are formed; and
depositing a dielectric material inside said opening forming said barrier structure.
11. The method of claim 10, wherein said sacrificial layer is made of a carbon-based material that is compatible with high temperature processing process, said carbon-based material is either amorphous carbon or amorphous carbon-nitride.
12. The method of claim 10, wherein said sacrificial layer is a polyimide layer that is compatible with high temperature processing process.
13. The method of claim 10, wherein depositing said dielectric material inside said opening comprises depositing silicon-nitride through an atomic layer deposition (ALD) process performed at around 500 degree C., or depositing hafnium-oxide through said ALD process at round 250 to 400 degree C., or depositing aluminum-oxide through said ALD process at round 250 to 400 degree C.
14. The method of claim 10, further comprising, before growing said epitaxial film:
removing said sacrificial layer exposing said plurality of fins underneath thereof and said barrier structure; and
pre-cleaning said plurality of fins thereby removing contaminants at exposed surfaces of said plurality of fins.
15. The method of claim 9, further comprising:
depositing a dielectric layer covering said epitaxial film and said barrier structure; and
creating conductive contacts, said contacts contacting at least one of said epitaxial film and said plurality of fins, through said dielectric layer.
16. The method of claim 1, wherein said substrate is a silicon-on-insulator (SOI) substrate having a silicon layer on top of an oxide layer, and wherein forming said plurality of fins comprises etching said silicon layer into said plurality of fins situating on top of said oxide layer.
17. A method comprising:
providing a silicon-on-insulator (SOI) substrate, said SOI substrate having a silicon layer on top of an oxide layer;
patterning said silicon layer of said SOI substrate into a plurality of fins of a plurality of fin-type field-effect-transistors (FinFETs);
forming at least one barrier structure between a first and a second fin of said plurality of fins, said at least one barrier structure having a height measured from said SOI substrate that is higher than that of said first and second fins; and
growing an epitaxial film from said plurality of fins, said epitaxial film extending horizontally from sidewalls of at least said first and second fins, and said barrier structure blocking said first fin from reaching said second fin through said epitaxial film.
18. The method of claim 17, wherein forming said at least one barrier structure comprises:
forming a sacrificial layer covering said plurality of fins;
creating an opening in said sacrificial layer, said opening situating between said first fin and said second fin and exposing said substrate whereupon said first and second fins are formed; and
filling said opening with a dielectric material.
19. The method of claim 18, wherein said sacrificial layer comprises a carbon-based material that is compatible with high temperature processing process, said carbon-based material is either amorphous carbon or amorphous carbon-nitride.
20. The method of claim 18, wherein said sacrificial layer comprises polyimide that is compatible with high temperature processing process.
US13/471,487 2012-05-15 2012-05-15 Preventing shorting of adjacent devices Expired - Fee Related US8586455B1 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US13/471,487 US8586455B1 (en) 2012-05-15 2012-05-15 Preventing shorting of adjacent devices
JP2015512652A JP2015517737A (en) 2012-05-15 2013-03-29 Method for preventing electrical shorting of semiconductor devices
CN201380025170.9A CN104303276B (en) 2012-05-15 2013-03-29 Prevent the short-circuit method of adjacent devices
DE112013001404.1T DE112013001404B4 (en) 2012-05-15 2013-03-29 Method for preventing short-circuiting of neighboring units
PCT/US2013/034576 WO2013172986A1 (en) 2012-05-15 2013-03-29 Preventing shorting of adjacent devices
KR1020147031772A KR101581153B1 (en) 2012-05-15 2013-03-29 Preventing shorting of adjacent devices
GB1420180.0A GB2516395B (en) 2012-05-15 2013-03-29 Preventing shorting of adjacent devices
TW102115891A TWI529940B (en) 2012-05-15 2013-05-03 Preventing shorting of adjacent devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/471,487 US8586455B1 (en) 2012-05-15 2012-05-15 Preventing shorting of adjacent devices

Publications (2)

Publication Number Publication Date
US8586455B1 US8586455B1 (en) 2013-11-19
US20130309837A1 true US20130309837A1 (en) 2013-11-21

Family

ID=49555727

Family Applications (1)

Application Number Title Priority Date Filing Date
US13/471,487 Expired - Fee Related US8586455B1 (en) 2012-05-15 2012-05-15 Preventing shorting of adjacent devices

Country Status (8)

Country Link
US (1) US8586455B1 (en)
JP (1) JP2015517737A (en)
KR (1) KR101581153B1 (en)
CN (1) CN104303276B (en)
DE (1) DE112013001404B4 (en)
GB (1) GB2516395B (en)
TW (1) TWI529940B (en)
WO (1) WO2013172986A1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150014788A1 (en) * 2013-07-12 2015-01-15 Min-Yeop Park Semiconductor device and fabricating method thereof
CN106206689A (en) * 2016-07-27 2016-12-07 华东师范大学 It is applicable to the FinFET possessing independent three grid structures of memory element
US9601567B1 (en) * 2015-10-30 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple Fin FET structures having an insulating separation plug
US9646885B1 (en) 2015-12-05 2017-05-09 International Business Machines Corporation Method to prevent lateral epitaxial growth in semiconductor devices by performing plasma nitridation process on Fin ends
US9748245B1 (en) * 2016-09-23 2017-08-29 International Business Machines Corporation Multiple finFET formation with epitaxy separation
US10366988B2 (en) * 2015-08-14 2019-07-30 International Business Machines Corporation Selective contact etch for unmerged epitaxial source/drain regions
US10886268B2 (en) 2016-11-29 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with separated merged source/drain structure
US10943900B2 (en) 2018-07-27 2021-03-09 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102045212B1 (en) * 2013-04-23 2019-11-15 삼성전자 주식회사 Semiconductor device and fabricated method thereof
US9054218B2 (en) * 2013-08-07 2015-06-09 International Business Machines Corporation Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same
US9177805B2 (en) * 2014-01-28 2015-11-03 GlobalFoundries, Inc. Integrated circuits with metal-insulator-semiconductor (MIS) contact structures and methods for fabricating same
US9299706B1 (en) 2014-09-25 2016-03-29 International Business Machines Corporation Single source/drain epitaxy for co-integrating nFET semiconductor fins and pFET semiconductor fins
KR102330757B1 (en) * 2015-03-30 2021-11-25 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
KR102502885B1 (en) 2015-10-06 2023-02-23 삼성전자주식회사 Semiconductor devices and methods of manufacturing the same
US9570555B1 (en) 2015-10-29 2017-02-14 International Business Machines Corporation Source and drain epitaxial semiconductor material integration for high voltage semiconductor devices
US9496400B1 (en) * 2015-12-29 2016-11-15 International Business Machines Corporation FinFET with stacked faceted S/D epitaxy for improved contact resistance
KR102551349B1 (en) 2016-01-22 2023-07-04 삼성전자 주식회사 Semiconductor devices and method of manufacturing the same
US9837535B2 (en) * 2016-03-03 2017-12-05 International Business Machines Corporation Directional deposition of protection layer
US10014303B2 (en) * 2016-08-26 2018-07-03 Globalfoundries Inc. Devices with contact-to-gate shorting through conductive paths between fins and fabrication methods
US10998310B2 (en) * 2018-07-09 2021-05-04 Taiwan Semiconductor Manufacturing Company Ltd. Fins with wide base in a FINFET
US10811422B2 (en) 2018-11-20 2020-10-20 Globalfoundries Inc. Semiconductor recess to epitaxial regions and related integrated circuit structure

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5204279A (en) 1991-06-03 1993-04-20 Sgs-Thomson Microelectronics, Inc. Method of making SRAM cell and structure with polycrystalline p-channel load devices
US7087506B2 (en) * 2003-06-26 2006-08-08 International Business Machines Corporation Method of forming freestanding semiconductor layer
US6943405B2 (en) 2003-07-01 2005-09-13 International Business Machines Corporation Integrated circuit having pairs of parallel complementary FinFETs
JP2005086024A (en) * 2003-09-09 2005-03-31 Toshiba Corp Semiconductor device and method for manufacturing same
US6974983B1 (en) 2004-02-02 2005-12-13 Advanced Micro Devices, Inc. Isolated FinFET P-channel/N-channel transistor pair
KR100574317B1 (en) * 2004-02-19 2006-04-26 삼성전자주식회사 Gate structure, semiconductor device having the same and methods of forming the gate structure and semiconductor device
US7491988B2 (en) 2004-06-28 2009-02-17 Intel Corporation Transistors with increased mobility in the channel zone and method of fabrication
TWI260940B (en) * 2005-06-17 2006-08-21 Ind Tech Res Inst Method for producing polymeric capacitive ultrasonic transducer
KR20070027961A (en) * 2005-08-30 2007-03-12 삼성전자주식회사 Semiconductor device comprising finfet and fabricating method thereof
US7264743B2 (en) * 2006-01-23 2007-09-04 Lam Research Corporation Fin structure formation
US7977798B2 (en) 2007-07-26 2011-07-12 Infineon Technologies Ag Integrated circuit having a semiconductor substrate with a barrier layer
US7619276B2 (en) * 2007-07-30 2009-11-17 International Business Machines Corporation FinFET flash memory device with an extended floating back gate
US8096268B2 (en) * 2007-10-01 2012-01-17 Riley Power Inc. Municipal solid waste fuel steam generator with waterwall furnace platens
US20090108353A1 (en) * 2007-10-31 2009-04-30 Advanced Micro Devices, Inc. Finfet structure and methods
US20090124097A1 (en) * 2007-11-09 2009-05-14 International Business Machines Corporation Method of forming narrow fins in finfet devices with reduced spacing therebetween
US7910418B2 (en) 2008-01-30 2011-03-22 International Business Machines Corporation Complementary metal gate dense interconnect and method of manufacturing
US8987092B2 (en) * 2008-04-28 2015-03-24 Spansion Llc Methods for fabricating memory cells having fin structures with semicircular top surfaces and rounded top corners and edges
US7872303B2 (en) * 2008-08-14 2011-01-18 International Business Machines Corporation FinFET with longitudinal stress in a channel
JP2010073869A (en) * 2008-09-18 2010-04-02 Toshiba Corp Semiconductor device and method of manufacturing the same
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
US7915112B2 (en) * 2008-09-23 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate stress film for mobility enhancement in FinFET device
US9484462B2 (en) * 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
JP2011146428A (en) * 2010-01-12 2011-07-28 Elpida Memory Inc Semiconductor device and method of manufacturing the same
US8362572B2 (en) * 2010-02-09 2013-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Lower parasitic capacitance FinFET
US8937353B2 (en) * 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US9312179B2 (en) * 2010-03-17 2016-04-12 Taiwan-Semiconductor Manufacturing Co., Ltd. Method of making a finFET, and finFET formed by the method
US8362574B2 (en) * 2010-06-04 2013-01-29 Kabushiki Kaisha Toshiba Faceted EPI shape and half-wrap around silicide in S/D merged FinFET
US9166022B2 (en) * 2010-10-18 2015-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9831240B2 (en) * 2013-07-12 2017-11-28 Samsung Electronics Co., Ltd. Elevated source drain semiconductor device with L-shaped spacers and fabricating method thereof
US20150014788A1 (en) * 2013-07-12 2015-01-15 Min-Yeop Park Semiconductor device and fabricating method thereof
US10784258B2 (en) 2015-08-14 2020-09-22 International Business Machines Corporation Selective contact etch for unmerged epitaxial source/drain regions
US10366988B2 (en) * 2015-08-14 2019-07-30 International Business Machines Corporation Selective contact etch for unmerged epitaxial source/drain regions
US10157746B2 (en) 2015-10-30 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9601567B1 (en) * 2015-10-30 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple Fin FET structures having an insulating separation plug
US11075082B2 (en) 2015-10-30 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9646885B1 (en) 2015-12-05 2017-05-09 International Business Machines Corporation Method to prevent lateral epitaxial growth in semiconductor devices by performing plasma nitridation process on Fin ends
US10170482B2 (en) * 2015-12-05 2019-01-01 International Business Machines Corporation Structure to prevent lateral epitaxial growth in semiconductor devices
CN106206689A (en) * 2016-07-27 2016-12-07 华东师范大学 It is applicable to the FinFET possessing independent three grid structures of memory element
US9748245B1 (en) * 2016-09-23 2017-08-29 International Business Machines Corporation Multiple finFET formation with epitaxy separation
US10886268B2 (en) 2016-11-29 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with separated merged source/drain structure
US11127740B2 (en) 2016-11-29 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device with separated merged source/drain structure
DE102017103419B4 (en) 2016-11-29 2021-09-23 Taiwan Semiconductor Manufacturing Co., Ltd. SEMICONDUCTOR DEVICE WITH SEPARATE SOURCE-DRAIN STRUCTURE AND ASSOCIATED MANUFACTURING PROCESS
US10943900B2 (en) 2018-07-27 2021-03-09 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
JP2015517737A (en) 2015-06-22
GB2516395B (en) 2016-03-30
WO2013172986A1 (en) 2013-11-21
DE112013001404T5 (en) 2014-12-11
KR20150003806A (en) 2015-01-09
DE112013001404B4 (en) 2016-12-29
TWI529940B (en) 2016-04-11
CN104303276A (en) 2015-01-21
CN104303276B (en) 2018-01-26
GB2516395A (en) 2015-01-21
US8586455B1 (en) 2013-11-19
GB201420180D0 (en) 2014-12-31
TW201351650A (en) 2013-12-16
KR101581153B1 (en) 2015-12-30

Similar Documents

Publication Publication Date Title
US8586455B1 (en) Preventing shorting of adjacent devices
US10079280B2 (en) Asymmetric FET
US9484348B2 (en) Structure and method to increase contact area in unmerged EPI integration for CMOS FinFETs
US10714567B2 (en) Nanosheet field-effect transistor with substrate isolation
US10325852B2 (en) Self-aligned vertical transistor with local interconnect
US9559000B1 (en) Hybrid logic and SRAM contacts
US10707132B2 (en) Method to recess cobalt for gate metal application
US10811410B2 (en) Simultaneously fabricating a high voltage transistor and a FinFET
US20160343708A1 (en) Semiconductor devices and methods of manufacturing the same
US10074571B1 (en) Device with decreased pitch contact to active regions
US9324710B2 (en) Very planar gate cut post replacement gate process
TW202118068A (en) Field-effect transistors with channel regions that include a two-dimensional material on a mandrel
US10014295B2 (en) Self heating reduction for analog radio frequency (RF) device
US11581410B2 (en) Semiconductor device and method
US20150349125A1 (en) Fin field effect transistor (finfet) device and method for forming the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHANG, JOSEPHINE;GUILLORN, MICHAEL A.;PRANATHARTHIHARAN, BALASUBRAMANIAN;AND OTHERS;SIGNING DATES FROM 20120508 TO 20120511;REEL/FRAME:028206/0849

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.)

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20171119

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117