US20130285156A1 - Fin field effect transistor with variable channel thickness for threshold voltage tuning - Google Patents

Fin field effect transistor with variable channel thickness for threshold voltage tuning Download PDF

Info

Publication number
US20130285156A1
US20130285156A1 US13/926,417 US201313926417A US2013285156A1 US 20130285156 A1 US20130285156 A1 US 20130285156A1 US 201313926417 A US201313926417 A US 201313926417A US 2013285156 A1 US2013285156 A1 US 2013285156A1
Authority
US
United States
Prior art keywords
threshold voltage
thickness
spacers
finfets
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/926,417
Inventor
Ming Cai
Dechao Guo
Chung-Hsun Lin
Chun-Chen Yeh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US13/926,417 priority Critical patent/US20130285156A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIN, CHUNG-HSUN, CAI, MING, GUO, DECHAO, YEH, CHUN-CHEN
Publication of US20130285156A1 publication Critical patent/US20130285156A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • This disclosure relates generally to the field of semiconductor fabrication, and more particularly to threshold voltage tuning for fin field effect transistors (FINFET) on a substrate through variation of the FINFET channel thicknesses.
  • FINFET fin field effect transistors
  • Integrated circuits may include large numbers of devices on a single substrate. As the number of devices formed per IC substrate and the density of the devices on the substrate increases, the dimensions of the individual devices drops significantly. In particular, the dimensions of gate thickness and channel separation of source and drain elements of field effect transistor (FET) devices may be reduced such that micrometer and nanometer separations of the source, drain, and gate in the substrate are required. Although devices are being steadily reduced in size, the performance characteristics of the devices must be maintained or improved. In addition to performance characteristics, performance reliability, and durability of devices, manufacturing reliability and cost are also critical.
  • FET field effect transistor
  • a FINFET is a type of FET structure that exhibits reduced short channel effects.
  • the channel is formed as a vertical silicon fin structure on top of a substrate, with the gate also being located in the fin structure on top of the channel.
  • a FINFET may be formed on an undoped or low-doped substrate.
  • FINFETs may have superior carrier mobility, due to lowered effective field (E eff ) and reduced carrier scattering.
  • E eff effective field
  • a FINFET structure may also alleviate random dopant fluctuation (RDF) at relatively small devices dimensions as compared to a standard FET.
  • FINFETs having different threshold voltages may need to be present in the IC.
  • V t threshold voltage
  • variation of the threshold voltages across a large number of FINFETs on a single substrate may present difficulties.
  • the threshold voltage may be modulated by tuning the FINFET gate stack workfunctions (WF), but gate stack patterning to tune the WF in gate-first FINFET fabrication is challenging, and the choice of gate metals that results in different WFs that may be used in gate-last processing is relatively limited.
  • WF gate stack workfunctions
  • a method of forming an integrated circuit includes forming a first and second plurality of spacers on a substrate, wherein the substrate includes a silicon layer, and wherein the first plurality of spacers have a thickness that is different from a thickness of the second plurality of spacers; and etching the silicon layer in the substrate using the first and second plurality of spacers as a mask, wherein the etched silicon layer forms a first plurality and a second plurality of FINFET channel regions, and wherein the first plurality of FINFET channel regions each have a respective thickness that corresponds to the thickness of the first plurality of spacers, and wherein the second plurality of FINFET channel regions each have a respective thickness that corresponds to the thickness of the second plurality of spacers.
  • an integrated circuit in another aspect, includes a first plurality of fin field effect transistors (FINFETs) and a second plurality of FINFETs located on a substrate, the first plurality of FINFETs having a first channel thickness corresponding to a first threshold voltage, and the second plurality of FINFETs having a second channel thickness corresponding to a second threshold voltage, wherein the first channel thickness is different from the second channel thickness, and the first threshold voltage is different from the second threshold voltage.
  • FINFETs fin field effect transistors
  • second plurality of FINFETs located on a substrate, the first plurality of FINFETs having a first channel thickness corresponding to a first threshold voltage, and the second plurality of FINFETs having a second channel thickness corresponding to a second threshold voltage, wherein the first channel thickness is different from the second channel thickness, and the first threshold voltage is different from the second threshold voltage.
  • FIG. 1 is a flowchart illustrating an embodiment of a method for threshold voltage tuning for FINFETs through variation of channel thickness.
  • FIG. 2 is a schematic block diagram illustrating a cross section of an embodiment of a starting substrate for threshold voltage tuning for FINFETs through variation of channel thickness.
  • FIG. 3 is a schematic block diagram illustrating a cross section of the device of FIG. 2 after patterning a top layer to form mandrels.
  • FIG. 4 is a schematic block diagram illustrating a cross section of the device of FIG. 3 after depositing spacer material and a hardmask over the mandrels.
  • FIG. 5 is a schematic block diagram illustrating a cross section of the device of FIG. 4 after removal of the hardmask from a first area of the spacer material.
  • FIG. 6 is a schematic block diagram illustrating a cross section of the device of FIG. 5 after sidewall image transfer etch in the first area where the hardmask was removed.
  • FIG. 7 is a schematic block diagram illustrating a cross section of the device of FIG. 6 after removal of the hardmask from a second area of the spacer material.
  • FIG. 8 is a schematic block diagram illustrating a cross section of the device of FIG. 7 after sidewall image transfer etch in the second area where the hardmask was removed.
  • FIG. 9 is a schematic block diagram illustrating a cross section of the device of FIG. 8 after removal of the mandrels.
  • FIG. 10 is a schematic block diagram illustrating a cross section of the device of FIG. 9 after etching into the substrate to form FINFET fins having variable channel thicknesses for threshold voltage tuning.
  • Embodiments of an IC that includes FINFETs having variable channel thicknesses for threshold voltage tuning are provided, with exemplary embodiments being discussed below in detail.
  • the threshold voltage of a FINFET device may be tuned by varying the thickness of the silicon that makes up the FINFET channel, which is in turn dependent on the thickness of the FINFET fin. Therefore, a plurality of FINFETs on a substrate may be formed with fin thicknesses, giving a range of device threshold voltages in a single IC.
  • the threshold voltages of the different FINFET devices on the single substrate may be tuned across a range of about 100 millivolts (mV) or more in some embodiments, allowing for a wide variety of different IC design purposes.
  • spacers having varied thicknesses corresponding to the desired range of fin thicknesses and threshold voltages are formed on the substrate, and the spacers are then used as masks to etch the FINFET fins into the substrate.
  • the spacers may be formed on an undoped or low-doped substrate, which may include a silicon-on-insulator (SOI) substrate.
  • SOI silicon-on-insulator
  • the spacers may be formed using sidewall image transfer (SIT) spacer formation, which includes spacer material deposition followed by directional reactive ion etching (RIE) of the deposited spacer material.
  • SIT sidewall image transfer
  • the threshold voltage of a FINFET may be modulated from about 150 mV to about 300 mV as the silicon thickness (T Si ,) in the FINFET channel scales down from about 12 nm to about 5 nm in some embodiments.
  • FINFET threshold voltage also increases as the T Si , is scaled down below 5 nanometers (nm), due to exhaustion of depletion charge and geometrical confinement.
  • FIG. 1 illustrates an embodiment of a method 100 for threshold voltage tuning for FINFETs through variation of channel thickness.
  • Method 100 is performed using a substrate such as substrate 200 that includes a silicon layer, such as SOI 203 , as is shown in FIG. 2 .
  • substrate 200 includes bottom substrate 201 , buried oxide (BOX) 202 , oxide 204 , and mandrel material 205 .
  • SOI 203 silicon layer
  • substrate 200 includes bottom substrate 201 , buried oxide (BOX) 202 , oxide 204 , and mandrel material 205 .
  • mandrel material 205 is patterned to form mandrels 301 , as shown in FIG. 3 .
  • the mandrels 301 provide a corrugated topology on which the spacers are formed using SIT spacer formation.
  • Mandrel material 205 and mandrels 301 may include polysilicon or amorphous silicon in some embodiments, and may be plasma-enhanced chemical vapor deposition (PECVD) polysilicon or amorphous silicon.
  • PECVD plasma-enhanced chemical vapor deposition
  • spacer material 401 is deposited over and around the mandrels 301 , and a hardmask 402 is formed over the spacer material 401 , as shown in FIG. 4 .
  • Spacer material 401 may include nitride.
  • Hardmask 402 may include oxide, which may be either high density plasma (HDP) oxide or high-aspect-ratio process (HARP) oxide in various embodiments.
  • HDP high density plasma
  • HTP high-aspect-ratio process
  • the hardmask 402 is lithographically patterned to open up a first region of spacer material 401 corresponding to a first desired spacer thickness, as shown in FIG. 5 , and then, as shown in FIG. 6 , the spacer material 401 in the area from which hardmask 402 was removed in FIG. 5 is etched using a SIT spacer etch to form spacers 601 having a first thickness.
  • Block 103 is repeated as needed for each desired spacer thickness, with previously formed spacers (such as spacers 601 ) being covered by a protective hardmask or photoresist (not shown) during subsequent spacer formation.
  • Another portion of hardmask 402 is removed from spacer material 401 as shown in FIG. 7 , and SIT spacer etch of the spacer material 401 located under the removed hardmask is used to form the second set of spacers 801 having the second thickness, as shown in FIG. 8 .
  • a longer SIT etch time may be used to produce thinner spacers, and a shorter SIT etch time may be used to produce thicker spacers.
  • the SIT spacer etch is a directional reactive ion etch (RIE).
  • RIE reactive ion etch
  • Spacers 601 and 801 are shown for illustrative purposes only; any appropriate number and thickness of spacers may be formed by repeating the steps of block 103 , including removal of the hardmask 402 from a portion of spacer material 401 and etching the spacer material 401 located under the removed hardmask, any desired number of times. Any previously formed spacers are covered with a protective hardmask or photoresist (not shown) during subsequent spacer etching; the protective hardmask or photoresist is then removed after all the spacers on the substrate have been etched.
  • the etched spacers may vary in thickness from about 1 nm to about 12 nm in some embodiments.
  • mandrels 301 are removed, as shown in FIG. 9 , leaving spacers 601 and 801 on the substrate that includes bottom substrate 201 , BOX 202 , SOI 203 , and oxide 204 .
  • Mandrels 301 may be removed by a mandrel pull, which may include etching the polysilicon or amorphous silicon that comprises mandrels 301 .
  • the oxide 204 and SOI 203 in the substrate are etched to form an IC 1000 that includes a plurality of FINFET fins, such as FINFET fins 1001 and 1002 , that have thicknesses corresponding to the thicknesses of spacers 601 and 801 , as shown in FIG. 10 .
  • Spacers 601 and 801 act as a mask during the fin etch of block 105 .
  • Each of the etched FINFET fins includes etched silicon 203 , which acts as the FINFET channel, etched oxide 204 , and etched spacer material 401 .
  • the etch of block 105 may include RIE in some embodiments.
  • the plurality of FINFET fins such as FINFET fins 1001 and 1002 in IC 1000 may have a range of channel thicknesses from about 1 nm to about 12 nm, which may correspond to a range of threshold voltages of about 100 mV or more in some embodiments.
  • the threshold voltages may range from about 150 mV to about 300 mV in some embodiments.
  • the substrate 200 (including bottom substrate 201 , BOX 202 , SOI 203 , oxide 204 , and mandrel material 205 ), spacers 601 and 801 , and FINFET fins 1001 and 1002 are shown for illustrative purposes only.
  • Method 100 may be applied to any appropriate substrate on which FINFETs may be formed, and may be used to form any appropriate number of FINFET fins having any desired number and range of different channel thicknesses and corresponding different threshold voltages.
  • the technical effects and benefits of exemplary embodiments include formation of an IC that includes FINFETs having a range of threshold voltages on a single substrate.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

A method of forming an integrated circuit (IC) includes forming a first and second plurality of spacers on a substrate, wherein the substrate includes a silicon layer, and wherein the first plurality of spacers have a thickness that is different from a thickness of the second plurality of spacers; and etching the silicon layer in the substrate using the first and second plurality of spacers as a mask, wherein the etched silicon layer forms a first plurality and a second plurality of fin field effect transistor (FINFET) channel regions, and wherein the first plurality of FINFET channel regions each have a respective thickness that corresponds to the thickness of the first plurality of spacers, and wherein the second plurality of FINFET channel regions each have a respective thickness that corresponds to the thickness of the second plurality of spacers.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a continuation of U.S. patent application Ser. No. 13/050,101, filed Mar. 17, 2011, the disclosure of which is incorporated by reference herein in its entirety.
  • BACKGROUND
  • This disclosure relates generally to the field of semiconductor fabrication, and more particularly to threshold voltage tuning for fin field effect transistors (FINFET) on a substrate through variation of the FINFET channel thicknesses.
  • Integrated circuits (ICs) may include large numbers of devices on a single substrate. As the number of devices formed per IC substrate and the density of the devices on the substrate increases, the dimensions of the individual devices drops significantly. In particular, the dimensions of gate thickness and channel separation of source and drain elements of field effect transistor (FET) devices may be reduced such that micrometer and nanometer separations of the source, drain, and gate in the substrate are required. Although devices are being steadily reduced in size, the performance characteristics of the devices must be maintained or improved. In addition to performance characteristics, performance reliability, and durability of devices, manufacturing reliability and cost are also critical.
  • Several problems may arise with the miniaturization of devices, including short channel effects, punch-through, and current leakage. These problems affect both the performance of the devices and the manufacturing process. The impact of short channel effects on device performance is seen in a reduction in the device threshold voltage and an increase of sub-threshold current. More particularly, as the channel length becomes smaller, the source and drain depletion regions get closer to each other. The depletion regions may essentially occupy the entire channel area between the source and drain. As a result of this effective occupation of the channel area by the source and drain depletion regions, the channel is in part depleted and the gate charge necessary to alter the source and drain current flow is reduced.
  • A FINFET is a type of FET structure that exhibits reduced short channel effects. In a FINFET structure, the channel is formed as a vertical silicon fin structure on top of a substrate, with the gate also being located in the fin structure on top of the channel. A FINFET may be formed on an undoped or low-doped substrate. FINFETs may have superior carrier mobility, due to lowered effective field (Eeff) and reduced carrier scattering. A FINFET structure may also alleviate random dopant fluctuation (RDF) at relatively small devices dimensions as compared to a standard FET.
  • Depending on the application for which an IC chip is used, FINFETs having different threshold voltages (Vt) may need to be present in the IC. However, variation of the threshold voltages across a large number of FINFETs on a single substrate may present difficulties. The threshold voltage may be modulated by tuning the FINFET gate stack workfunctions (WF), but gate stack patterning to tune the WF in gate-first FINFET fabrication is challenging, and the choice of gate metals that results in different WFs that may be used in gate-last processing is relatively limited.
  • BRIEF SUMMARY
  • In one aspect, a method of forming an integrated circuit (IC) includes forming a first and second plurality of spacers on a substrate, wherein the substrate includes a silicon layer, and wherein the first plurality of spacers have a thickness that is different from a thickness of the second plurality of spacers; and etching the silicon layer in the substrate using the first and second plurality of spacers as a mask, wherein the etched silicon layer forms a first plurality and a second plurality of FINFET channel regions, and wherein the first plurality of FINFET channel regions each have a respective thickness that corresponds to the thickness of the first plurality of spacers, and wherein the second plurality of FINFET channel regions each have a respective thickness that corresponds to the thickness of the second plurality of spacers.
  • In another aspect, an integrated circuit (IC) includes a first plurality of fin field effect transistors (FINFETs) and a second plurality of FINFETs located on a substrate, the first plurality of FINFETs having a first channel thickness corresponding to a first threshold voltage, and the second plurality of FINFETs having a second channel thickness corresponding to a second threshold voltage, wherein the first channel thickness is different from the second channel thickness, and the first threshold voltage is different from the second threshold voltage.
  • Additional features are realized through the techniques of the present exemplary embodiment. Other embodiments are described in detail herein and are considered a part of what is claimed. For a better understanding of the features of the exemplary embodiment, refer to the description and to the drawings.
  • BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
  • Referring now to the drawings wherein like elements are numbered alike in the several FIGURES:
  • FIG. 1 is a flowchart illustrating an embodiment of a method for threshold voltage tuning for FINFETs through variation of channel thickness.
  • FIG. 2 is a schematic block diagram illustrating a cross section of an embodiment of a starting substrate for threshold voltage tuning for FINFETs through variation of channel thickness.
  • FIG. 3 is a schematic block diagram illustrating a cross section of the device of FIG. 2 after patterning a top layer to form mandrels.
  • FIG. 4 is a schematic block diagram illustrating a cross section of the device of FIG. 3 after depositing spacer material and a hardmask over the mandrels.
  • FIG. 5 is a schematic block diagram illustrating a cross section of the device of FIG. 4 after removal of the hardmask from a first area of the spacer material.
  • FIG. 6 is a schematic block diagram illustrating a cross section of the device of FIG. 5 after sidewall image transfer etch in the first area where the hardmask was removed.
  • FIG. 7 is a schematic block diagram illustrating a cross section of the device of FIG. 6 after removal of the hardmask from a second area of the spacer material.
  • FIG. 8 is a schematic block diagram illustrating a cross section of the device of FIG. 7 after sidewall image transfer etch in the second area where the hardmask was removed.
  • FIG. 9 is a schematic block diagram illustrating a cross section of the device of FIG. 8 after removal of the mandrels.
  • FIG. 10 is a schematic block diagram illustrating a cross section of the device of FIG. 9 after etching into the substrate to form FINFET fins having variable channel thicknesses for threshold voltage tuning.
  • DETAILED DESCRIPTION
  • Embodiments of an IC that includes FINFETs having variable channel thicknesses for threshold voltage tuning, and methods of forming an IC that includes FINFETs having variable channel thicknesses for threshold voltage tuning, are provided, with exemplary embodiments being discussed below in detail. The threshold voltage of a FINFET device may be tuned by varying the thickness of the silicon that makes up the FINFET channel, which is in turn dependent on the thickness of the FINFET fin. Therefore, a plurality of FINFETs on a substrate may be formed with fin thicknesses, giving a range of device threshold voltages in a single IC. The threshold voltages of the different FINFET devices on the single substrate may be tuned across a range of about 100 millivolts (mV) or more in some embodiments, allowing for a wide variety of different IC design purposes.
  • To form FINFETs having a range of fin thicknesses, spacers having varied thicknesses corresponding to the desired range of fin thicknesses and threshold voltages are formed on the substrate, and the spacers are then used as masks to etch the FINFET fins into the substrate. The spacers may be formed on an undoped or low-doped substrate, which may include a silicon-on-insulator (SOI) substrate. The spacers may be formed using sidewall image transfer (SIT) spacer formation, which includes spacer material deposition followed by directional reactive ion etching (RIE) of the deposited spacer material. The threshold voltage of a FINFET may be modulated from about 150 mV to about 300 mV as the silicon thickness (TSi,) in the FINFET channel scales down from about 12 nm to about 5 nm in some embodiments. FINFET threshold voltage also increases as the TSi, is scaled down below 5 nanometers (nm), due to exhaustion of depletion charge and geometrical confinement.
  • FIG. 1 illustrates an embodiment of a method 100 for threshold voltage tuning for FINFETs through variation of channel thickness. FIG. 1 is discussed with respect to FIGS. 2-10. Method 100 is performed using a substrate such as substrate 200 that includes a silicon layer, such as SOI 203, as is shown in FIG. 2. In addition to SOI 203, substrate 200 includes bottom substrate 201, buried oxide (BOX) 202, oxide 204, and mandrel material 205. In block 101, mandrel material 205 is patterned to form mandrels 301, as shown in FIG. 3. The mandrels 301 provide a corrugated topology on which the spacers are formed using SIT spacer formation. Mandrel material 205 and mandrels 301 may include polysilicon or amorphous silicon in some embodiments, and may be plasma-enhanced chemical vapor deposition (PECVD) polysilicon or amorphous silicon. In block 102, spacer material 401 is deposited over and around the mandrels 301, and a hardmask 402 is formed over the spacer material 401, as shown in FIG. 4. Spacer material 401 may include nitride. Hardmask 402 may include oxide, which may be either high density plasma (HDP) oxide or high-aspect-ratio process (HARP) oxide in various embodiments.
  • In block 103, the hardmask 402 is lithographically patterned to open up a first region of spacer material 401 corresponding to a first desired spacer thickness, as shown in FIG. 5, and then, as shown in FIG. 6, the spacer material 401 in the area from which hardmask 402 was removed in FIG. 5 is etched using a SIT spacer etch to form spacers 601 having a first thickness. Block 103 is repeated as needed for each desired spacer thickness, with previously formed spacers (such as spacers 601) being covered by a protective hardmask or photoresist (not shown) during subsequent spacer formation. To form a second set of spacers having a second thickness, another portion of hardmask 402 is removed from spacer material 401 as shown in FIG. 7, and SIT spacer etch of the spacer material 401 located under the removed hardmask is used to form the second set of spacers 801 having the second thickness, as shown in FIG. 8. A longer SIT etch time may be used to produce thinner spacers, and a shorter SIT etch time may be used to produce thicker spacers. The SIT spacer etch is a directional reactive ion etch (RIE). The SIT spacer etch removes material from horizontal surfaces faster than it removes material from vertical surfaces, allowing for relative precision in spacer thickness formation. Spacers 601 and 801 are shown for illustrative purposes only; any appropriate number and thickness of spacers may be formed by repeating the steps of block 103, including removal of the hardmask 402 from a portion of spacer material 401 and etching the spacer material 401 located under the removed hardmask, any desired number of times. Any previously formed spacers are covered with a protective hardmask or photoresist (not shown) during subsequent spacer etching; the protective hardmask or photoresist is then removed after all the spacers on the substrate have been etched. The etched spacers may vary in thickness from about 1 nm to about 12 nm in some embodiments.
  • In block 104, the mandrels 301 are removed, as shown in FIG. 9, leaving spacers 601 and 801 on the substrate that includes bottom substrate 201, BOX 202, SOI 203, and oxide 204. Mandrels 301 may be removed by a mandrel pull, which may include etching the polysilicon or amorphous silicon that comprises mandrels 301.
  • In block 105, the oxide 204 and SOI 203 in the substrate are etched to form an IC 1000 that includes a plurality of FINFET fins, such as FINFET fins 1001 and 1002, that have thicknesses corresponding to the thicknesses of spacers 601 and 801, as shown in FIG. 10. Spacers 601 and 801 act as a mask during the fin etch of block 105. Each of the etched FINFET fins includes etched silicon 203, which acts as the FINFET channel, etched oxide 204, and etched spacer material 401. The etch of block 105 may include RIE in some embodiments. The plurality of FINFET fins such as FINFET fins 1001 and 1002 in IC 1000 may have a range of channel thicknesses from about 1 nm to about 12 nm, which may correspond to a range of threshold voltages of about 100 mV or more in some embodiments. The threshold voltages may range from about 150 mV to about 300 mV in some embodiments.
  • The substrate 200 (including bottom substrate 201, BOX 202, SOI 203, oxide 204, and mandrel material 205), spacers 601 and 801, and FINFET fins 1001 and 1002 are shown for illustrative purposes only. Method 100 may be applied to any appropriate substrate on which FINFETs may be formed, and may be used to form any appropriate number of FINFET fins having any desired number and range of different channel thicknesses and corresponding different threshold voltages.
  • The technical effects and benefits of exemplary embodiments include formation of an IC that includes FINFETs having a range of threshold voltages on a single substrate.
  • The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of the invention. As used herein, the singular forms “a”, “an”, and “the” are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms “comprises” and/or “comprising,” when used in this specification, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.
  • The corresponding structures, materials, acts, and equivalents of all means or step plus function elements in the claims below are intended to include any structure, material, or act for performing the function in combination with other claimed elements as specifically claimed. The description of the present invention has been presented for purposes of illustration and description, but is not intended to be exhaustive or limited to the invention in the form disclosed. Many modifications and variations will be apparent to those of ordinary skill in the art without departing from the scope and spirit of the invention. The embodiment was chosen and described in order to best explain the principles of the invention and the practical application, and to enable others of ordinary skill in the art to understand the invention for various embodiments with various modifications as are suited to the particular use contemplated.

Claims (4)

1. An integrated circuit (IC) comprising:
a first plurality of fin field effect transistors (FINFETs) and a second plurality of FINFETs located on a buried oxide substrate, the first plurality of FINFETs having a first channel thickness corresponding to a first threshold voltage, and the second plurality of FINFETs having a second channel thickness corresponding to a second threshold voltage, wherein the first channel thickness is different from the second channel thickness, and the first threshold voltage is different from the second threshold voltage; and
each of the first and second plurality of FINFETs comprising an etched silicon fin, an etched oxide material atop the etched silicon fin, and an etched spacer material atop the etched oxide material.
2. The IC of claim 1, wherein the first threshold voltage and the second threshold voltage range from about 150 millivolts to about 300 millivolts.
3. The IC of claim 1, wherein the thickness of the first plurality of FINFETs and the thickness of the second plurality of FINFETs range from about 1 nanometer to about 12 nanometers.
4. The IC of claim 1, further comprising a third plurality of FINFETs having a third channel thickness corresponding to a third threshold voltage, the third channel thickness and the third threshold voltage being different from the first and second channel thicknesses and the first and second threshold voltages.
US13/926,417 2011-03-17 2013-06-25 Fin field effect transistor with variable channel thickness for threshold voltage tuning Abandoned US20130285156A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/926,417 US20130285156A1 (en) 2011-03-17 2013-06-25 Fin field effect transistor with variable channel thickness for threshold voltage tuning

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/050,101 US8513131B2 (en) 2011-03-17 2011-03-17 Fin field effect transistor with variable channel thickness for threshold voltage tuning
US13/926,417 US20130285156A1 (en) 2011-03-17 2013-06-25 Fin field effect transistor with variable channel thickness for threshold voltage tuning

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/050,101 Continuation US8513131B2 (en) 2011-03-17 2011-03-17 Fin field effect transistor with variable channel thickness for threshold voltage tuning

Publications (1)

Publication Number Publication Date
US20130285156A1 true US20130285156A1 (en) 2013-10-31

Family

ID=46827802

Family Applications (2)

Application Number Title Priority Date Filing Date
US13/050,101 Active 2031-06-15 US8513131B2 (en) 2011-03-17 2011-03-17 Fin field effect transistor with variable channel thickness for threshold voltage tuning
US13/926,417 Abandoned US20130285156A1 (en) 2011-03-17 2013-06-25 Fin field effect transistor with variable channel thickness for threshold voltage tuning

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/050,101 Active 2031-06-15 US8513131B2 (en) 2011-03-17 2011-03-17 Fin field effect transistor with variable channel thickness for threshold voltage tuning

Country Status (1)

Country Link
US (2) US8513131B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130065326A1 (en) * 2011-09-09 2013-03-14 Gaku Sudo Method for manufacturing semiconductor device
US8889561B2 (en) * 2012-12-10 2014-11-18 Globalfoundries Inc. Double sidewall image transfer process
US9466669B2 (en) 2014-05-05 2016-10-11 Samsung Electronics Co., Ltd. Multiple channel length finFETs with same physical gate length
US9922983B1 (en) 2016-09-22 2018-03-20 International Business Machines Corporation Threshold voltage modulation through channel length adjustment

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8513131B2 (en) * 2011-03-17 2013-08-20 International Business Machines Corporation Fin field effect transistor with variable channel thickness for threshold voltage tuning
US9082849B2 (en) * 2011-09-30 2015-07-14 The Institute of Microelectronics Chinese Academy of Science Semiconductor structure and method for manufacturing the same
CN103107192B (en) * 2011-11-10 2016-05-18 中芯国际集成电路制造(北京)有限公司 Semiconductor device and manufacture method thereof
US8802510B2 (en) * 2012-02-22 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for controlling line dimensions in spacer alignment double patterning semiconductor processing
US8927432B2 (en) * 2012-06-14 2015-01-06 International Business Machines Corporation Continuously scalable width and height semiconductor fins
US8741701B2 (en) * 2012-08-14 2014-06-03 International Business Machines Corporation Fin structure formation including partial spacer removal
US8822343B2 (en) * 2012-09-04 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced FinFET process overlay mark
US9123654B2 (en) 2013-02-15 2015-09-01 International Business Machines Corporation Trilayer SIT process with transfer layer for FINFET patterning
US8785284B1 (en) * 2013-02-20 2014-07-22 International Business Machines Corporation FinFETs and fin isolation structures
US8987008B2 (en) * 2013-08-20 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout and method with double patterning
US9437497B2 (en) 2013-10-18 2016-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9121890B2 (en) * 2013-10-30 2015-09-01 Globalfoundries Inc. Planar metrology pad adjacent a set of fins of a fin field effect transistor device
US8975129B1 (en) * 2013-11-13 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
KR20150058597A (en) 2013-11-18 2015-05-29 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9171764B2 (en) * 2013-12-13 2015-10-27 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
US10204794B2 (en) 2013-12-23 2019-02-12 Intel Corporation Advanced etching technologies for straight, tall and uniform fins across multiple fin pitch structures
US9064901B1 (en) * 2013-12-23 2015-06-23 International Business Machines Corporation Fin density control of multigate devices through sidewall image transfer processes
US9190466B2 (en) 2013-12-27 2015-11-17 International Business Machines Corporation Independent gate vertical FinFET structure
US9691763B2 (en) * 2013-12-27 2017-06-27 International Business Machines Corporation Multi-gate FinFET semiconductor device with flexible design width
US9196612B2 (en) * 2014-03-26 2015-11-24 International Business Machines Corporation Semiconductor device including merged-unmerged work function metal and variable fin pitch
US9209279B1 (en) * 2014-09-12 2015-12-08 Applied Materials, Inc. Self aligned replacement fin formation
US9536739B2 (en) 2014-10-28 2017-01-03 International Business Machines Corporation Self-cut sidewall image transfer process
US9449835B2 (en) * 2014-12-05 2016-09-20 Globalfoundries Inc. Methods of forming features having differing pitch spacing and critical dimensions
US9659824B2 (en) * 2015-04-28 2017-05-23 International Business Machines Corporation Graphoepitaxy directed self-assembly process for semiconductor fin formation
US9287135B1 (en) * 2015-05-26 2016-03-15 International Business Machines Corporation Sidewall image transfer process for fin patterning
US9548243B1 (en) * 2015-06-30 2017-01-17 International Business Machines Corporation Self aligned via and pillar cut for at least a self aligned double pitch
US9818825B2 (en) 2015-10-27 2017-11-14 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9589958B1 (en) 2016-01-22 2017-03-07 International Business Machines Corporation Pitch scalable active area patterning structure and process for multi-channel finFET technologies
US9786502B2 (en) 2016-03-10 2017-10-10 United Microelectronics Corp. Method for forming fin structures for non-planar semiconductor device
US9852917B2 (en) 2016-03-22 2017-12-26 International Business Machines Corporation Methods of fabricating semiconductor fins by double sidewall image transfer patterning through localized oxidation enhancement of sacrificial mandrel sidewalls
US9799514B1 (en) 2016-04-07 2017-10-24 Globalfoundries Inc. Protecting, oxidizing, and etching of material lines for use in increasing or decreasing critical dimensions of hard mask lines
US20170294354A1 (en) * 2016-04-07 2017-10-12 Globalfoundries Inc. Integration of nominal gate width finfets and devices having larger gate width
US10068766B2 (en) 2016-04-07 2018-09-04 Globalfoundries Inc. Oxidizing and etching of material lines for use in increasing or decreasing critical dimensions of hard mask lines
US9793270B1 (en) * 2016-04-21 2017-10-17 International Business Machines Corporation Forming gates with varying length using sidewall image transfer
US9691775B1 (en) * 2016-04-28 2017-06-27 Globalfoundries Inc. Combined SADP fins for semiconductor devices and methods of making the same
US9761452B1 (en) * 2016-07-08 2017-09-12 Globalfoundries Inc. Devices and methods of forming SADP on SRAM and SAQP on logic
CN106057657A (en) * 2016-07-22 2016-10-26 上海华力微电子有限公司 Multiple imaging method
US10032877B2 (en) * 2016-08-02 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of forming same
US9773680B1 (en) * 2016-12-13 2017-09-26 Globalfoundries Inc. Advanced method for scaled SRAM with flexible active pitch
US9870942B1 (en) * 2017-01-19 2018-01-16 Globalfoundries Inc. Method of forming mandrel and non-mandrel metal lines having variable widths
CN109786458B (en) * 2017-11-13 2022-02-15 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
US10566330B2 (en) 2017-12-11 2020-02-18 Samsung Electronics Co., Ltd. Dielectric separation of partial GAA FETs
EP3653568B1 (en) 2018-11-14 2022-10-19 IMEC vzw A method for forming a semiconductor device comprising nanowire field-effect transistors

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070170521A1 (en) * 2006-01-12 2007-07-26 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
US20080135948A1 (en) * 2006-04-21 2008-06-12 International Business Machines Corporation Device patterned with Sub-Lithographic Features with Variable Widths
US20090321836A1 (en) * 2008-06-30 2009-12-31 Andy Wei Double gate and tri-gate transistor formed on a bulk substrate and method for forming the transistor
US8513131B2 (en) * 2011-03-17 2013-08-20 International Business Machines Corporation Fin field effect transistor with variable channel thickness for threshold voltage tuning

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6492212B1 (en) 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US7416927B2 (en) 2002-03-26 2008-08-26 Infineon Technologies Ag Method for producing an SOI field effect transistor
US6872647B1 (en) 2003-05-06 2005-03-29 Advanced Micro Devices, Inc. Method for forming multiple fins in a semiconductor device
US6894326B2 (en) 2003-06-25 2005-05-17 International Business Machines Corporation High-density finFET integration scheme
US7087471B2 (en) 2004-03-15 2006-08-08 International Business Machines Corporation Locally thinned fins
US7300837B2 (en) 2004-04-30 2007-11-27 Taiwan Semiconductor Manufacturing Co., Ltd FinFET transistor device on SOI and method of fabrication
US7253650B2 (en) 2004-05-25 2007-08-07 International Business Machines Corporation Increase productivity at wafer test using probe retest data analysis
US20080050898A1 (en) * 2006-08-23 2008-02-28 Hongfa Luan Semiconductor devices and methods of manufacture thereof
KR101515907B1 (en) * 2008-10-23 2015-04-29 삼성전자주식회사 Method of forming patterns of semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070170521A1 (en) * 2006-01-12 2007-07-26 International Business Machines Corporation Method and structure to process thick and thin fins and variable fin to fin spacing
US20080135948A1 (en) * 2006-04-21 2008-06-12 International Business Machines Corporation Device patterned with Sub-Lithographic Features with Variable Widths
US20090321836A1 (en) * 2008-06-30 2009-12-31 Andy Wei Double gate and tri-gate transistor formed on a bulk substrate and method for forming the transistor
US8513131B2 (en) * 2011-03-17 2013-08-20 International Business Machines Corporation Fin field effect transistor with variable channel thickness for threshold voltage tuning

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130065326A1 (en) * 2011-09-09 2013-03-14 Gaku Sudo Method for manufacturing semiconductor device
US8835268B2 (en) * 2011-09-09 2014-09-16 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US8889561B2 (en) * 2012-12-10 2014-11-18 Globalfoundries Inc. Double sidewall image transfer process
US9105510B2 (en) 2012-12-10 2015-08-11 Globalfoundries Inc. Double sidewall image transfer process
US9466669B2 (en) 2014-05-05 2016-10-11 Samsung Electronics Co., Ltd. Multiple channel length finFETs with same physical gate length
US9922983B1 (en) 2016-09-22 2018-03-20 International Business Machines Corporation Threshold voltage modulation through channel length adjustment
US9922984B1 (en) * 2016-09-22 2018-03-20 International Business Machines Corporation Threshold voltage modulation through channel length adjustment
US20180083015A1 (en) * 2016-09-22 2018-03-22 International Business Machines Corporation Threshold voltage modulation through channel length adjustment
US10170593B2 (en) 2016-09-22 2019-01-01 International Business Machines Corporation Threshold voltage modulation through channel length adjustment
US10263098B2 (en) 2016-09-22 2019-04-16 International Business Machines Corporation Threshold voltage modulation through channel length adjustment

Also Published As

Publication number Publication date
US20120235247A1 (en) 2012-09-20
US8513131B2 (en) 2013-08-20

Similar Documents

Publication Publication Date Title
US8513131B2 (en) Fin field effect transistor with variable channel thickness for threshold voltage tuning
US11145553B2 (en) Nonplanar device and strain-generating channel dielectric
US10879351B2 (en) Fill fins for semiconductor devices
US9978870B2 (en) FinFET with buried insulator layer and method for forming
US6645797B1 (en) Method for forming fins in a FinFET device using sacrificial carbon layer
KR101504311B1 (en) Methods of forming fins for a finfet semiconductor device using a mandrel oxidation process
US7309626B2 (en) Quasi self-aligned source/drain FinFET process
US9502418B2 (en) Semiconductor devices with sidewall spacers of equal thickness
US10283636B2 (en) Vertical FET with strained channel
US20170077222A1 (en) Semiconductor device and manufacturing method thereof
US6855989B1 (en) Damascene finfet gate with selective metal interdiffusion
US20140070322A1 (en) Methods of forming different finfet devices with different threshold voltages and integrated circuit products containing such devices
US7095065B2 (en) Varying carrier mobility in semiconductor devices to achieve overall design goals
US20150024584A1 (en) Methods for forming integrated circuits with reduced replacement metal gate height variability
US20160315084A1 (en) Different height of fins in semiconductor structure
US20170077300A1 (en) Semiconductor device and manufacturing method thereof
US20220285343A1 (en) Integration of multiple fin stuctures on a single substrate
US9793378B2 (en) Fin field effect transistor device with reduced overlap capacitance and enhanced mechanical stability
US20180108732A1 (en) Notched fin structures and methods of manufacture
US6967175B1 (en) Damascene gate semiconductor processing with local thinning of channel region
US9166025B1 (en) Methods of forming a nanowire device with a gate-all-around-channel configuration and the resulting nanowire device
US12002856B2 (en) Vertical field effect transistor with crosslink fin arrangement
US10930768B2 (en) Low current leakage finFET and methods of making the same
US10672891B2 (en) Stacked gate all around MOSFET with symmetric inner spacer formed via sacrificial pure Si anchors

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CAI, MING;GUO, DECHAO;LIN, CHUNG-HSUN;AND OTHERS;SIGNING DATES FROM 20130620 TO 20130624;REEL/FRAME:030682/0680

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117